Text
                    п
н
т
п

К.А. ВАЛИЕВ

микроэлектроника:

достижения
и пути развития


ПРОБЛЕМЫ НАУКИ И ТЕХНИЧЕСКОГО ПРОГРЕССА К. А. ВАЛИЕВ МИКРОЭЛЕКТРОНИКА: ДОСТИЖЕНИЯ И ПУТИ РАЗВИТИЯ f=^ МОСКВА «НАУКА» ГЛАВНАЯ РЕДАКЦИЯ ФИЗИКО-МАТЕМАТИЧЕСКОЙ ЛИТЕРАТУРЫ 1986
ББК 22.379 В15 УДК 539.21 (023) Рецензент академик Ж. И. Алферов Валиев К. А. В15 Микроэлектроника: достижения и пути М.: Наука. Гл. ред. физ.-мат. лит., 1986.— 144 с, ил.— Серия «Проблемы науки и технического развития.— прогресса». 95 коп. 6000 экз. Книга посвящена развитию технической базы систем переработки микроэлектронным интегральным схемам и их технологии. Показан путь, пройденный микроэлектроникой за 2 5 лет ее развития от создания схем малой степени интеграции до сверхбольших схем. Большое внимание уделено новейшим направлениям развития микроэлектроники и молекулярной электроники. Рассматриваются различные виды кремниевых интегральных схем, а также вопросы обеспечения их надежности и методы проектирования. Анализируются как достижения, так и нерешенные проблемы новых направлений микроэлектроники. информации — ББК 22.379 539.21 (023) 1704060000—093 И6"86 В 053(02)-86 i Издательство «Наука». Главная редакция физико-математической литературы, 1986
ОГЛАВЛЕНИЕ Список сокращений Предисловие 5 7 Глава 9 1. Тенденции развития микроэлектронных интегральных схем 1.1. Конструкция и параметры интегральных схем 1.2. Законы масштабирования (скейлинга) 1.3. Ограничение быстродействия СБИС задержкой сигналов в соединениях 1.4. Архитектурные типы ИС 1.5. Стандартные ИС 1.6. Микропроцессорные комплекты БИС 1.7. Полузаказные и заказные БИС на матрицах .... логических 1.8. 1.9. 1.10. 1.11. 1.12. ячеек Полузаказные БИС стандартных ячейках Заказные (специализированные) БИС на .... Субсистемы на целой пластине Трехмерные интегральные схемы САПР БИС: от проектирования с помощью ЭВМ к кремниевому компилятору 1.13. Проблемы обеспечения надежности кремниевых ИС Глава 2. Микроэлектронные приборы на материалах 2.1. Приборы на арсениде 2.2. Молекулярно-лучевая 2.3. AIHBV 20 26 27 29 31 32 33 34 37 43 48 57 57 (GaAs) галлия 14 эпитаксия 60 Приборы с гетеропереходами. Полевой транзистор на электронах с высокой подвижностью . 61 2.4. Гетеропереходные биполярные транзисторы (ГПБТ) 2.5. Транзистор с проницаемой базой 2.6. Гетеропереходный туннельный транзистор на горячих электронах (ТТГЭ) 2.7. Сверхрешетки: синтетические полупроводники Глава 3. Сверхинтегрированные устройства Глава 4. Криомикроэлектроника Глава 5. Физические ограничения приборах на в . 68 70 72 памяти сверхпроводниках ... 76 микроэлектронных Глава 6. Молекулярная электроника: идеи 1* 64 67 82 и проблемы ... 89 3
Глава 7. Некоторые проблемы технологии микроэлектроники 7.1. Основные технологические процессы маршрута 95 изготовления СБИС 7.2. Литографические системы 7.3. Электронно-лучевые 95 системы микроэлектроники литографии 7.4. Ионно-лучевые литографические системы 7.5. Проекционные системы шаблона на пластину переноса ... . . изображения 7.6. Системы теневого экспонирования . 102 105 115 с 120 127 Заключение 135 Список литературы 136
СПИСОК СОКРАЩЕНИЙ БИС большая интегральная схема (большой БТ -биполярный транзистор ВАХ вольт-амперная характеристика — степени интеграции) — — ГАП ГБИС ГПБТ ЗБИС ЗУ — И2Л ИС — — — — гетеропереходный биполярный транзистор заказная кремний и — с — полевой транзистор МЭП — транзистор со — металл — структурой металл — металл окись — полупроводник) — к-МОП структурой (разновидность транзистора микропроцессор БИС микропроцессорный — со Шоттки полупроводник — МПК сапфире контактом МОП-транзистор кремния МПТ на логический элемент полупроводник — устройство интегральная схема МЕППТШ диэлектрик МП БИС инжекционная логика — — БИС гигантская запоминающее — КНС ЛЭ гибкое автоматизированное производство — БИС комплект микропроцессорная техника молекулярный электронный прибор комплементарная МОП-структур а, содержащая транзисторы как с р-каналом, так и я-каналом я-МОП МОП-транзистор с я-каналом (ток в канале переносится — — электронами) р-МОП МОП-транзистор — дырками) НЕМТ — транзистор на с р-каналом электронах (ток с в канале высокой переносится подвижностью (high electron mobility transistor) ПЗУ — САПР СБИС СИС постоянное — — — система запоминающее устройство автоматизированного проектирования сверхбольшая ИС (ИС сверхбольшой степени интеграции) средняя интегральная схема (ИС средней степени интеграции) 5
СМПК ТПБ ТТГЭ ТТЛ секционированный микропроцессорный комплект (БИС) с проницаемой базой туннельный транзистор на горячих электронах — — транзистор — — ТТЛШ транзисторно-транзисторная логика — транзисторно-транзисторная центральный процессорный микропроцессорных БИС) ЭСЛ эмиттерно-связанная логика ЦПЭ — — логика элемент с диодами (комплекта Шоттки
ПРЕДИСЛОВИЕ Внедрение информации, систем классов ЭВМ в в переработки робототехники, различных характерной чертой происходящей практику техники автоматизации является и обществе научно-технической революции. В сферу деятельности по переработке информации, разработке и автоматизированных и роботизированных систем вовлекается все большее число людей. Такие системы все больше проникают во все сферы деятельности человека эксплуатации как на производстве, так и в быту. Понятен большой интерес широких кругов общества систем к поэтому вопросам развития переработки информации. Элементной базой таких систем являются интегральные схемы, созданные в пределах нового направления науки и техники, получившего название «микроэлектроника». Первая часть нашей книги посвящена кремниевой микроэлектронике. В ней анализируется путь развития кремниевой микроэлектроники, который она прошла за 25 лет от создания схем малой степени интеграции до сверхбольших схем. Наибольший успех в микроэлектронике достигнут в изготовлении стандартных интегральных схем и комплектов микропроцессорных больших интегральных схем. По мере роста числа элементов в интегральной схеме ее функции становятся все более специализированными; в связи с этим возникло новое направление в кремниевой микроэлектронике, связанное с изготовлением специализированных или заказных интегральных схем. Необходимость дальнейшего увеличения сложности интегральных схем привела к идее создания гигантских интегральных схем в виде субсистем на целой кремниевой пластине и трехмерных интегральных схем. Эти направления в микроэлектронике также рассматриваются в книге. Наряду с интегральными схемами на кремнии создаются схемы на полупроводниковых материалам AmBv, а также сверхпроводниковых приборах (сверхпроводниковая криомикроэлектроника). Приборы на материалах AmBv на 7
имеют более кремниевыми высокое быстродействие приборами благодаря более по сравнению с высокой подвижности материалах. Особенно быстрыми темпами электронов в этих развиваются физика и технология приборов с гетеропереходами, т. е. переходами между двумя полупроводниками различной шириной запрещенной с зоны. В стадии становления находится молекулярная электроника. В этом направлении еще нет практических результатов, которые оправдали бы равноправное с полупроводниковой электроникой существование термина «молекулярная электроника». Однако идея создания электронных приборов молекулярными размерами и с использованием биотехнологии кажется очень плодотворной. В книгу включены разделы, посвященные физическим с методов проблемам надежности, пределам микроминиатюризации приборов. Завершающий книгу раздел посвящен развитию технологических методов микроэлектроники, позволяющих получить структуры приборов с микронными электронных и субмикронными размерами. Автор надеется, будет полезна как специалистам, работающим что книга в области микроэлектроники, так и специалистам из других областей техники, желающим познакомиться с идеями развития микроэлектроники. Автор выражает глубокую благодарность М. В. Дмитрук, принимавшей большое участие в подготовке книги к печати. К- А. Валиев
Глава 1 ТЕНДЕНЦИИ РАЗВИТИЯ МИКРОЭЛЕКТРОННЫХ ИНТЕГРАЛЬНЫХ СХЕМ 1.1. Конструкция и параметры интегральных схем Микроэлектроника является сравнительно новой отраслью машиностроения. Технологические методы микроэлектроники, как и методы других, традиционных отраслей машиностроения, основаны на формообразовании и модификации свойств конструкционных материалов и сочленении деталей устройств («сборке»). Однако три существенные особенности создают своеобразие микроэлектроники как отрасли машиностроения. 1. Размеры элементов микроэлектронных приборов лежат в микронной области, а допуски на их размеры исчисляются десятыми и сотыми долями микрона. 2. Микроэлектронные приборы не имеют движущихся частей, их функционирование обеспечивается электрическими токами в полупроводниковых и проводящих также и зарядами в диэлектриках). материалах (реже 3. Изготовление деталей и сборка микроэлектронных приборов совмещены в единый технологический процесс, — результатом которого является «интегрированный» интегральная схема (ИС). Основным конструкционным материалом микроэлектроники являются монокристаллы полупроводника кремния. Монокристаллы кремния выращиваются методом вытягивания из расплава (методом Чохральского) или более современным методом бестигельной зонной плавки. Диаметр слитков монокристаллического кремния достигает 100—150 мм, прибор — вес слитков исчисляется десятками килограммов. Пожалуй, среди технических кристаллов именно в монокристаллах кремния достигнут в настоящее время высший уровень степени очистки от примесей и совершенства кристаллической решетки. Методом резания из слитков получают пластины монокристаллического кремния толщиной 0,3— 0,4 мм; поверхность их тщательно полируется и травится для удаления дефектного слоя, образовавшегося при резке 9
Сущность слитка. заключается в доведении технологии микроэлектроники образца кремния до сверхвысокой чистоты и совершенства кристаллической решетки, последующем локальном легировании отдельных областей поверхности кремния электрически активными примесями в заданной концентрации и соединении этих областей проводниками. Из диэлектриков в конструкцию микроэлектронных приборов чаще всего включается плавленый кварц, т. е. окись того же кремния, обладающий превосходными изолирующими и защитными (маскирующими) свойствами. В качестве проводников используются пленки алюминия или его сплавов, пленки поликристаллического кремния, соединений кремния с металлами (силицидов металлов), обладающих достаточно хорошими проводящими свойствами. Z Рис 1 Структура транзисторов: кремниевая подложка разрез): / — 70 полевого «-МОП транзистора р-типа слой изолирующего окисла кремния; 3, 6 проводимости; — области, легированные примесью п-типа); 4 2 — (поперечный толстый (~1 «исток» и «сток» транзистора мкм) (п+ —. — канал, соединяющий исток и сток проводимость канала управляется напряжением на затворе 7; 5 тонкий слой — подзатворного окисла (-50 нм); 8, 9, 10 электрические контакты к электродам транзистора, представляющие собой окончание «соединений», ведущих к другим транзисторам в ИС (а); биполярного п—р—«-транзистора, изготовленного по изопланарной технологии (с окисной изоляцией транзисторов): / подложка Si — — р-типа проводимости: 2 коллектора; 3 — п+ — скрытый слой: высокопроводящее тело эпитаксиальный «-слой, в котором расположен р—п-переход область базы р-типа, полученная диффузией база—коллектор; 4 примесей в п эпитаксиальный слой, р+ дополнительное легирование области базы под контакт п+ эмиттерная область; 6, 7, 8 д к базе; 5 токоведущие контакты к базе толстый изолирующий окисел эмиттеру, коллектору; 9 Si02, 10 тонкий изолирующий окисел. Под толстым окислом образованы р + -области 11 появление токов предотвращающие утечки между соседними транзисторами в ИС (б). — — — — — — — — ~ Продуктом микроэлектроники являются интегральные схемы, построенные из транзисторов. Транзисторы формируются в поверхностном слое полупроводниковой пластины толщиной 5—10 мкм. Остальная часть пластины фактически служит теплоотводящей платформой, несущей транзисторы. Широко применяются два типа транзисторов: полевые транзисторы, в которых электрический ток от истока к стоку управляется электрическим полем на управляющем электроде затворе (рис. 1а), и биполярные транзисторы, в которых электрический ток от эмиттера к коллектору из базового контакта током управляется (рис. 16). — 10
Размеры единицами микрон элементов и долями транзисторов исчисляются микрона. В течение двадцатилетней истории микроэлектроники прогресс выражался в элементов время в технологии постепенном уменьшении латеральных размеров транзисторов от 25—50 до 2—3 мкм в настоящее (для серийно изготавливаемых схем) (рис. 2). Важно подчеркнуть, что уменьшение размеров элементов транзисторов и занимаемой ими на поверхности пла- 100 Ю 1970 „ 1980 а 1990 Годы Рис. 2. Экспоненциальный закон уменьшения минимальных размеров / в рисунке топологии ИС и роста размера площади кристалла 5 [12]. стины площади сопровождается повышением их качественных характеристик: увеличением быстродействия, уменьшением потребляемой мощности. Малые размеры транзисторов микроэлектронных схем обеспечивают возможность разработки так называемых групповых технологических процессов: в ряде технологических процессов одновременно могут обрабатываться 108— 1010 транзисторов. Именно высокие коэффициенты групповой обработки обеспечивают огромную экономическую эффективность микроэлектронного производства. Если один транзистор занимает на поверхности пластины монокристаллического кремния площадь порядка 100 мкм2, на площади в 1 см2 разместится 1 млн. транзисторов. Число транзисторов в данной интегральной схеме, то называемое ее степенью интеграции, определяется ее функцией. Условно принято относить ИС к ИС малой степени интеграции, если число транзисторов в ней менее 100, к ИС средней степени интеграции при числе транзисторов до 1000; — 11
с числом схемы транзисторов до 104 к большим относятся (БИС), а схемы с числом транзисторов до 105 и более называют сверхбольшими (СБИС). ИС, от которых требуется максимальное быстродействие, носят название сверхскоростных (ССИС). схемам Плотность размещения транзисторов в интегральной определяется геометрическими (топологическими) и физическими факторами. Топологическая задача при создании интегральной схемы заключается в размещении транзисторов и соединений между ними на части поверхности пластины*). При большом числе транзисторов в интегральной схеме сетка соединений необычайно сложна и очевидно схеме будет занимать значительную часть площади поверхности Наличие пересечений проводников заставляет прокладывать в местах пересечений изолирующие диэлектрические слои. Таким образом, соединения становятся пластины. В многослойными. использовать 2—3 слоя современных СБИС приходится соединений, между которыми проводящих изолирующие диэлектрические слои. Переходы из одного проводящего слоя в другой выполняются через отверстия в диэлектрических слоях, специально формируемые для этой цели. Особенно большую площадь занимают шины лежат питания, поскольку площадь их сечения должна обеспечивать пропускание тока питания множества транзисторов без значительного разогрева. из физических факторов, ограничивающих размещения транзисторов на поверхности является обеспечение отвода тепла, выделяемого Главным плотность кристалла ИС, при работе ИС. Полупроводниковый кристалл с транзисторами в поверхностном слое крепится в корпус с выводами. Поток тепла от транзисторов проходит через полупроводниковый кристалл, элементы корпуса и отводится с корпуса и платы воздушным обдувом или потоком жидкости. При воздушном обдуве с 1 см2 относительно легко отводится мощность порядка 2 Вт, при отводе тепла обтекающей мощность порядка 20 Вт. Как видим, при размещении на площади 1 см2 1 млн. транзисторов каждый из них может выделять при работе мощность не более 2 мкВт в жидкостью — условиях воздушного обдува и 20 мкВт при обтекании охлаждающей жидкостью. *) По завершении процесса пластина в разрезается на изготовления прямоугольные литературе на английском языке, на русском языке), каждый кристалла ИС 12 в зависимости и «кристаллы из которых от ее ИС полупроводниковая кусочки содержит сложности (чипы ИС» — одну в — ИС. составляет chips — литературе Площадь 1—100 мм2.
Быстродействие транзистора количественно выражается транзисторных ключей, или вентилей простейших логических элементов в ИС. Время переключения т обратно пропорционально потребляемой временем переключения — мощности Р: Здесь А х=А/Р. величина, технологии. — работа примерно ключа на одно постоянная Допустим, А (1) переключение, для заданного 10пДж, т=1 = т. е. уровня не; при таком быстродействии каждый = вентиль в схеме будет потреблять Р— 10 мВт электрической энергии. Степень интеграции ИС 101 К-МОП п-моп N 51 шранзисторьЛ биполярные транзисторы ЮсрДж GaAs Полевые [транзисторы] Шrto GaALAs/GaAs GaALAs/GaAs | Переходы \Джозе(рсона Помебые транзисторы Гетеропереходные\ диполярнь/G \транзисторЬ/ Рис 3. Области параметров по быстродействию и потребляемой мощности, доступные для приборов различных типов. Максимальное быстродействие достигается в приборах на полупроводниковых структурах А^В^- быстродействия будет ограничена 200 при общей отводимой от одного кристалла мощности в 2 Вт. Отсюда видно, как сильно снижает предельную степень интеграции ССИС ограниченность отвода тепла и такого высокого вентилями насколько важны поиски путей снижения потребления ИС. Рис. 3 демонстрирует области параметров Р, т различных схемотехнических решений в случае кремни- мощности в для 13
евых схем, а также для ИС на арсениде галлия и сверхпроводящих элементах. В развитии микроэлектроники в настоящее время четко обозначились два направления: в ИС на полевых транзисторах стремятся достичь максимальных степеней интеграции при умеренном быстродействии и малой потребляемой мощности (сверхбольшие ИС), тогда как на биполярных транзисторах строятся сверхскоростные ИС, которые использовать как элементную можно базу сверхбыстродействующих ЭВМ. 1.2. Законы масштабирования (скейлинга) Влияние уменьшения размеров приборов на их параметры можно продемонстрировать на примере полевого транзистора. Характерные размеры полевого транзистора* следующие: ширина W и длина L затвора, толщина окисла T0XJ глубина диффузии примесей в области стока и истока Xj, ширина, толщина Ни Li. Изменим и дорожки Wu токоведущей длина размеры транзистора в S раз необходимо принять дополнительные все линейные (5<1). Одновременно Таблица 1. Масштабные коэффициенты 5<1 при различных условиях масштабирования Закон масштабирования Е Напряжение питания Размеры Толщина окисла под = const Уип= const ^кв 5/ип 5 1 s<sv<\ 5 5 5 5 или = 5 51/2 5 затвором Уровень легирования 5"1 5-1 5-1 подложки (независимые) условия масштабирования напряжения питания транзистора и уровня легирования (удельной проводимости) монокристаллической подложки кремния. В табл. 1* приведены условия масштабирования для трех случаев: 1) при постоянной напряженности электрического поля в транзисторе, .E^const; 2) при постоянном напряжении питания, FHn=const; 3) квазипостоянном напряжении питания VkB[13]. Пусть одновременно с уменьшением линейных и толщина Нг токоведусоединений между транзисто- размеров уменьшаются ширина W\ щих дорожек электрических 14
рами, а удельное сопротивление материала токоведущих дорожек остается неизменным (материал не изменяется). Изменения различных параметров логического элемента масштабировании в условиях постоянного поля табл. 2 [13]. Как видно из таблицы, подобное уменьшение линейных размеров полевого транзистора в 5 раз увеличивает его при приведены в Таблица Законы 2. масштабирования параметров транзистора при постоянном поле Е = Масштабный коэффициент Параметр Размеры прибора Напряжение полевого const (W, L, Тох, X/', Wly Hh Lt) питания S S 1 5 Уип поле в приборе Е Пороговое напряжение прибора Vt Уровень легирования подложки Nn Ток питания прибора / Электрическое Емкость затвора С Время переключения логического элемента (ЛЭ), т Мощность, рассеиваемая ЛЭ, Р Рх Работа переключения ЛЭ А = Плотность выделяемой мощности Р/2 Сопротивление токоведущих дорожек, R = p ^ [т • s-1 S-1 раз, мощность рассеиваемой энергии S~2 раз, а работа на одно переключение в S~s раз. Плотность выделяемой мощности, отводимого тепла, остается неизменной. При быстродействие уменьшается уменьшается подобном s-1 1 Постоянная времени задержки RC для токоведущих дорожек Плотность тока в соединениях J т. е. S S S S2 S3 1 в в уменьшении линейных размеров нежелательные в возникают системе токоведущих дорожек: сопротивление их возрастает в 5"1 раз (хотя их длина сокращается в S"1 раз, площадь сечения уменьшается в 5~2 раз). Плотность тока в токоведущих дорожках увеличивается что снижает их надежность из-за деградации, в 5""1 раз, вызываемой процессами массопереноса электрическим последствия [13]. Из сказанного следует, током что стратегия одинакового уменьшения всех линейных размеров в СБИС не является оптимальной. величинам практически важных случаях различным придают различные масштабные коэффициенты. В 15
Аналогично обстоит дело при масштабировании других параметров. Например, стратегия масштабирования при постоянной напряженности электрического поля в приборе предполагает пропорциональное изменениям линейных размеров уменьшение напряжения питания. При наличии промышленных стандартов на напряжение питания изменение напряжения питания создает большие затруднения при проектировании и эксплуатации СБИС, поэтому стратегии масштабирования при постоянном напряжении и при квазипостоянном напряжении являются наиболее перспективными. уменьшении линейных размеров транзисторов режим их работы. При постоянном питания F„n=const поле в канале будет напряжении возрастать по мере уменьшения его длины; дрейфовая скорость электронов будет возрастать пропорционально напряженности поля: vd=\xE, pi=const. При может измениться Таблица 3. Коэффициенты масштабирования параметров const и vd const МДП-транзисторов в условиях \in = E = = Уяп= const const ^KB Параметр [in = const v . const = \Xn— const vd- const Hn= const t»d=const ! 5 5 5 5 5 5 5 51/2 5-1 51/2 5-1 5 5-1 5 5-1 51/2 51/2 5-i 5-i V 5 5 1 1 51/2 j 5 5 1 5-5/2 51/2 53/2 51/2 51/2 5-3/2 53/2 5 с 5 5 5-1/2 53/2 T 5 5 52 P 52 52 5-1/2 P/A %P 53 1 1 53 Однако при некоторой электронов достигает длине канала максимально 5 5 5 53/2 5 51/2 5-3/2 52 5 дрейфовая 5~! 52 скорость возможного Этим двум случаям отвечают масштабирования, приведенные в табл. 3. Там же приведены значения масштабных коэффициентов при стратегиях I/„„=const и Vuh=SvVm. масштабирования £=const, (насыщающего) законы 1W значения: vd=vmax.
В биполярном транзисторе масштабируются ширина и эмиттерного контакта Wbi La, толщина базы W& длина уровень легирования базы N6, напряжение питания Vm. Выбор значений этих величин позволяет вычислить эмиттера /э, емкости переходов база Скб, коллектор коллектор подложка CKL, сопротивление базы г& время задержки переключения т, рассеиваемую мощность Р, плотность мощности /V2 и работу на одно переключение Рх. Емкости переходов связаны нелинейно с Уип, а время задержки производные эмиттер переключения ток параметры: база Сэб, — т состоит из перезарядкой — — нескольких обусловленных слагаемых, соответствующих емкостей при переключении Таблица 4. Коэффициенты масштабирования параметров биполярного транзистора Вариант 1 VHn const Параметр WB9LB w6 N6 Кип 7Vg = s 5 s 1 const 1 1 S 52 s2 52 Сэб Скб *) Вариант 2. = 52 Параметр Вариант 1: Кип const Я7б, Л^б= const Скп S2 S2 1 1 X P P/S Рх Вариант 2: = aS1l*+bS%'*+ aS3/2+655/3+ +cS~S* +) +cS3~S2 *) S2 1 S4 5 S5 Указано возможное округление степени масштабного коэффициента S. транзистора. Поэтому масштабные коэффициенты этих величин выражаются дробно-степенными полиномами от масштабного коэффициента S. Законы, полученные для параметров биполярного транзистора при масштабировании линейных размеров, приведены в табл. 4*) (транзистор работает в режиме переключения больших токов). Приведенные результаты показывают сильную зависимость важнейших параметров транзистора от масштабного коэффициента. При масштабировании =const время задержки переключения Уи„= потребляемая S2, работа на в условиях т и мощность Р уменьшаются пропорционально *) Автор выражает благодарность А. А. Орликовскому подготовку табл. 3 и за 4. 17
одно переключение уменьшается Достигнутый рекордный пропорционально S4, постоянной. уровень быстродействия ИС на выделяемой мощности плотность остается транзисторах для технологии с минимальными размерами 1 мкм характеризуется временами задержки 0,1— 0,2 не при А=0,02—0,4 пДж. Кардинальный способ уменьшения средней мощности, потребляемой в ИС на полевых транзисторах,— использование в ИС одновременно п- и р-канальных транзисторов (к-МОП ИС). В таких ИС полевых транзисторы с каналом одного типа проводимости в канале нагружены транзисторами с противоположным типом проводимости канала, и в результате в статическом режиме через ячейки текут чрезвычайно малые токи. Можно сказать, что неработающая ИС не потребляет мощности от источника энергии. При работе в режиме переключений потребление мощности возрастает, однако в среднем остается в 5—10 раз меньше, чем для ИС, построенных на транзисторах одного проводимости в канале, поскольку последние энергию как в статическом режиме, так и в режиме переключения. Для биполярных схем можно создать аналогию к-МОПсхемам на полевых транзисторах, если в качестве /г- и рканальных транзисторов использовать биполярные транзисторы п—р—/г- и р—п—р-типов. Однако изготовление в едином технологическом процессе одинаково совершенных п—р—п- и р—п—р-транзисторов оказалось очень сложным делом, и «дополняющие» биполярные ИС не получили широкого распространения. Весьма интересной ветвью развития ИС на полевых транзисторах являются ИС на кремнии на сапфире (КНС), в которых транзисторы изготовлены в пленке монокристаллического кремния, выращенной на диэлектрической подложке из монокристаллического сапфира (А1203). Опыт показывает, что как время задержки, так и потребляемая мощность в ИС на КНС уменьшаются примерно в два раза по сравнению с ИС на полупроводниковой подложке. Некоторые типа потребляют заводы изготавливают ИС со сапфире». Однако чем стоимость пластины трудностей диаметров, травления), из структурой «кремний пластины КНС на значительно монокристаллического кремния, выше, из-за больших выращивания монокристаллов сапфира их механической обработки (резки, шлифовки, а также сложности процесса получения монокристаллических слоев кремния на сапфировой подложке из-за гетерогенности структуры Si—А1203. При уменьшении размеров транзисторов до субмикрон- совершенных 18
ной области возникают изменения в характере физических процессов, протекающих при функционировании транзистора. Интересным примером таких изменений является бесстолкновительный перенос электронов через биполярного или канал базу полевого транзистора. При бесстолкновительном переносе электронов твердотельный транзистор функционирует примерно так же, как электровакуумная лампа, в которой электроны без столкновений транзистора через переносятся от катода к аноду. В этих условиях вольтамперные характеристики транзистора становятся сходными с вольтамперными характеристиками вакуумной лампы. При размерах порядка 0,01 мкм и менее на первый план выступают туннельные явления. Наиболее ярким достижением физики полупроводников в последние годы в направлении создания структур с туннельно-тонкими сверхрешетки, т. е. слоями являются искусственные так называемые («синтетические») полупроводники, в которых чередуются слои полупроводников двух типов и более толщиной 1—10 нм (10~3—10~2 мкм). Эти продуктом естественного развития физики т. е. переходов между двумя различными полупроводниками [14]. Сверхрешетки обладают существенной анизотропией электросопротивления для токов, структуры являются гетеропереходов, протекающих вдоль слоев сверхрешетки и перпендикулярно к ним. Движение электронов перпендикулярно к слоям имеет характер туннелирования сквозь энергетические барьеры, созданные на границе двух полупроводников различной шириной запрещенной зоны; подвижность электронов в этом направлении будет ограничена. Напротив, с вдоль слоев сверхрешетки движение электронов не сопряжено с Более того, электроны и донорные примеси, их породившие, можно пространственно разделить, воспользовавшись переходом электронов в область потенциальной ямы на границе между слоями двух полупроводников. Электроны в такой потенциальной яме не испытывают рассеяния на заряженных примесях и поэтому обладают особенно высокой подвижностью при движении вдоль слоя. Транзистор, в котором используется движение электронов в таких условиях, получил специальное название: НЕМТ (high electron mobility transistor). Особый класс туннельных приборов составляют преодолением барьеров. заряженные приборы, основанные на использовании эффекта Джозефсона, в которых происходит туннелирование сверхпроводящих пар через туннельно-тонкие (2—3 нм) слои диэлектриков или через области со слабой сверхпроводимостью [62—67]. 19
При оценке перспектив применения туннельных приборов в широкой практике возникает необходимость оценки туннельно-тонких живучести эксплуатации довольно суровыми электрические слоев вещества в условиях приборов. Эти условия часто являются (высокие плотности тока, сильные повышенные температуры, термоциклирование туннельных приборов будет возникать как результат перемешивания вещества сверхтонких слоев путем диффузии или других механизмов переноса атомов (электроперенос). Снижение температуры является очевидным способом подавления термически активированных и т. поля, п.). Деградация диффузионных процессов, однако при этом могут сохраниться туннельные и электрические (полевые) механизмы переноса. Подавление последних может потребовать принятия мер, функционирования ухудшающих условия самих приборов. 1.3. Ограничение быстродействия СБИС задержкой сигналов в соединениях Функциональную охарактеризовать степенью кристалле СБИС, сложность интеграции N иногда — (N СБИС — можно число элементов в число логических вентилей). Технологическую сложность СБИС принято характеризовать площадью двумя геометрическими параметрами Ли минимальной шириной линии в рисунке кристалла СБИС / (минимальный размер элемента СБИС). СБИС — — — интеграции и площади кристалла экспоненциально возрастают во времени в течение всего периода развития микроэлектроники, тогда как минимальный размер в рисунке СБИС экспоненциально уменьшается: Максимальные значения степени А =25 -Ю-10 ехр /=10-5 ехр [0,178 (/—1900)] см2, [—0,1350—1900)] см, (2) (3) где время t измеряется соответствующим годом летоисчисления. Эти закономерности носят эмпирический характер, и поэтому числовые множители в функциях вида (2), (3) в работах разных авторов различаются [12]. Зависимости, соответствующие приведенным эмпирическим функциям, представлены на рис. 2. Экстраполяция функций A (t) и l(t) на конец 80-х годов дает величину максимальной площади кристалла СБИС 200 мм2 и минимальный размер рисунка 0,5 мкм. Обычно эти величины^ относят к максимальным достижениям в производстве, а не в разработках ИС. Экспоненциальный рост степени интеграции и площади кристалла и экспоненциальное уменьшение минимального 20
размера в рисунке СБИС, в том числе в рисунке электрических соединений, подчеркивают возрастающую (по-видимому, экспоненциально) роль электрических соединений в технологии СБИС, частности, их быстродействия. Проведем так и в определении их как параметров, в методом масштабирования анализ роли соединений в определении быстродействия СБИС [12]. Разумно классифицировать их на три уровня. Первый соединения уровень объединяющие небольшую группу СБИС, разделяя составляют соединения, элементов в блок, короткими линиями, значительно меньшими размера СБИС, размещенными, как правило, в выполняемые линейного слое. Этот первый уровень соединений локальными соединениями. Второй можно одном назвать уровень соединений СБИС посредством длинных межсоединений, длина которых может быть сравнима с объединяет блоки первого уровня в линейными размерами кристалла СБИС. Программы проектирования соединений в СБИС по критерию минимизации их длины играют очень большую роль в обеспечении быстродействия СБИС, поскольку задержки распространения сигнала на длинных соединениях могут быть того же и превышать задержки блоках первого уровня. И, наконец, соединения СБИС между собой на плате составляют третий уровень; их свойства определяют, насколько эффективно а иногда порядка величины, переключения элементов в используется в системе трудом быстродействие все три уровня Построим (блоке ЭВМ) достигнутое с таким СБИС. Разберем последовательно соединений. сначала модель соединений. Соединения из проводящего материала с удельным сопротивлением р; ширина полос W и расстояния между полосами Ls равны минимальному размеру /: W=Ls—l. Толщина проводящего слоя масштабируется одновременно с / и равна #=0,25/; аналогично толщина Х0х слоя окисла кремния, в который погружены проводящие полосы, составляет Х0х=0,ЗЫ. Время задержки определяется скоростью распространения сигнала в распределенной /?С-цепи, оно выполняются равно т=0,89#С для ступенчатого сигнала. Для локальных соединений первого уровня все линейные размеры масштабируются тем же коэффициентом S<1, что и для транзисторов. Тогда электрические параметры соединительной ли* нии, включая время задержки распространения сигнала, масштабируются согласно табл. 5. Как видно, такие важнейшие параметры связей, как время задержки распространения сигнала и падение напряжения на них, не изменяются 21
при однородном масштабировании всех линейных размеров в системе (в условиях сохранения постоянного поля в транзисторе). Плотность тока и сопротивление линии возрастают пропорционально S"1. Законы масштабирования соединений второго уровня отличны от законов масштабирования соединений первого Таблица 5. Коэффициенты масштабирования параметров соединений первого и второго уровней в СБИС (S<1, Sq>\) Масштабный коэффициент Параметры I уровень Размеры соединений (длина Ьг, толщина Hti ширина Wh расстояние между линиями LSi толщина окисла между линией и подложкой Х0х) Сопротивление Емкость линии R = s-1 pLi/WiHi линией между S и подложкой С0х = S Cj = S ^BoKoxLtWt/Xos*) Емкость между двумя соседними линиями EoKoxLiHi/Ls Задержка сигнала 0,89RC = Падение напряжения Плотность на тока в линии 1 линии IR 1 I/HtWi s-1 II уровень Размеры соединений Н, W, LSl Х0х Длина Lmax соединений второго уровня Сопротивление линии R=pLmax/WH линией Емкость и подложкой между = S С0х = С/ = Sc SCS~2 Sc So/Co^max^/^o* Емкость между двумя соседними линиями Sc ZoKoxLmaxH/Ls Задержка сигнала 0,89RC = Падение напряжения Плотность *) К0 S*S~* на линии тока в линии I/HW — IR scs-i s-1 диэлектрическая постоянная окисла кремния уровня потому, что длина их связана с площадью размерами в топологии СБИС; уменьшаются, то длина связей второго уровня возрастает. Эмпирический закон, кристалла, а не с минимальными последние связывающий максимальную длину связей второго уровня А кристалла, приведен в [15]: Ьшп^КА*, 22 если постепенно с площадью (4)
К, g—константы. При описывает хорошо значениях K=g=1A функция (4) экспериментальную зависимость Lmax (А) [10]. Это означает, что максимальная длина соединения второго уровня изменяется во времени (летоисчислении) по закону Ьтах 0,5Л1/2^2,5.10-^ехр[0,089(^ —1900)] = Обозначив см. (5) длины связей масштабирования второго Sc(t) (SC>1 и возрастает во времени), получим законы масштабирования электрических параметров связей согласно табл. 5. Время задержки на длинных связях закон уровня второго уровня зависит от размера кристалла размера топологии / [12]: ть изменение и минимального (0=5,53e0/Co* pA (t)/l* (t), времени задержки по годам (6) передается формулой [12]: Ть=1,3.108е0/Сохрехр [0,448(/—1900)]. (7) Величины р и Кох определяются выбором проводящего материала и изолирующего диэлектрика в структуре соединений СБИС; если в отношении диэлектрика выбор по технологическим причинам ограничен двуокисью кремния Si02» то в качестве проводников могут применяться различные материалы. При выборе материалов для соединений приходится учитывать сложный комплекс требований, таких как технологичность, устойчивость термостабильность, коррозионностойкость к обработке в технологических средах, и с другими материалами, входящими способность образовывать омические СБИС, конструкцию или контакты Шоттки с полупроводником, и, конечно, высокая электропроводность. Очень большое значение имеет стойкость к процессам электропереноса, обеспечивающая длительную работу без разрушения при высокой плотности химическая совместимость в электрического тока. исследованы и применяются три группы материалов, примеры которых приведены в табл. 6: металлы и их силициды сплавы, металлов, поликремний. Алюминий Широко обычно применяется в сплаве с кремнием (~1%), он имеет высокую электропроводность и технологичность, однако его применение ограничивается подверженностью электропереносу и низкой температурой плавления, что «запрещает» применение высокотемпературных процессов при создании алюминиевых многослойных соединений в СБИС. Превос23
ходным набором свойств обладают силициды тугоплавких металлов: технологии их получения и свойствам в последнее время посвящено огромное количество работ; их единственным недостатком является большее в 5—10 раз по срав- Таблица 6. Свойства материалов, применяемых для создания соединений СБИС к s о о V Материал О s О s * # s Q. 2,26 А1 5,5 10 3410 Мо 5,0 12,5 WSi2 21,6 MoSi2 8,5 TaSi2 13,0 TiSi2 поли-Si 250,0 10 30 40 35 15 500 2610 2165 2050 2170 1540 1410 W Химческая совметиь Устойчив ь окисленю 660 3 Другие факторы, ограничивающие применение Нет Деградация Нет рсмиграции окислов не из-за элект- Летучесть допускает термообработки в окислительной атмосфере Нет Да Да Да Да) Да! Да[ Да) Да Да Повышенное сравнению по А1 с электрическое сопротивление • Высокое электрическое сопротивление исключает применение в соединениях второго уровня *) Pv~Удельное электрическое сопротивление объемного материала; р,— удельное электрическое сопротивление материала в форме тонкой пленки; Т —температура плавления. электрическое сопротивление. Слои поликристаллического кремния даже после легирования электрически активными примесями высоких концентраций обладают почти в 200 раз меньшей электропроводностью по сравнению с алюминием. Это обстоятельство допускает их применение в коротких соединениях, длина которых измеряется микронами. Совокупность всех требований к соединениям в СБИС может обусловить применение различных материалов в различных слоях соединений. Соединения, расположенные в различных слоях, имеют электрические контакты через отверстия в диэлектрике, их нению с алюминием разъединяющем; отсюда вытекает дополнительное кого 24 сопротивления контакта между требование этими низ* материалами.
Из СБИС, формул (6) по (7) следует, что с развитием технологии мере увеличения площади кристалла А и и размеров / соединительных линий, задержки на линиях второго уровня возрастает, а время переключения логических элементов уменьшается по мере уменьшения /. В результате задержки на соединениях играют все возрастающую роль, а при ширине соединений уменьшения минимальных время / ю юг1 ю /о2/ ю ю2 S,mm2 Рис 4. Зависимость величины задержки сигнала на соединениях в БИС от площади кристалла при разных размерах: 1=5 (а), 1 (б), 0,5 мкм {в) для разных металлов (/ —Al, 2~~W, 3—WSi2, 4 поликристаллический кремний) При — размерах /<1 0,5 мкм мкм задержки на соединениях определяют задержка на более 5 мм2 превышает время уже при площади соединениях переключения логических элементов кристалла (рис. 4). По-видимому, единственно возможным способом полного использования логического элемента в быстродействие БИС. СБИС является быстродействия разработка такой архитектуры СБИС, когда длинные соединения в СБИС отсутствуют. теперь роль задержки на соединениях уровня. Пусть на плате смонтировано М СБИС, в каждой из которых содержится N логических элементов (ЛЭ), полное число ЛЭ в блоке ЭВМ. Можно показать N0^MN Рассмотрим третьего — [16], средняя длина соединений на плате составляет L3=*/bclVm, a шаг размещения СБИС на плате. Число ЛЭ, последовательно включаемых в процессе одного цикла что — 25
обработки логической информации, в СБИС время суммарной задержки соединений на т0 на и [16]. Тогда на линиях плате за один цикл составляет соответственно: tL3 — n=1/3]/rN погонная М При задержка = 100 V3VMa%0, (задержка связи). а=3 N=1000, и (9) сигнала в линиях связи линии длины единицу = см, т0=10-10 с, с, т. е. быстродействие блока ЭВМ определяется задержками на логических элементах СБИС. При тлэ^Ю"10 с имеет место = 10~9 с получим тис= 10~8 с, тЛэ= т1з=2-10~9 Оптимальное соотношение (минимальное цикла) имеет место при минимуме системного еремени задержки на каскад тс=тЛэ+т^з/п. Подставив тлэ в виде %лэ=А/Рлэ=р jN где Рис мощность, обратное соотношение. время — , выделяемая в СБИС, из условия минимума тс легко найти интеграции СБИС и времени оптимальные значения степени задержки ЛЭ в них [16]: (10) (И) [2Pnax0N^A^]^9 ^ЛЭопт-^в.опх^^ЛаТо^2^-1]^. Nom При = Л = 10"13 Дж, а=3 см, т0=10"10 с, Р= 2 Вт, N0= Ю5, Тлэопт^Ю-10 1.4. = с. Архитектурные ИС типы Микроэлектроника в своем развитии прошла ряд этапов, каждый из которых можно охарактеризовать преобладающим архитектурным типом или сочетанием нескольких архитектурных типов производимых интегральных Мы считаем возможным и целесообразным выделить схем. следующие архитектурные типы ИС: 1) стандартные ИС, СИС и БИС, в том числе полупроводниковой памяти; 2) микропроцессорные комплекты БИС; 3) полузаказные БИС на матрицах БИС логических ячеек; 4) пол у заказные БИС на основе ячеек, выполняющих функции стандартных БИС и ИС; 5) заказные (специализированные) БИС; 6) субсистемы на целой кремниевой пластине; 7) трехмерные ИС. 26
Появление каждого архитектурного БИС типа вызвано необходимостью разрешения серьезного внутреннего противоречия, возникающего в связи с неизбежным ростом интеграции ИС. Это противоречие заключается в росте номенклатуры и снижении повторяемости ИС в аппаратуре с ростом степени интеграции БИС. Интегральная схема высокой степени интеграции неизбежно становится специализированной, теряет свойства универсальности. Число степени типов ИС, необходимых для реализации системы с заданным вентилей, по мере роста степени числом логических интеграции проходит через максимум (рис. 5). Число выводов W3 \ 1 ю /1— / I I 10 1Q2* - i 1Q* Г 10* N/13 Рис. 5. Рост номенклатуры (числа функционально различных типов) БИС по мере увеличения их степени интеграции Njjg N0— общее число логических элементов в устройстве ЭВМ. БИС возрастает по степенному закону, достигая значений порядка 102—103 [16]. Поскольку аппаратура может быть изготовлена только при наличии всей номенклатуры БИС одновременно, возникают сложные проблемы снижения затрат времени на проектирование БИС, изготовление фотошаблонов и другого специализированного инструмента, изготовление измерительных и испытательных средств, и опытных партий на организацию серийного производства БИС. Эти важные проблемы микроэлектроники стоят того, чтобы на них остановиться подробнее. 1.5. Стандартные ИС Первые два этапа развития микроэлектроники, когда создавались стандартные ИС и микропроцессорные комплекты БИС, можно назвать ее «золотым веком». Стандарт27
ИС БИС биполярных транзисторах транзисторно-транзисторной логики (ТТЛ), транзисторно-транзисторной логики с диодами Шоттки (ТТШЛ), эмиттерно-связанной логики (ЭСЛ), инжекционной логики (И2Л). В состав каждого комплекта ные и развивались в включается логические на памяти схемотехнике до 150 типов ИС, выполняющих стандартные функции триггеров, сумматоров, регистров декодеров, аналого-цифровых и цифро-аналоговых преобразователей, не говоря уже о стандартных логических вентилях с различным числом входов и нагрузочной способностью. Все эти схемы и хранения сдвига, счетчиков, проектируются для условий эксплуатации на печатных при работе друг с другом; этим определяется выбор напряжений, уровней питающих сигнала, платах отвечающих логическому нулю и единице, помехоустойчивость, способность теплоотвода и т. д. По мере развития технологии и перехода ко все более малым комплекты имели размерам эти каждое последующее издание высокой более эффективностью, т. е. минимальным несколько «изданий», характеризовалось работы на одно переключение А=РЛЭ%. Логические стандартные ИС дополнены ИС и БИС памяти меньшим значением на 16, 64, 256 бит, 1, 4, 16, 64, 256 кбит постоянной, программируемой перезаписываемой) доступом. На оперативной памяти в модификациях (однократно и с произвольным аналогичные семейства транзисторах ИС и БИС памяти были использованием р-МОП, n-МОП и к-МОП технологий. полевых стандартных изготовлены с и памяти логических и схемотехнический ряд стандартных ИС состоит из нескольких серий, содержащих в сумме более 1000 типономиналов ИС. Серии стандартных ИС составляют основной тираж производства микроэлектронной Параметрический и основную элементную базу современных больших ЭВМ и другой цифровой аппаратуры. Однако уже более 10 лет назад относительно простые функции, промышленности выполняемые противоречие стандартными логическими с возможностями изготовлении разработке логических, так использовалась и изготовлении полупроводниковой памяти. В дальнейшем, технология БИС получила применение БИС 1971 г., начиная с в разработке называемых комплектов БИС, пол у заказных заказных логических БИС. в технологии в больших ИС. Технология БИС сначала только в изготовлении ИС, пришли микроэлектронной и микропроцессорных и в самое последнее время
1.6. Микропроцессорные К настоящему времени комплекты известно микропроцессорных комплектов БИС [17] (МПК условно разделить на три класса: БИС более 400 БИС). Их 1) однокристальные микро-ЭВМ; 2) микропроцессорные комплекты на можно основе МП; 3) МПК на основе процессорных секций или секционированные МПК (СМПК). В однокристальной ЭВМ центральный процессор однокристальных имеет фиксированную структуру и систему команд и объем оперативной и постоянной памяти в 2—3 кбайта. Типичными представителями МП БИС этого класса являются БИС для карманных калькуляторов. Большое распространение получили МПК на основе однокристального микропроцессора. Они содержат БИС собственно микропроцессора, микропрограммного постоянного запоминающего устройства (ПЗУ), программируемого маской или электрически, БИС с функциями организации ввода-вывода, БИС различных контроллеров, генераторов и другие таймеров, интерфейсные («обрамляющие») схемы. Существенными недостатками микропроцессорного комплекса являются его ограниченные возможности по обмену информацией с внешней средой обработки информации параллельной и производительности. Наиболее гибкими свойствами секционированные МПК, по организации для повышения системной обладают позволяющие синтезировать структуры с организацией и разрядностью, кратной 2, 4 и 8. Разбиение на секции процессорной и интерфейсной частей в СМПК позволяет добиться эффективного применения СМПК при создании ЭВМ общего и специализированного назначения. Системы команд и алгоритмы работы реализуются на микропрограммном уровне и выбираются на этапе различной создания вычислительного устройства [17]. В табл. 7 приведен перечень некоторых микропроцессорных комплектов БИС с указанием класса МПК [17]. Наиболее сложные МПК, разработанные к настоящему времени ведущими микроэлектронными фирмами за рубежом, характеризуются достижением разрядности, равной 32, увеличением встроенной и адресуемой памяти, объединением в составе БИС ранее изготавливавшихся отдельно схем, таких как аналого-цифровой и цифроаналоговые преобразователи, снижением уровня потребляемой мощности 29
(в результате перехода на к-МОП технологию) и повышением быстродействия [17]. Наиболее массовое применение в аппаратуре получили 4-, 8- и 16-разрядные МПК БИС. Несмотря на огромные успехи в развитии МПТ, разнообразие применяемых архитектурных решений, МПК в ней конструктивных приобрести не смогли универсального характера, и досточно что позволило бы решать задачи по Таблица 7. Характеристики некоторых серий микропроцессорных БИС [17] Серия БИС МПК Технология к-МОП к-МОП И2Л И2Л И2Л К 587 К 588 К 582 К 583 К 584 К 536 К 589 КР 1804 КР 1802 КР 1800 К 580 К 586 К 581 /7-МОП ттлш ттлш ттлш эсл /г-МОП /г-МОП /г-МОП Число Разрядность БИС ЦПЗ *), бит типов БИС 4 3 5 7 4 11 10 5 15 8 4 3 4 *) ЦПЭ —центральный процессорный Время цикла ЦПЭ, мкс 4/г 16/г 4/г 8/г 4/г 8/г 2/г 4/г 8/г 4/г 8 16 2,0 2,0 1,75 1,0 1,0 10,0 0,1 0,12 0,15 0,05 2,0 0,5 0,4 8; 16 элемент. созданию на их основе ЭВМ и цифровой аппаратуры всех Общепризнанным фактом является невозможность создания на основе МПК так называемой супер-ЭВМ и больших машин [16]. Даже в области создания малых устройств обработки цифровой информации разнообразие требований классов. столь велико, что все имеющиеся типы покрывают этих потребностей; появляются МПК БИС все новые не и новые МП БИС, теперь уже называемые заказными, специализированными [18]. Поэтому очевидна потребность в более гибком архитектурном типе логических БИС, позволяющем быстро удовлетворять потребности архитектурным БИС. 30 типом оказались в новых типах; полузаказные и этим заказные
1.7. на Полузаказные и заказные матрицах логических Простейшим типом заказных основе матриц логических быть полностью БИС являются Матрица элементов. БИС на не обязана однородной; располагаются элементы, логическое БИС ячеек из внутри площади кристалла которых формируется будущее тогда как элементы на устройство, периферии кристалла предназначаются для создания интерфейса, работающего с другими схемами на плате. Все операции по изготовлению матрицы для заказной большой интегральной схемы (ЗБИС) могут выполняться до появления заказа; пластины с готовыми матрицами элементов могут находиться на складе изготовителя ИС. Заказ в форме описания функций ЗБИС или в форме описания соединений элементов матрицы поступает к разработчику; в первом случае разработчик запускает описание в систему автоматизированного проектирования БИС (САПР БИС), проектирует соединения в БИС и наготавливает шаблоны для их исполнения. В зависимости от сложности занять от 2 до заказа 12 недель [18]. его Скорость выполнение главное преимущество этого подхода. руководствоваться выработке заказа. может выполнения заказа Заказчик — может различными соображениями при случаями являются простой Простейшими перевод в логическую БИС схемы, ранее использованной заказчиком в форме гибридной схемы или схемы (на плате) из стандартных Результатом такой ИС, СИС и замены может дискретных элементов. быть снижение потребляемой мощности, повышение плотности упаковки в аппаратуре, снижение стоимости. В других случаях заказывается новая логическая схема, отвечающая логической структуре аппаратуры. Количество ячеек в матрице уровня используемой технологии. При минимальном размере 5 мкм число вентилей в матрице достигает 5000, при минимальном размере 3 мкм число вентилей возрастает до 10000, а при освоении 1 мкм технологии сложность матрицы может составить 105 логических вентилей. Как правило, матрицы создаются по к-МОП технологии; в тех случаях, когда не имеет большого значения, какова рассеиваемая БИС мощность, используются матрицы, изготовленные по более простой n-МОП технологии. разрабатываемой зависит от матрицы служат для изготовления сверхбыстродействующих БИС или БИС, содержащих линейные Регулярная структура матрицы облегчает Биполярные проектирование соединений, сокращает, благодаря схемы. наличию в распоря31
жении изготовителя готовых матриц в момент принятия заказа, время проектирования и изготовления образцов заказных БИС. Существенна и возможность быстрого переиздания матрицы в других технологиях или по усовершенствованной технологии. Главным недостатком матричных БИС является полупроводниковой неполное использование площади пластины. Выигрыш времени в изготовлении образцов матричных БИС можно увеличить, изготовляя соединения на матрицах методом прямой электронной литографии на пластине или используя лазерные методы осаждения соединений. В случае этап изготовления фотошаблонов отсутствует. использовании время методов исполнения этом При прямой электронной литографии заказа может Изготовитель, имеющий быть уменьшено до 2—4 в своем распоряжении развитую систему автоматизированного проектирования БИС, а также переналаживаемые системы измерений и испытаний недель. БИС, может ежедневно получать новые типы логических БИС. Такая организация разработок и изготовления заказных логических БИС является развитой формой гибкого автоматизированного производства в микроэлектронике (ГАП). 1.8. Полузаказные Вторым логических БИС БИС на стандартных архитектурным являются (БИС стандартных ячеек случае для исполнения ячейках типом полузаказных на библиотеке стандартных ячейках). В этом БИС, построенные на полученного заказа чаще всего разрабатывается полный комплект фотошаблонов, а не только шаблоны для выполнения соединений, как и в случае БИС на матрице стандартных элементов. Этим достигается значительная экономия кремния, площадь кристалла БИС на библиотеке стандартных ячеек может быть уменьшена в два раза по сравнению с площадью кристалла БИС на матрице логических ячеек. Важной проблемой в разработке логических БИС на стандартных ячейках является выбор библиотеки стандартных ячеек. Библиотека ячеек стремится расти благодаря аккреции (присоединению) Однако слишком неудобства все новых и новых ячеек. большая библиотека ячеек создает большие при ее переизданиях по мере совершенствования технологии. Введение в библиотеку больших ячеек или макроячеек делает метод менее гибким при дроектировании заказных БИС на основе этих ячеек, поэтому библиотека по32
полняется методом тщательного отбора наиболее ценных и универсальных ячеек. Разумеется, у каждого разработчика имеется своя собственная библиотека, соответствующая его специализации в той или иной области микроэлектроники или технологии. Число типов ячеек, имеющихся «в разработчика, кармане» у библиотеку, которую [18]. он может в сто раз превышать ту предоставляет заказчику логических БИС Функции ячеек, как правило, выбираются функциям стандартных серий логических эквивалентными 7400 или к-МОП 4000. Библиотеки логические ячейки типа не — включают или, не — и, ИС ТТЛ стандартные используемые и в матрицах логических элементов. включаются и более сложные Однако в библиотеку ячейки, содержащие до 100— 150 логических элементов, такие как счетчики, триггеры Шмитта, декодеры, генераторы библиотеки могут быть сигналов. В ряде случаев макроячейки типа преобразователей аналог-цифра и цифра-аналог, блоки постоянной и оперативной памяти и даже микропроцессорные в включены секции. В библиотеки могут быть включены также аналоговые устройства, например, операционные усилители и компараторы. Ячейки, включенные в библиотеку, должны быть «мягкими» в том смысле, чтобы их параметры можно было менять с учетом требований, ситуации в нагрузок или топология предъявляемых к ним в конкретной заказной БИС, например, по числу быстродействию. Это означает, что их быть изменена по сравнению с топологией составе по должна стандартной ИС, предназначенной для использования на плате и спроектированной с учетом худших условий ее работы. Годовой тираж пол у заказных логических БИС может составить от нескольких сотен штук до сотен тысяч. При тиражах более выгодны полузаказные БИС на матрицах, поскольку затраты времени и средств на их разработку и изготовление минимальны. При больших тиражах более экономичными становятся полузаказные БИС на основе библиотеки ячеек, поскольку несколько большие затраты на их разработку перекрываются экономией кремния при изготовлении большой партии ИС. очень малых 1.9. Заказные (специализированные) Отдельным архитектурным заказные Специализация 2 типом (специализированные) таких систем может К. А. Валиеэ БИС являются логические быть такова, полностью БИС. что при их раз33
работке ячеек; невозможно использовать более но типичным случаем библиотеку является стандартных необходимость проектирования полностью новой БИС (без использования библиотеки ячеек) с целью экономичного производства схем при больших тиражах их изготовления. При индивидуальном (новом) проектировании без использования библиотеки ячеек площадь кристалла может быть уменьшена на 30— 40% по сравнению с аналогичной ИС на библиотеке стандартных ячеек. Тиражи полностью заказных БИС должны составлять сотни тысяч ИС в год. По оценкам специалистов в 1990 году до 30% объема Еыпуска логических ИС будут составлять полузаказные и заказные логические БИС [18]. 1.10. Субсистемы на целой пластине Естественным развитием идей полузаказных логических БИС на матрице логических элементов или библиотеке стандартных ячеек является предложение о создании субсистемы на целой пластине. Техническая целесообразность поиска такой технологии вытекает из необходимости сокращения длины связей между ячейками (блоками) системы. интегральных схем, изготовленных на пластине площадью около 100 см2, в субсистему кремниевой собой максимально сложную цель, представляет Объединение всех на современной технологической базе. (Новейшие идеи по увеличению степени интеграции и сокращению длины связей в системе основаны на возможности использования достижимую сборки типа «стопы пластин» или трехмерных БИС, ниже). Итак, мы сформулировали цель создания субсистемы в форме единой сверхбольшой (гигантской) интегральной схемы, кристалл которой имеет площадь порядка 100 см2 методов см. содержит 107—108 логических элементов. Можно оценить зероятность исправности всех логических элементов в такой субсистеме известной формулой для выхода годных ИС: и (12) W=exp(-DnA/l*)y площадь ИС где А всей пластины), D — (в данном случае совпадает с площадью плотность дефектов, природа которых — способны вывести из строя пределах которых располагаются, что они такова, элементы, в критических слоев или 34 в обработке п — число пластины, в минимальная ширина дефекты возникают, и / осуществляемой технологии. которых эти линии операций по логические —
Очевидно, целой что вероятность (12) исправности ГБИС выразить через вероятность на пластине можно исправности одного чипа (кристалла ИС) ^n* Считая, = на пластине: (^кР)(Лпл/Лкр) (п™/п«»\ кристалла ИС 50 мм2 выхода годных для таких БИС роятность что площадь (13) и nnjl=nKV, И?кр=0,1, ве* для вероятности годности ГБИС с площадью 100 см2 получим недопустимо малое значение 10 ~200. Можно указать несколько способов преодоления малого столь выхода годных ГБИС на барьера целой кремниевой пластине. 1* Резкое дефектов, (примерно в раз) уменьшение плотности D обработке пластины. Это может 200 возникающих при быть достигнуто снижением запыленности на два порядка величины по сравнению с достигнутым современным уровнем в рабочих объемах, столь же большим увеличением уровня очистки технологических материалов и сред (фоторезистов и других типов резистов, растворителей, травителей и др.). Методами активного геттерирования на два порядка величины нужно снизить плотность дефектов в самой полупроводниковой пластине. 2. Число япл критических операций, вероятно, будет несколько увеличено из-за большего числа слоев соединений в ГБИС по сравнению с числом соединений в БИС. Общее число япл критических слоев необходимо сделать минимальным за счет уменьшения числа операций по созданию активных элементов на пластине. Перспективно использование в ГБИС приборов с простой структурой активных элементов. 3. Архитектура субсистемы, кремниевой пластине в выполняемой на целой форме ГБИС, должна быть к отказам отдельных ее элементов. устойчивой будут Все три способа одновременно, хотя можно ожидать наибольшего эффекта от проектирования отказоустойчивых систем. Преимущества этого метода и его абсолютная использоваться необходимость очевидны также из тех соображений, что в суб-* системе, состоящей из 107—108 логических элементов, в ходе эксплуатации можно ожидать постепенного увеличения числа отказавших логических ячеек, а также сбоев в результате внутренних взаимодействий между элементами и внешних (например, фоновой радиоактивности). Субсистема должна безотказно работать при появлении по помех случайному закону 2* во времени и в геометрическом расположении 35
внутри ГБИС некоторой доли отказавших логических элементов. На современном этапе больших практических результатов в создании субсистем на целой кремниевой пластине простой можно достичь по соединении на пластине тех Число ИС на пластине стратегии, заключающейся в ИС, которые окажутся исправными. радиуса г можно найти из формулы площадь одной ИС. При данном где А технологии некоторая доля изготовленных на уровне пластине ИС окажется исправной. Определив их расположение п=л(г—Лх'/2)2/Л, на пластине выработать — путем измерений, топологию на пластине. В таком можно с помощью соединений между ними в варианте метод создания САПР БИС субсистему субсистемы БИС на пластине является воплощением на новом уровне идеи заказной БИС на матрице стандартных логических из элементов. В качестве элементов матрицы в данном случае выступают БИС, изготовленные на пластине. Расположение исправных БИС на пластине случайно, и поэтому необходимо проектирование соединений на каждую пластину. Метод, использующий последовательность действий: проектирование, а затем изготовление соединений полностью идентичен изготовлению заказных — БИС почти на логических элементов. (Сходство методов еще больше увеличится, если при высоком проценте выхода годных БИС на пластине отбирать партии пластин с одинаковым матрице расположением БИС.) Способы предназначенных выполнения к соединению годных соединений могут быть разными. метод создания на матрице из БИС матрицы программируемых соединений и последующее их включение по программе. Предложен, например, метод, Перспективным выглядит когда два слоя взаимно перпендикулярных металлических соединений изолированы друг от друга в местах кремния. Удельное сопротивление аморфного кремния велико, и в области пересечения проводящие шины можно считать изолированными. Под действием импульсного напряжения 10—20 В изолирующий аморфный кремний может быть превращен в проводящий кристаллический (поликристаллический), что обеспечивает пересечений слоем включение аморфного соответствующего соединения. кремния может быть вызвана также лазерным импульсом. Универсальным средством создания нестандартных соединений является прямая электронная литография на пластине. Можно быть уверенным в том, что этот метод найдет Рекристаллизация 36 аморфного
широкое применение кремниевой целой и ё работах по созданию ГБИС на пластине. Опыт использования пластин целых кремниевых аппаратуры практически отсутствует. При тепловом контакте пластины диаметром 100 мм с в составе хорошем металлическим теплоотводом, омываемым жидким принципе можно обеспечить отвод до 1 кВт тепла до 100 Вт. пластины, при воздушном охлаждении теплоносителем, от в — Способы монтажа пластин на платах и их соединений друг пока разработаны слабо. Конструкцию субсистемы на целой кремниевой пластине наиболее целесообразно использовать для изготовления с другом вычислительных включенных систем, процессоров состоящих (процессоров с из параллельно систолической архитектурой). Субсистема, состоящая из 100 и более параллельно работающих процессоров, может иметь производительность порядка 1 млрд команд/с при обработке информации. Другой областью плодотворного применения конструкции субсистем на целой кремниевой пластине будет создание ГБИС полупроводниковой памяти. Подчеркнем еще раз, что субсистему на пластине сверхскоростных объединение в позволяет достичь ними и минимальной уменьшить задержки длины сигналов на них. 1.11. Трехмерные интегральные В начале 80-х гг. БИС соединений между возникла и схемы активно разрабатывается идея о трехмерных интегральных схемах. Так называется схема, в которой активные элементы (транзисторы) изготовлены в несколько слоев, для чего создано соответствующее полупроводникового материала, разделенного диэлектрическими прослойками. На нынешнем этапе можно высказать много аргументов за и против такой конструкции интегральных схем. Прежде всего отметим недостатки такой конструкции. 1. Отвод тепла от трехмерного объема, наполненного количество слоев тепловыделяющими и элементами (транзисторами, металлическими а также сопротивлениями рассеивающими джоулево тепло), затруднен. Если структура трехмерной ИС содержит несколько этажей транзисторов, причем каждый этаж занимает всего соединениями, несколько мкм, а число этажей ограничено двумя-тремя, то с тепловой точки зрения такая конструкция мало чем отличается от нынешней двумерной ИС с двумерным расположением тепловыделяющих элементов. Суммарное количество тепла, выделяемого 37
1 см2 площади ИС, не должно превышать 2 Вт при воздушном охлаждении и 20 Вт при жидкостном. Такая конструкция может быть названа скорее многоэтажной, на трехмерной, если ИС, имеющую одинаковые размеры. чем под последним наименованием понимать во всех трех измерениях примерно модульного типа, составленные Конструкции из многоэтажных плоских ИС, между которыми теплоотводящая жидкость, и полученные методами монтажа многоэтажных ИС после их изготовления в отдельном технологическом процессе, не могут быть названы циркулирует трехмерными ИС. 2. Изготовление многих этажей транзисторов происходит в последовательном технологическом процессе, так что число последовательно выполняемых технологических операций возрастает многократно, примерно во столько раз, транзисторных этажей содержит микросхема. Отсюда следует, что процент выхода годных я-этажной схемы будет равен kn=k\y где кг коэффициент годности сколько — одноэтажной схемы (k^l). 3. Технологические процессы обработки слоев каждого трехмерной ИС будут воздействовать на свойства приборов в нижележащих слоях, возможно, ухудшая их этажа качество. качестве На верхних этажах нельзя использовать в технологических нижние слои, средств радиацию, проникающую в высокоэнергетичные электронные и ионные пучки, когда пробег частиц может быть достаточен для проникновения частиц в нижний этаж; недопустима обработка, особенно длительная, которая может диффузионную деградацию структур. Все это высокотемпературная вызвать серьезные ограничения на комплекс процессов получения структур и приборов этажах трехмерной ИС. Однако применяемые в налагает технологических микроэлектронике технологические методы на верхних обладают большой частиц, длин волн излучений, имеется также возможность вести локальные высокотемпературные процессы или вовсе обойтись без них, так гибкостью за счет выбора энергии что трудности построения технологического процесса изготовления трехмерных ИС не выглядят непреодолимыми. В пользу создания многоэтажных (трехмерных) интегральных доводы. 1. схем Общая можно высказать также убедительные тенденция неограниченного роста степени («закон Мора») диктует поиски конструктивных решений, альтернативных возрастанию площади кристалла БИС и уменьшению размеров элементов приборов в двумер- интеграции ИС 38
ных БИС. Начиная с некоторого уровня степени более выгодным изготавливать многоэтажные ИС, чем, например, субсистему на целой кремниевой пластине. Возможность решать интеграции, может оказаться экономически проблему возрастания степени интеграции за счет уменьшения размеров транзисторов вообще ограничена потерей функций транзистора при определенных размерах элементов. 2. Многоэтажная конструкция использоваться для изготовления приборов разных Объединение приборов типов выигрыш в качестве и на может эффективно разных интеграции их в этажах я-канальных и р-канальных транзисторов создать так называемые комплементарные позволило (к-МОП) состоянии. БИС. разных типов, как правило, дает ИС. Например, объединение в составе ИС практически не схемы составе схемы, потребляющие энергию в статическом Аналогичные свойства приобретают схемы, п—р—п- и р—п—р-биполярных транзисторах. Специфические требования предъявляются к элементам для линейных (усилительных) схем. В виде многоэтажных схем могут быть созданы сенсорные устройства, сенсорные элементы которых (датчики, т. е. приемники внешних сигналов, в том числе многоэлементные) могли бы создаваться на построенные на верхнем этажах этаже будут многоэтажной схемы, тогда как на нижних расположены схемы, обрабатывающие сигналы от датчиков (аналого-цифровые преобразователи, д.). Качественно счетчики, вычислители, схемы памяти и т. новые устройства могут быть получены при изготовлении на разных этажах ИС цифровых и оптоэлектронных полупроводниковых приборов, приборов с гетеропереходами (на полупроводниках АтВу). Попытки создания технологического процесса, включающего в себя изготовление в структуре кремниевых и Лш5у-приборов, предпринимались путем, например, выращивания островков полупроводника AmBv на подложке из кремния [19]. 3. Существенные преимущества могут быть извлечены из трехмерной конструкции ИС за счет упрощения схемы соединений. Число соединений может уменьшиться, и длина их будет меньше. Это позволит уменьшить задержки на соединениях и увеличит быстродействие ИС. 4. Физическая структура может быть упрощена за счет размещения приборов разных типов в разных слоях. Например, я-МОП и р-МОП транзисторы в к-МОП ИС могут быть размещены в соседних этажах трехмерной ИС, и тогда отпадет необходимость в их изоляции, не возникнут проблемы, связанные с паразитными транзисторами. За счет одной 39
сохранение общего ИС данного типа. возможно этого изготовления числа шаблонов для Все эти преимущества продемонстрированы при проектировании к-МОП схемы в виде двухэтажной ИС [20]. Особенно большой выигрыш в площади получен благодаря тому, что в к-МОП ИС я-МОП и р-МОП транзисторы, общий затвор. В этот ИС общий трехмерной затвор целесообразно расположить между двумя слоями полупроводника, в которых входящие в состав к-МОП ячейки, имеют транзисторы. Проектирование трехмерных ИС потребовало существенного изменения методов представления информации о физической, топологической и логической структурах ИС. Площади идентичных по функциям к-МОП ИС, выполненных (в проекте) в объемном материале, слое образованы кремния на как относятся сапфире (КНС) 195 : 132 : и в виде трехмерной ИС, 56 [20]. трехмерных ИС составляет процесс выращивания полупроводниковых слоев (пленок) на аморфной диэлектрической подложке. Для создания нового Основу этажа технологии трехмерной слоя схемы к процессу роста полупроводникового добавляются процессы соответствующего типа, приборов и разработаны изготовления которые исследованы отдельно друг от друга и достигли высокого уровня совершенства. Именно в области изучения процессов роста слоев полупроводников на диэлектрических подложках наблюдается в настоящее время большая активность. Важный вклад в изучение одного из таких методов графоэпитак— сии — внесли пионерскими работами советские ученые [21]. Следует сразу оговорить, что речь идет о выращивании монокристаллических слоев полупроводника на аморфной подложке. В течение длительного времени исследовался процесс эпитаксиального выращивания монокристаллического слоя кремния на монокристаллической диэлектрической подложке из сапфира (структуры кремний на сапфире КНС). Создано промышленное производство, правда, в — ограниченных масштабах, МОП ИС на КНС. Не удалось получить пленки кремния на сапфире, равные по качеству объемным кремниевым кристаллам. Неизбежно появление напряжений в пленке, вызванных разностью коэффициентов теплового расширения, а также дефектов, обусловленных несовпадением постоянных решетки. механических Большое влияние на качество пленок оказывает процедура подготовки поверхности подложки перед эпитаксиальным наращиванием. 40 При нанесении пленок кремния по процедуре
эпитаксий на тщательно очищенную поверхность качество пленок повышается [22]. Молекулярной При осаждении кремния из газовой фазы на аморфную диэлектрическую подложку в зависимости от режимов осаждения можно получить аморфную или поликристаллическую кремниевую пленку с относительно малыми размерами зерен. Общая идея заключается в том, чтобы получить затем методами рекристаллизации монокристаллическую пленку кремния, качество которой должно обеспечить получение высококачественных приборов. транзистора могут быть аморфном кремнии. Аморфный кремний с физической точки зрения является очень интересным материалом. Он не имеет ярко выделенной запрещенной зоны энергии, в которой нет (или очень мало) Приборы изготовлены типа полевого непосредственно на для электронов состояний. В аморфном кремнии электронных состояний при переходе в «запрещенную зону» только постепенно спадает. Однако эти состояния отличаются тем, что электроны, попадая в них, имеют очень малую подвижность. В результате этого (и других причин) подвижность электронов в аморфном кремнии в сотни раз меньше, чем их подвижность в монокристаллическом кремнии; соответственно меньше и быстродействие приборов [23]. Поэтому МОП-транзисторы на аморфном кремнии не могут рассматриваться как приборы, равноценные МОПтранзисторам на кристаллическом кремнии [23]. разрешенных плотность Предложено аморфного и опробовано много методов или мелкокристаллического кремния: производилось сканирование нагретой до плавления полоски рекристаллизации причем нагрев производился раскаленными проволоками, полоской графита, сформированным в материала, полоску электронным пучком использовались также или непрерывного лазера; однородного нагрева всей импульсном режиме (излучением поверхности подложки в газовой лампы) или медленно (в печи). Опробованы контроля над процессом кристаллических зародышей и последующего роста различные методы образования светом методы управления и этих зародышей. Надо сразу сказать, что в случае кристаллизации сплошной пленки на подложке большого диаметра нельзя избежать образования большого числа зародышей и пленки получаются поликристаллическими, блочными, кристаллиты достигают размеров 100 мкм кристаллизации, или и более, пленки имеют большие напряжения и в них могут появиться микротрещины [22]. 41
Более реалистической стратегией является вырйщива- ние островков монокристаллического кремния с размерами, достаточными для изготовления одного или группы транзисторов. Конфигурация островков в этом случае должна определяться шаблоном, входящим в состав комплекта шаблонов для данной ИС. Желательно, чтобы каждый островок зародыша. Управление зародышеобразованием производится по-разному: может быть контакт с монокристаллическим кремнием в подложке Si—Si02 (через отверстие в пленке Si02); могут создаваться специальные канавки в аморфной подложке, и эти канавки будут местом зародышеобразования (графоэпитаксия); граница углубления в аморфном диэлектрике, в котором расположен островок, тоже может служить центром зародышеобразования [22]. Для обеспечения условий образования высококачественных островковых монокристаллов используется сложное капсулирование островков (рис. 6). Покрытие островка делается таким, чтобы температурный профиль при освещении Ar-лазером способствовал образованию зародыша и росту кристалла во внутренней части островка. Этим методом получены монокристаллические островки размерами от 20 X40 до 30 X 60 мкм2. На островках были изготовлены д-ка- рос от одного МОП-транзисторы с подвижностью электронной иальные X Si к А. ^ •/w;/////a;;;;/;;s;s. 2 Si-подложка Рис. 6. Разрез структуры перекристаллизуемого островка / поликремния: Si-подложка, 2 аморфный диэлектрик 0,1 мкм Si3N4/l пол и Si02; 3 островок кристаллического кремния, 0,4 мкм; 4 — — мкм — — оболочка разделительная Si02; 5 0,08 Si3N4/0,035 мкм лощающая поликремниевая оболочка, — Рис. 7. Разрез структуры с кремниевым островком над в МОП-транзистором, изготовленным кремниевой подложке: / Si02, 2 поликремниевый Далее затвор. повторена структура рис. 6. — — мкм светопог- нагревается при освещении 6 антиотражателыюе — лазером; покрытие, 0,05 мкм Si3N4/0,3 мкм Si02. 460 см2/В-с [25]. Введение антиотражательных покрытий локальный нагрев островков дают надежду, что создание качественных транзисторов непосредственно над в канале и МОП-транзисторами 42 нижнего слоя возможно (рис. 7).
Как правило, в результате изготовления МОП-приборов рекристаллизованном на аморфной диэлектрической подложке кремнии получаются удовлетворительные по качеству приборы [25]. Подвижность электронов или дырок в канале несколько ниже, чем в объемном материале (например, 480 и 180 см2/В -с для электронов и дырок соответственно [25]), что отражается на быстродействии приборов. Однако по мере уменьшения длины канала быстродействие прибора в большей мере зависит от скорости насыщения, чем от низкополевой подвижности. Можно сделать вывод о том, что к настоящему времени научная база для изготовления трехмерных ИС создана и можно ожидать, что в относительно близком будущем такие ИС станут реальностью, что даст новые возможности для увеличения степени интеграции и совершенствования функций ИС. на 1.12. САПР БИС: от проектирования ЭВМ к кремниевому компилятору с помощью Большие интегральные двух основных схемы появились в результате достижений микроэлектроники: развития технологии, обеспечившей возможность изготовления схем, содержащих 104—10е транзисторов, и методов проектирования таких схем. В данном разделе мы остановимся на проблемах развития методов проектирования больших интегральных схем. Конечным продуктом процесса проектирования БИС должны быть полный технологический маршрут БИС изготовления и проект образования Знание полного активных комплекта элементов БИС технологического маршрута всех технологических операций) и специализированного инструмента для типа БИС, изготовить режимы БИС т. е. с комплекта (с фотошаблонов и их для соединений. указанием режимов проекта наличие изготовления фотошаблонов, данного позволяет заданной структурой. Технологические определяют распределение конструктивных материалов 1 вертикальном разрезе БИС, тогда как рисунок шаблонов определяет распределение материала в плоскости поверхности БИС. В процессе изготовления БИС в полупроводнике создается заданное распределение концентрации активных примесей, определяющее геометрию электрически р—д-переходов и границы электродных областей транзисторов. Одновременно создаются контакты к электродным областям транзисторов, управляющие электроды, соеди^ 43
транзисторов друг с другом, изолирующие и диэлектрические слои. Таким образом, проект БИС содержит описание всей физической структуры тела БИС. В применении же БИС в составе аппаратуры нас интересуют функциональные свойства и параметры схемы, а не ее физическая структура. нения защитные Исходя из физической структуры, можно вычислить параметры отдельных приборов и схемы в целом. Структура прибора и значения приложенных внешних напряжений и токов вместе представляют собой исходные данные для вычисления электрических параметров приборов, включая распределение внутренних напряжений и токов, сочетание их значений на выводах приборов, а также электрические скорости изменения их изменении на токов и напряжений управляющем электроде на выводах при или входах, т. е. скоростные качества прибора. БИС проектируются с применением автоматизированных методов. Наиболее частым в практике случаем является применение так называемых интерактивных систем автоматизированного проектирования, в которых проектировщик принимает активное участие на всех этапах проектирования, привлекая ЭВМ к выполнению трудоемких рутинных расчетов [26], рис. 8а. В последние годы активно работают над созданием полностью автоматических систем проектирования, работа которых может соответствовать схеме рис. 86. Системы, работающие по схеме рис. 86, получили название кремниевых компиляторов [27]. Термин заимствован из области программного обеспечения ЭВМ: программа-компилятор переписывает прикладные программы, написанные на языках высокого уровня, в программы машинных кодах. в Аналогично «кремниевый компилятор» программой автоматизированного проектирования, позволяющей получить проект физической структуры БИС на основе абстрактного описания поведенческих свойств БИС. В частных случаях процесс автоматизированного проектирования может начинаться на более низких уровнях описания БИС (табл. 8) [28]. В системах проектирования, опирающихся на иерархию является абстрактных описаний, на каждом этапе проектирования используются программы, работающие с образами, соответствующими данной ступени иерархии. Типичными программами являются программы моделирования технологических процессов, расчета параметров приборов, анализа и логических* функций, проектирования соединений, топологии, определения схемных функций по топологии, проверки 44
I I I &№* I I I БИС. | II I П I I ^in Ч Топлгия (чертжи) I слоев БИС схем БИС Ч У БИС \ У* \^/1а /^ а § \ Техничское II 1 ^ §>^ | I схем I 1^1 б и ^i§ л ч I I на автомическй Прогам ^компилят\рэлектричсАЩ^%-£ интераквой \^%\ 1^1 ^ iq ^ ^ схем Ч I П р о г а м Машиное проективан сризчеко струк ы компилятр тол еи\% схем, У ъ у* I \ У о> § X проективан топлги Струка Х э л е к т р и ч с А ш и н ы й Ручное проективан Описане свойт анлиз проективан логическх п о в е д н ч с к и х } Ручное дове ния п о в е д н и я М а ш и н о е п р о е к т и в а н Троективан задние Ручное псриоекттиевамня ^ <ъ \^> I \%Ъ ^ работы ^ Прогам компилятр поведния Схемы 8. I <о^ БИС Рис. ^* §^ Г §5<§ $ qj II I I 45
проверки выполнения в топологии геометрических правил проектирования. Важное значение имеют программы, генерирующие тесты для данной БИС. Очевидно, на матрице Таблица 8. применяемые в (БИС ячейках) для каждого архитектурного типа БИС логических элементов, на Уровни абстракции стандартных описания БИС, проектировании Возможные Уровни описания БИС Характеристика свойств Соединяемые Последовательность модули действий Элементы Правила композиции Модули, Соединения разветвления, модулей соединения, логические устройства Простые переключатели (логические элементы) переключения Отсутствие функционирования, неправильные результаты буферы Тактируемые Тактируемые Каскады и регистры (контролируемые) неисправности Связи между каскадами Нарушения управляемости по тактам «Цифровое» Транзисторы поведение Соединения элементов, отношения импедансов Неприемлемые логические уровни, взаимные помехи транзисторов Топология Размеры Окрашенные Ширина прямоугольники линий и расстояния между ними (чертеж) Дефектные приборы должны быть созданы своим комплекты программ для проектирования. Преимуществом высокого уровня абстракций в описании БИС при проектировании является независимость проектов БИС на этих уровнях от технологии. Конкретные параметры вводятся только на низких уровнях, при проектировании приборов и топологии БИС. Аналогично только проекты на низких уровнях подвергаются переработке при совершенствовании технологии или при изменении правил проектирования (например, переход от минимального размера 5 мкм к минимальному размеру 3 мкм). Хотя автоматическое проектирование с помощью программ типа кремниевого компилятора является весьма при- технологические 46
влекательной целью, оно вряд ли достижимо в полном непрерывно возрастает как сложность проектируемых схем, так и непрерывно совершенствуются структуры приборов и технологические процессы их изготовления. Все это, несомненно, требует также непрерывного совершенствования программного обеспечения, т. е. кремниевого компилятора. Таким образом, сам кремниевый объеме. Дело в том, что компилятор является непрерывно изменяющимся и средством проектирования. Можно указать, что полностью автоматические системы проектирования приобрел тают к тому же существенный недостаток: архитектура развивающимся БИС должна быть определена проектирования, вариантов и оптимизацию разработчик схем, освобождается (описана) в самом начале что исключает сравнение различных архитектуры. С другой стороны, применяющий кремниевый компилятор, рутинной работы и получает возможность от совершенствовать архитектуру проектируемых схем. Идеи иерархического структурного проектирования БИС были развиты Мидом и Конвеем [29]. С тех пор эти идеи широко используются в различных версиях систем проектирования. Абстрактные объекты высокого уровня заменяют БИС относительно небольшим числом упрощенных объектов, для которых определены только взаимодействия (связи) с окружением, а внутреннее устройство не раскрывается. Такие абстрактные объекты могут существовать в пределах некоторых ограничений. Логическая ячейка, например, проявляет цифровые свойства, пока не превышены некоторые условия на нагрузку. Введение абстрактных данного уровня может уменьшить на несколько порядков величины объем данных, необходимых для описания БИС на данном уровне абстракции по сравнению с модулей более низким уровнем Выделяемые на описания. уровне моделирования модули должны обладать свойством локальности или автономности. Это означает, что проектирование внутреннего устройства данном автономного модуля более уровне можно производить на следующем, абстракции, независимо от устройства других модулей. Ясно, что автономия модулей не может низком быть абсолютной. В автономности дает то же огромный время использование свойства выигрыш в объеме вычислений при проектировании. На каждом иерархическом уровне чертеж БИС в терминах модуль, по (областью), модулей вырабатывается данного уровня: каждый правилам, наделяется площадью модули соединяются согласно схеме связей заданным и все 47
между ними» Аналогично поступают при переходе на более низкий уровень проектирования. Ясно, что для разработки программного обеспечения требуются языки описания БИС на каждом иерархическом уровне проектирования. Хорошо разработаны языки описания топологии, приборов, логического проектирования на уровне логических элементов. Язык описания на уровне поведения схемы не разработан. Например, схемы могут проявлять параллельное или последовательное поведение, т. е. свойства последовательной или параллельной обработки информации. В ЭВМ последовательная обработка следующий информации осуществляется посредством программы, параллельной обработке различные части ее а при одновременно. По-видимому, описание БИС на уровне нужно искать в аналогичных терминах. Предстоит также найти способ связи (перехода) от описания поведения к описанию схемы БИС. обрабатываются ее поведения Завершая изложение автоматизированного проблем проектирования создания методов БИС, можно сделать следующие выводы. Основным направлением в создании САПР БИС в предстоящем периоде останутся иерархические системы интерактивного типа, которые позволяют в полной мере использовать творческие возможности человеческого интеллекта и получить наиболее совершенные проекты БИС на крайнем пределе сложности. Считается, что системы проектировать БИС с числом более. Полностью автоматические системы иерархического типа позволят элементов до 107 и кремниевого компилятора окажутся полезным при проектировании БИС на базе более простых, систематизированных структур типа матриц логических элементов или библиотеки стандартных ячеек. типа 1.13. Проблемы обеспечения надежности кремниевых ИС Постоянный рост степени интеграции в кремниевых БИС «закон Мора» гласит, что каждый год происходит удвоение числа элементов в БИС) достигается в основном за счет уменьшения размеров элементов. Площадь, (эмпирический занимаемая на поверхности полупроводниковой пластины уменьшилась за 20 лет одним в 102 примерно раз, и ее микроэлектроники развития стало порядка 100 мкм2. значение в настоящее время Выше уже было упомянуто, что уменьшение размеров элементом (транзистором), приборов способствует 48 активации различных процессов де-
градации и, таким обеспечения надежности образом, обостряет проблему БИС. Тем не менее путем изучения и процессов деградации структуры БИС удалось примерно в 103 раз уменьшить интенсивность отказов БИС в расчете на элементарную функцию [13]. Однако в расчете на ИС схемы более высокой степени интеграции естественно будут обнаруживать более высокий уровень интенсивности отказов (при сравнении в пределах одной и схемотехники технологии, например, я-МОП, к-МОП исключения (подавления) д.). Исследования физико-химических и т. к отказу интегральных схем, процессов, ведущих предмет «физики составляют надежности» или «физики механизмов отказа» [30]. Следует различать «вид отказа» и «механизм отказа»; в первом случае имеют в виду характер нарушения функции прибора,, например, короткое замыкание; во втором случае имеют в виду физико-химический процесс и его результат, приведший к отказу прибора (например, разрыв соединительной линии в результате электропереноса материала дорожки). Исследования физических причин деградации позволяют улучшать технологию изготовления и применения приборов, а также путем изучения закономерностей развития процессов поведение во времени предсказывать надежностное В принципе знание механизмов отказа рассчитывать надежность прибора еще на этапе его прибора. позволяет проектирования, а также предсказывать надежность разрабатываемой аппаратуры. Приведем таблицу 9 распределения микросхем, усредненную (биполярные по различным причин отказов технологиям ИС, р-МОП, к-МОП) [13]. Следует отметить следующие моменты. Таблица 9. Распределение причин отказа ИС Количество Виды дефектов Дефекты в кремниевой подложке Дефекты в окисле Дефекты металлизации (соединений) Дефекты выводов Дефекты герметизирующего корпуса Пробой из-за подачи высокого напряжения [13] отказавших ИС, при % 1 24 24 10 3 22 эксплуатации Невыясненные причины 16 49
1. Очень небольшое число отказов вызвано дефектами в полупроводниковой пластине. Это показывает высокий уровень отработанности технологических процессов выращивания кристалла и процессов формирования структуры БИС в кристалле. 2. Большое число отказов обусловлено дефектами окислов. Около половины из них вызвано дефектами в подзатворном окисле МОП-транзисторов. 3. Столь же часты отказы из-за нарушения металлизации. Как увидим ниже, существует много механизмов деградации металлизации. 4. Большое число отказов вызвано подачей высокого напряжения, т. е. ошибками при применении. Очень часто причиной случайного появления высокого напряжения на микросхемы является статическое электричество. 5. Большое число неидентифицированных отказов показывает, насколько сложной задачей является выводах установление видов и механизмов отказа. современных методов диагностики Применение может самых оказаться определения причины отказа. Разберем кратко отказов БИС в последовательности, указанной табл. 9. недостаточным для механизмы в вызванные Отказы, подложке. дефектами в полупроводниковой дефектов в подложке Различные виды дефекты упаковки, различные примесные структуры) действуют на прибор путем увеличения токов утечки через (дислокации, р—д-переходы утечки и границы инверсионных определяют время динамических системах слоев. Токи информации в Существенное повышение восстановления памяти. объема полупроводникового кристалла, занятого достигнуто методами геттерирования [31]. Вблизи активных областей приборов специальной качества активными элементами, обработкой (например, ионно-лучевой обработкой в потоке ионов инертного газа) создаются дефектные области полупроводника. В качестве геттерирующих областей могут использоваться изолирующие промежутки между транзисторными областями. Геттерирующие области служат стоками для дефектов: примесных атомов, вакансий, межузельстекания дефектов в геттерирующие области проявился, время обработки должно быть достаточным для диффузии дефектов на расстояния, разделяющие активные области транзисторов и геттерные области (10—20 мкм). Часто геттерирующие свойства проявляет обратная сторона кремниевой пластины, однако время диффузии дефектов через толщу кремниевой пластины точечных ных атомов. 50 Чтобы эффект
(300—400 мкм) может оказаться Методы геттерирования в дальнейшем они неприемлемо большим. относительно новы; несомненно, что найдут широкое применение в СБИС и ГБИС. Наличие в структуре СБИС рирующих областей предотвращает образование в технологии приборах протяженных дефектов, способствующих таких как дислокации, появлению токов гетте- также утечки. С уменьшением размеров элементов ниже определенного порога возникает новый механизм «мягкого» отказа (сбоя), обусловленный генерацией электронно-дырочных пар вдоль трека высокоэнергетичной ядерной частицы в полупроводниковой подложке [32]. Такие частицы могут быть космического происхождения значительно большая («космические лучи»), однако потока обусловлена интенсивность радиоактивными примесями в материалах, из которых построены интегральная схема и ее корпус. В основном эффект обусловлен ядерными частицами, а среди них а-частицами, ядрами гелия (а-радиоактивность). Радиоактивности Р- и 7-типов (ядерное испускание Р-частиц, т. е. электронов и позитронов, испускание у-квантов электромагнитного излучения) оказывает меньшее влияние из-за меньшей ионизирующей способности легких частиц и 7"квантовГлавными радиоактивными примесями оказались изотопы урана и тория: U238, U235, Th232. В материале корпуса они т. е. по-видимому, в результате использования циркония в качестве наполнителя в стеклах, герметизирующих корпуса. В пластиковые корпуса радиоактивные примеси проникают благодаря использованию кварцевого порошка в качестве наполнителя полимера. Радиоактивные примеси содержатся также в керамических деталях корпуса, в золоченых деталях корпуса (крышки, выводы) и даже в самом кремнии. Интенсивность потока а-частиц составляет в стеклах с применением циркония 5,2—45, в керамике А1203 0,3—0,6, в пластике с кварцевым наполнителем 1,7, кремнии 1,3, в золоченых крышках 0,04—1 (а/см2-ч). Полный поток а-частиц из материала корпуса в кристалл оказываются, оценивается величиной 3,8 а/см2«ч [32]. Энергия таких а-частиц при вылете из ядер 8—9 МэВ. частиц в кремнии составляет 50—70 мкм. Пробег Однако пути (пробега) а-частицы проходят в веществе слоях диэлектрика и металла, составляющих корпуса, многослойную металлизацию БИС, и входят в часть БИС с различной энергией, от нуля до значений. Большая часть частиц распределена более или менее равномерно в интервале энергий 0—5 МэВ. В спе- полупроводниковое тело максимальных 51
* циальных опытах использовались препараты с радиоактивными изотопами америция Am247, испускающим а-частицы энергией 4,9 МэВ. На образование одной электроннодырочной пары быстрая частица тратит 3,6 эВ энергии. Таким образом, а-частица, испускаемая изотопом Am247, способна образовать 1,36-106электронов, несущих 2,2-10~13 Кл заряда. На рис. 9 показано, что стекание этого заряда в с потенциальную яму для хранения заряда памяти вносит ошибку в хранимую в в БИС динамической памяти информацию. —7 -^L—i\b+ +,+ 4/ ±sz 1— Ч LZJ Рис. 9. Схема накопления заряда, генерированного а-частицей, в ячейке хранения динамической БИС-памяти: / шина хранения заряда, положительный — потенциал на которой создает потенциальную яму для хранения заряда (область шина слова, положительный потенциал на инверсии); 2 которой передает заряд из области 6 в шину считывания 4; 3 4 окисел, п+-область; 5 — — — — ионизованная Отсутствие вдоль трека а-частицы в яме область полупроводника. заряда означает «1», наличие хранение в потенциальной Хранение «О» означает — «О». яме примерно 0,1 пКл заряда, т. е. 0,5-106 электронов. Если из 106 электронов, «рожденных» а-частицей, в потенциальную яму будет собрана хотя бы половина, в ячейке вместо «1» появится «0». Какая доля заряда электронов будет собрана в ячейке хранения, зависит от частности, от многих координат ее падения, а также геометрических факторов, точки падения а-частицы и в угла области хранения заряда, как это непосредственно видно из рис. 9. Если ввести фактор 5 чувствительности ИС памяти к потоку а-частиц как долю частиц, внесения глубины вызывающих ошибок в ошибочную хранимую в запись памяти «0», скорость информацию R будет равна R=S<bao, где Фа — (14) интенсивность потока а-частиц, а — площадь на БИС, в которой хранится информация". Оценка фактора чувствительности дает величину S=0,15; кристалле значения для 52 4К БИС динамической памяти а=0,027 см2; тогда при
Фа=3,8 а/сМ'Ч 1,5-10~8 ошибок/ч. получим /? ЭВМ объемом 64 Мбайт темп внесения ошибок в хранимую информацию достигнет 102 ошибок/ч. Ясно, что необходимо системными методами обеспечивать освобождение хранимой информации от вносимых ошибок [33]. Особую трудность может представить избавление от потоке Для = памяти групповых ошибок, вносимых а-частицами, влетающими в к поверхности и заряжающими многие ячейки хранения. Дефекты тонкого подзатворного окисла в МОП-структурах. Пробой, захват заряда путем туннельного перехода горячих электронов из металла (затвора) или из объема кристалл малым углом под полупроводника, основные виды подзатворного уменьшаться загрязнение ионными дефектов подзатворного окисла сейчас и дальше (см. примесями окисла. составляет около выше §1.2 — 20 — вот Толщина нм и будет законы масштабирования). В идеальном диэлектрике Si02 напряженность пробоя зависит от толщины пленки: при толщине более 10 нм инжектированные из металла путем туннелирования электроны набирают достаточную энергию, чтобы вызвать ионизацию диэлектрика и лавинный пробой, который £"пр=8—10 происходит при МВ/см. При толщине лавинное умножение не возникает и пробой менее 10 нм происходит при большой напряженности поля: £пр=14—30 МВ/см. Практически, однако, пробой происходит при меньшей неоднородности или прочих дефектов подзатворного окисла tF уменьшается с Время ростом температуры и напряженности поля Е [131: напряженности поля из-за пленки. жизни tF^A kb exp (Q/kBT)exp (—BE); (15) постоянная Больцмана, Q энергия активации. Постоянные A, Q, В определяются эмпирически. Захват электронов или дырок на уровни энергии — — ловушек в запрещенной зоне подзатворного окисла может транзистора в результате изменения его порогового напряжения и проводимости канала. Горячие электроны в подзатворной области полупроводника могут возникать в результате ускорения их в канале тянущим полем стока, а также в результате ускорения тепловых электронов полем затвора. Эти эффекты ярче проявляются при низких температурах, когда ослабляется рассеяние вызвать отказ электронов на фононах. Когда канал транзистора перекрыт, в промежутке между точкой перекрытия и стоком поле имеет направление, инжектирующее в окисел дырки, и возможна инжекция дырок в окисел. 53
окислах может возникнуть затвором и полупроводником в результате туннелирования электронов через всю толщу диэлектрика. Этот процесс, несомненно, ограничивает снизу возможную толщину подзатворного окисла, поскольку появление туннельного тока через диэлектрик означает прекращение работы МОП-транзистора. Единственный путь предотвратить загрязнение подзатворного окисла ионными примесями вести технологический процесс в чистых условиях и с использованием чистых материалов. Дефекты металлизации. Дефекты, возникающие в системе При туннельный очень тонких ток между — соединений многослойных диэлектрика между в БИС, многообразны: пробой слоями металлизации, коррозия металлизации, электромиграция материала токопроводящих полупроводник. дорожек, деградация контактов металл Толщина диэлектрических слоев, изолирующих соседние слои металлизации, выбирается гораздо большей толщины подзатворного окисла. Однако эти слои более неоднородны по толщине, поскольку ложатся на неровную поверхность. Именно поэтому возможны пробой диэлектрика втонких местах, а также области без диэлектрика (разрывы — сплошности), в которых происходит короткое замыкание ИС на стадии изготовления. и снижение выхода годных Особенно часто разрывы сплошности пленок возникают ступеньках рельефной подложки. Уменьшению величины ступенек в рельефе поверхности, на которую ложатся новые слои пленок, следует уделять самое пристальное на внимание. Ради планаризации рельефа вводятся иногда специальные технологические операции и слои. Электромиграция является материала токоведущих дорожек хорошо изученным процессом [13, 30]. Атомы металла диффундируют обусловленного = 10б—106 под действием электронного «ветра», электрическим током большой плотности i= А/см2, перемещаясь преимущественно вдоль границ зерен в поликристаллическом материале металлической дорожки, от анода к катоду. Этот процесс содержит положительную внутреннюю связь: он проявляется в виде переноса материала с более тонких (по сечению) участков токоведущей дорожки (например, на ступеньках рельефа нижележащего слоя диэлектрика, в отверстиях в диэлектрике, образующих переходы в нижележащий слой структуры) на участки с большим сечением. В результате на участках с меньшим сечением плотность тока увеличивается, и процесс образовываться 54 переноса материала ускоряется. разрывы электрической цепи, Могут а в местах накопления
материала к в разрыву выражается образующие короткие токоведущей дорожки по отношению наросты, иногда — Время замыкания. жизни результате электропереноса материала эмпирической формулой: tF=Ai-»exp(Q/kBT), м=1~3; Q — энергетический барьер для (16) диффузии атомов границ зерен. Как видно, рост температуры на кристалле БИС и плотности тока i в токоведущей дорожке вдоль ведут что к увеличению скорости деградации токоведущих результате электромиграции материала. Вспомним, при масштабировании размеров МОП-транзисторов дорожек в плотность выделяемой плотность тока — как S~6/2, мощности возрастает как 5~3/2 (при а постоянном напряжении питания, S<0). Меры по подавлению процесса электромиграции вытекают из природы процесса: увеличение размеров зерен поликристалла, введение примесей, адсорбирующихся на границах зерен (Си, Si, Mg, Ti в случае алюминиевых дорожек), уменьшают скорость электропереноса. Применение в качестве материала токоведущих дорожек тяжелых и тугоплавких металлов также уменьшает проявление процесса электропереноса. Явления электропереноса особенно опасны для схем эмиттер но-связанной логики (ЭСЛ), работающих при больших токах, однако они обнаруживают себя и в схемах на МОП-транзисторах. Явления электромиграции взаимной имеют диффузии и место в материалов контактах металл и — полупроводник. Масштабирование (уменьшение) размеров элементов транзисторов в плане требует уменьшения их размеров и в вертикальном сечении. Например, глубина залегания может уменьшиться до 100—200 нм. р—д-переходов Металл и полупроводник сплавляются термообработкой для образования хорошего омического контакта. Толщина сплавленного слоя должна быть гораздо меньше (~10—20 нм) глубины залегания р—/г-перехода. Так как алюминий взаимодействует и сплавляется с кремнием, то применим как контактный материал при глубине залегания р—/г-перехода меньше 1 мкм. Кроме того, в активно он не процессы диффузии и Большую популярность приобрели контакты со структурой Si—PtSi—(Ti—W) Al. Силицид платины образует тонкий контактный слой с кремнием. Промежуточный слой Ti—W препятствует контактах Al—Si активно электропереноса Si в проявляются А1 и А1 в Si. — взаимодействию PtSi активно с алюминием, с которым последний таких четырех- взаимодействует. Именно применение 55
Слойных контактов позволяет преодолеть трудности, малых возникающие при создании контактов размеров (~1 мкм2). Коррозия токоведущих дорожек может привести к росту дендритных образований и появлению коротких замыканий между соседними дорожками. Однако чаще наблюдается растЕОрение дорожек, на которые подано положительное напряжение; оно происходит в результате электролитического процесса в присутствии хлорных загрязнений на поверхности металлического слоя. Напротив, катодная коррозия (дорожек с отрицательным потенциалом) происходит с участием ионов фосфора, проникающих из фосфорных стекол. Возможно также участие и других ионов. Коррозия гальванического типа (из-за контактной разности потенциалов) происходит в области контактов между различными металлами, например, на термокомпрессионных соединениях пленок А1 с золотой проволокой. Процессы коррозии в БИС с малыми размерами могут активизироваться электрических полей в из-за увеличения напряженности при масштабировании. приборах Кроме того, к отказу БИС с малыми размерами элементов могут дефекты меньших размеров, так что имеется некоторое параллельное масштабирование размеров элементов БИС и размеров дефектов, вызывающих их отказы. Для анализа структуры БИС в связи с задачами привести механизмов деградации используются оптическая электронная микроскопия (растровая и просвечивающая), изучения и а также методы локального химического анализа (рентгеновский микроанализ, оже-электронная спектроскопия, вторично-ионная масс-спектроскопия) [34]. Особенно информативны методы просвечивающей электронной микроскопии высокого разрешения, в которых достигнуто атомное разрешение. К сожалению, трудности подготовки ультратонких образцов (особенно поперечных срезов БИС) и дороговизна аппаратуры несколько ограничивают применение этого метода. К специфическим методам исследования БИС относится электронным пучком При электронного пучка по растру через заземленный полупроводник течет ток, образованный генерацией электронно-дырочных пар в полупроводнике под действием электронного пучка. Величина тока в данном месте входа пучка зависит от условий рекомбинации. Если генерация пар происходит в области р—n-перехода, разделение зарядов полем р—n-перехода предотвращает рекомбинацию боль- метод наведенных движении 56 токов.
шой доли генерированных электронно-дырочных пар, будет большим. Напротив, в отсутствие встроенных электрических полей рекомбинация генерированных пар интенсивно, применять и ток практически этот метод отсутствует. и ток идет Интересно наблюдения при работе БИС: методы стробирования позволяют строить на экране изображение БИС в различных фазах ее работы [35]. Глава 2 ПРИБОРЫ МИКРОЭЛЕКТРОННЫЕ 2.1. Приборы на арсениде НА МАТЕРИАЛАХ галлия AIHBV (GaAs) Основные достижения микроэлектроники в создании БИС обусловлены использованием в технологии замечательных электронных, тепловых, механических, химических и других свойств кремния (антикоррозионная стойкость) и его аморфного окисла Si02, промышленной практике называемого лабораторной плавленым и кварцем. переработки информации, в технике Изменения в вызванные широким применением кремниевых БИС, столь велики, что вполне закономерными выглядят утверждения о том, что «кремний правит миром». Однако «и на солнце есть пятна». Главным недостатком кремния, вызывающим поиск других полупроводниковых материалов для создания ИС, является относительно небольшая скорость движения электронов и в нем под дырок В электрического поля. конкурентом кремния действием приложенного течение долгого для времени изготовления главным быстродействующих арсенид галлия. Он принадлежит к полупроводников AUIBV, соединений элементов третьей (Al, Ga, приборов оставался большому семейству представленных In) кристаллами пятой (Р, и и т. п. As, Sb) групп периодической системы примыкают также тройные, четверные твердые растворы этих соединений (А1х Gax_xAs...). элементов. К ним Электроны проводимости во многих обладают малой эффективной массой и, высокой из этих соединений как следствие, подвижностью. Типичная зависимость дрейфовой скорости электронов напряженности внешнего электрического поля представлена на рис. 10 для GaAs 136]. Как видно, в начальной ог части кривой до напряженности ~3 кВ/см наблюдается пропорциональность электрического дрейфовой поля; скорости и напряженности коэффициент пропорциональности 57
называется с подвижностью \i электронов: vd=\iE. Участок отрицательной производной обусловлен электронов в другую подзону 1 I м iiTiT i в зоне t т т iiiiit^ Юч 10* го4 переходом проводимости, в которой 1 ммт 1Q9 1 они Т 111ПГ. Ю° ЕуВ/см Рис. 10 Зависимость дрейфовой скорости электронов в GaAs от напряженности электрического поля. имеют значительно большую эффективную массу. В кремнии, где такая подзона отсутствует, наблюдается просто насыщение кривой vd(E), vdmax=W см/с [36]. Как видно из Таблица материалов 10. Параметры некоторых полупроводниковых Эффективная Ширина запрещенной зоны, эВ Материал Si aSi (аморфный) поли-Si GaAs InP InAs InSb Ga0(47In0?53As масса электронов 0,260 1,12 j — — — — 1,42 1,35 0,36 0,17 0,72 1 0,067 0,077 0,023 0,0145 0,041 Подвижность электронов, см2/(В-с) 2500 10 100 8500 4600 33000 80000 12500 табл. 10, подвижность электронов в Ga As почти в 6 раз выше, чем в кремнии. Действительно, на практике полевые транзисторы 58 с переходом Шоттки в качестве затвора на
GaAs демонстрируют быстродействие в пикосекуйдной Структура транзистора типа полевой транзистор со структурой металл полупроводник и с контактом Шоттки (МЕППТШ) на арсениде галлия показана на рис. 11. Слой области. — Рис. 11. Схема полевого транзистора на GaAs с барьером Шоттки, полуизолирующая (р=108 ом-см) подложка GaAs; металл-полупроводник (МЕППТШ): / тонкий (0,1 мкм) эпитаксиальный слой с проводимостью л-типа; 3 2 — — — омические контакты к областям истока и стока транзистора; 4, 5, 6 контакты к истоку, затвору, стоку; 7 переход Шоттки металл — — полупроводник, посредством которого управляется ток от истока к стоку; 8 изолирующего диэлектрика; L — длина металлические — — слой канала. проводимости может быть образован эпитаксиальнаращиванием или ионной имплантацией в истока вводится полуизолирующую подложку. В области стока примесь я-типа в высокой концентрации для образования омических контактов металла к этим областям. Область канала под затвором слабо легирована имплантацией на глубину 0,1—0,2 мкм. При прямом смещении на затворе транзистора канал открывается для пропускания тока по каналу (нормально закрытый транзистор, режим обогащения). Если барьер Шоттки не перекрывает проводящий канал (ширина обедненного слоя меньше толщины /г-слоя), /г-типа ным — управление транзистором заключается в перекрывании при подаче обратного смещения на затвор (нормально проводящий транзистор, режим обеднения). Указанные то канала два типа транзисторов и диоды Шоттки являются основными активными элементами ИС на арсениде галлия. Время задержки сигнала на транзисторе с длиной затвора 1 мкм пс [37]. Быстродействие ИС равно 21 на арсениде галлия ИС сверхоперативных запоминающих устройств (ЗУ) объемом 1,4, 16 К: времена выборки для демонстрируется в разработках них составляют [37]. В этом типе схем кремний, позиций; разработаны 1КБИССОЗУ ЭСЛ с временем выборки 0,85—3 не [37]. 2—6 не однако, не уступает своих по схемотехнике Однако в арсенид-галлиевых ИС указанное быстродействие 59
достигается 10 раз). при меньшей потребляемой мощности (в 5— Из-за различия в уровне сигналов существует проблема арсенид-галлиевых и кремниевых ИС: для стыковки необходимы специальные буферные схемы уровней сигналов. Такие буферные каскады снижают эффект от применения ИС на GaAs в кремниевой (по преимуществу) ЭВМ. Поэтому разрабатываются проекты полностью арсенид-галлиевой ЭВМ. Однако не эти проблемы мешают широкому применению арсенид-галлиевых ИС, а общее отставание по фазе стыковки — трансформаторы ИС на арсениде галлия от технологии ИС на кремнии. Сложности технологии ИС на арсениде галлия связаны нестабильностью свойств материала при технологии с высокотемпературной обработке, примесей, высокой Разработчики с неоднородностью концентрации кристаллических дефектов. плотностью надеются достичь высокой однородности в применения для распределении примесей легирования метода ионной имплантации. Особенно большое значение имеет применение методов молекулярной эпитаксии, за счет сыгравшей поистине революционную приборов на материалах AUIBV. 2.2. Молекулярно-лучевая роль в развитии эпитаксия Схема процесса молекулярно-лучевой эпитаксии проста: это процесс одновременного испарения в нескольких материалов и осаждение испаренных вакууме атомов из атомных (или молекулярных) пучков на нагретую монокристаллическую подложку [38]. При этом принимаются все меры для проведения процессов испарения необычайно роста слоя в максимально возможных чистых устанавливается давление остаточных газов ниже 10~10 Торр, активно используются низкотемпературные ловушки, чтобы предотвратить осаждение загрязняющих примесей на подложку. Скорости роста пленок должны быть невелики, чтобы процессы поверхностной диффузии могли проявить себя в полной мере, «строя» из поступающих материалов и условиях: атомов и молекул совершенную кристаллическую структуру. В случае выращивания эпитаксиального слоя GaAs на подложке из монокристаллического GaAs на подложку поступает поток атомов Ga и молекул As, а также поток легирующих атомов примеси. Количество поступающих атомов галлия и мышьяка может слегка отличаться от соотношения 1:1, избыток мышьяка испаряется с нагретой потоков 60
Подложки, поддерживая в стройЩеМсй кристаллическом стехиометрическое соотношение Ga : As=l : 1. Начало и прекращение процесса роста слоя управляются открыванием и закрыванием заслонок испарителей, таким же слое образом управляют процессом легирования растущего [38]. Интенсивность слоя потоков основных и легирующих атомов может меняться в широких пределах путем изменения температуры испарителей, что позволяет получить, например, непрерывную вариацию состава в тройных соединениях типа AlxGax_xAs. Типичные скорости роста слоя при молекулярной эпитаксии не превышают 1 мкм/час. В процессах роста методом молекулярной эпитаксии контролируются с высокой точностью и в широких пределах толщина, состав и уровень легирования слоя. При создании GaAs/AlxGai_xAs структур выбрана от 1 толщина слоя может нм до нескольких мкм и ее можно с точностью ±5%. Точность контроля определяется только точностью быть контролировать толщины калибровки скорости роста слоя при заданных условиях. Состав может изменяться от х=0 до х=\ с точностью ±0,01. Изменения в составе и уровне легирования могут происходить скачком в пределах атомного слоя. Уровень легирования может быть задан в пределах от 1015 до 1 «1019 см~3 с точностью ±10%. Все это позволяет прецизионные приборные структуры со различных сочетаниях и высоким контроля состава и легирования. создать сверхтонкими слоями в самых уровнем 2.3. Приборы с гетеропереходами. Полевой транзистор на электронах с высокой подвижностью Гетеропереходом называется граница между двумя полупроводниками, различающимися по составу соответственно шириной запрещенной зоны. Именно различными и ширине запрещенной зоны на гетеропереходе недостатки, присущие гомопереходам, преодолеть т. е. границе между областями р- и /г-типа проводимости в полупроводнике одного типа с однородной основой и одинаковой шириной запрещенной зоны. Гетеропереходы в полупроводниках были открыты и изучены советским ученым академиком Ж. И. Алферовым [14]. различие в позволяет Варьируя состав тройных, четверных и т. д. AHIBV, участвующих в образовании гетероперехода, ему можно придать желательные качества (табл. 11). Гетеропереходный транзистор, структура которого соединений приведена на рис. 12, имеет несколько названий: транзистор 61
электронах с высокой подвижностью (high electron HEMT), модулированно-легированmobility transistor на — ный полевой транзистор (modulation-doped FET), полевой транзистор на двумерном электронном газе (two-dimentional 11. Зависимость параметров полупроводника Таблица от состава [42, 46] Параметр Единица измерения Обозначение Ширина запрещенной Зависимость параметра от мольной доли Е, эВ 1,422+1,25* т* т0 0,067 + 0,0834* Nc см~3 2,5.1019(0,067 + 0,083*)3/2 Nv см~3 2,5.1019(0,48+0,31*)3/2 1 e/s0 эВ зоны Эффективная масса электронов Плотность состояний в зоне проводимости Плотность состояний в валентной зоне Электронное сродство Относительная 4,07—1,06* 13,1—3,0* — диэлектрическая проницаемость gas FET) [39]. Каждое особенностей транзистора с из названий отражает одну из вышеуказанной структурой. 12, управляющий электрод (контакт с Как видно из рис. барьером Шоттки) приложен к слою материала с большей Рис. 12. Структура гетеропсреходного полевого транзистора на электронах с высокой подвижностью (HEMT). / подложка из полуизолирующего GaAs, p== — ==108 Ом-см; 2 — эпитаксиальный слой из 1 мкм нелегированного GaAs; 3 двумерный электронный газ в граничном A1Q 3Ga0 7As' n-A\Q 3GaQ ?As слоя 5; б нелегированного 35 нм — электроды истока, шириной запрещенной слое нелегированного отделяющий двумерный — GaAs; 4— слой 5 нм газ от легированного слой 20 нм rc + -GaAs; 7,8,9— металлические затвора и стока транзистора. зоны (AlxGai_xAs). Ниже материал с меньшей шириной запрещенной зоны GaAs. Зонная структура такой системы приведена на рис. 13. Особенностью этой структуры является появление узкой располагается 62 —
потенциальной ямы на границе между полупроводниками но в материале с более узкой зоной. В результате электроны с ионизованных донорных примесей в полупроводнике с широкой зоной переходят в потенциальную яму в нелегированном полупроводнике, образуя двумерный с разной запрещенной зоной, электронный газ. потенциальной в Электроны оказываются яме отделенными от донорных примесей, результате ионизации которых они появились. Эффект геометрического разделения может быть усилен введением (5нм) в тонкого слоя нелегированного широкозонного полупроводника на границе с узкозонным, показано на как рис. 12. движении в плоскости это Рис. 13. Зонная структура модулированно-лсгированного тела транзистора на электронах с высокой подвижностью: / полупроводник с меньшей шириной запрещенной зоны (GaAs); 2 — — полупроводник с большей шириной запрещенной зоны 3~металл (А10> 3GaQ ?As); Ер~ уровень контакта Шоттки, При двумерного структуре; 4 — в потенциальной яме нелегированного газа от истока к стоку электроны газа не столкновений двумерный Ферми в электронный в газ слое GaAs. испытывают с заряженными примесями огромных значений и их подвижность (при см2/В-с). Быстродействие НЕМТ низких — температурах характеризуется рекордными параметрами: временем задержки 12,2 пс в кольцевом генераторе и временем переключения 20 пс при длине канала 1 мкм [38]. достигает до 10е Управление транзистором происходит напряжений на контакте Шоттки. Число с помощью и подвижность электронов в канале определяет его проводимость. Эти параметры двумерного электронного газа зависят от состава и уровня легирования широкозонного полупроводника, а также толщины нелегированного разделительного слоя. Точность контроля этих параметров, присущая методу мо- лекулярно-лучевой эпитаксии, качество приборных структур. обеспечивает высокое Можно надеяться получить подбором состава узкозонного полупроводников в гетероструктуре еще более быстродействующие структуры, чем Al^Gai-^ As/GaAs. Для этого необходимо, чтобы скачок ширины зоны и предельная насыщения) (скорость скорость дрейфовая электронов в узкозонном полупроводнике были больше. широкозонного и 63
Этим условиям удовлетворяют, например, переходы Al0,48lno,52As/Ga0,42In0i53As. Скорость насыщения и низкополевая подвижность для электронов в GalnAs выше, чем в GaAs. Изготовлены и транзисторы нормально открытого типа с электронами с высокой подвижностью (рис. 14). и 0 * -1М. —^^ ?-ч \ШШ Гфттт* ////////Л f уг ' [ О Двумерный электронный газ (канал) образуется в слое д-GaAs, расположенном сверху непосредственно - J 5 \ / I z \ 1 ] под управляющим затвором (контакт Шоттки). Отрицательное напряжение на затворе создает обедненный слой в n-GaAs, перекрывая и канал газом Рис. 14. Нормально открытый полевой транзистор на электронах с высокой подвижностью: 1 подложка из GaAs; 2 — Гетеропереходыые биполярные транзисторы (ГПБТ) На преимущество слой буферный 0,7 мкм нелегированного GaAs; 3 буферный слой 0,3 мкм нелегированного — * "СЛОЙ А10, 35Ga0, 65AS; Al0 3GaQ 7As, легированный 50 НМ кремнием до концентрации 2-Ю17 см-8; 5 разделительный слой 10 нм нелегированного — \ 3Ga0 7As; 6 ~~°>* мкм п--GaAs; 7 — слой 20 HM/i + -GaAs (уровень легирова" ния 2-1017 см-3). Точками показана область двумерного газа. И, 3, С— контак ты к истоку, затвору, стоку транзисто- С [40]. ра [4 двумерным 2.4. — полуизолирующего с [40]. использования в качестве перехода база было эмиттер указано сравнительно давно [41]. Гомополярный гетероперехода — биполярный транзистор при условии значительно более высокого уровня легирования эмиттерной области по сравнению с уровнем легирования базовой области. Только тогда при прямом смещении на переходе эмиттер база ток электронов из эмиттера в базу сильно превышает ток дырок из базы в эмиттер. Отношение этих токов характеризует эффективность эмиттерного перехода. Если успешно функционирует — максимальная концентрация примеси в эмиттере, ограниченная растворимостью и другими факторами, достигает значений порядка 1019 см~3, то уровень легирования базы гомопереходного транзистора не должен превышать 1017 см-3. Относительно низкий уровень легирования области базы увеличивает сопротивление базы, через которое производится перезарядка транзистора. существенно транзистора. 64 В коллекторной конечном ограничивает счете емкости при переключении низколегированная база быстродействие биполярного
Использование эмиттер — база гетероперехода снимает в качестве указанное ограничение перехода на быстродействие гомопереходного биполярного транзистора. На рис. 15 приведена зонная структура ГПБТ п—р—/г-типа, в котором в качестве широкозонный эмиттерной области использован образующий эмиттер полупроводник. Поскольку полупроводник имеет более широкую запрещенную зону, чем тот, что образует базу, энергетический барьер для ин- ГТЛ. жекции дырок в эмиттер выше, чем барьер для инжекции электронов из эмиттера в (рис. 15а). базу При приложении прямого смещения к эмиттербазовому переходу барьер для Л__£ -^7"V электронного тока исчезает, тогда как барьер для дырочного тока составляет значительную величину Это обеспечивает высокую эффективность эмиттера независимо от уровня легирования базовой области. Применение метода молекулярно-пучковой эпитаксии EF (рис. 156). образования эмиттерной для базовой и областей транзистора обеспечивает Рис. 15. Зонная структура гетеропереходного биполярного транзистора в отсутствие напряжения (а), при прямом смещении V * (б). Наличие энергетического барьера для тока дырок из базы в эмиттер делает возможным легирование базы до высокого уровня. высокую точность контроля толщины и уровня тонкой (около 0,1 мкм) базы, что дает надежду получить транзисторы с частотами до 100 Ггц. На рис. 16 и 17 приведены схемы структуры гетероперелегирования ходных транзисторов п—р—п- и р—п—р-типов [42, 43]. [42] для свойств транзистора при изменении параметров структуры: толщины слоев, уровня легирования и толщины базовой области, уровня Структура п—р—n-транзистора математического легирования использована в моделирования эмиттерной и коллекторной областей. Уменьшение концентрации примеси в эмиттерной и увеличение в коллекторной областях способствуют повышению быстродействия транзистора. Оценка предельной частоты транзистора дает значение fT=78 ГГц. В режиме баллистического переноса базу быстродействие транзистора характеризуется fT=l30 ГГц [42]. При этом принималось, что электронов t^max=8,3«107 см/с в баллистическом режи- через значением для 3 К. А. Валиев 65
1,2* 107 см/с ме и статической модели. В экспериментах переключения до 16 ГГц [38], что еще достижимого при современном уровне технологии. la J- далеко в частоты получены от I vzzzzzzzzzzzzzzzz. 1Увз VS/////A Г/7- '////s//\ YZZZZZZZZZZLZZZZZZZZZZZZZZZZL К* Рис. 16. Схема из подложка XIО16 см-3; п 3 — /г==5.1017см-3; 5 ^бэ~2 мкм' — р — n+-GaAs, гс-гетеропереходного П+—2-1018 биполярного 2 см-3; — транзистора: коллектор, база, /? + -GaAs, р=1.101» см"8; 4 0,25 мкм 0,20 мкм n-A\Q3Ga0 ?As, /г=2.1018 см~3. — — пл°ЩаДЬ эмиттерного 'контакта S =50 мкм2, ГП n-GaAs, / — п=Ьх n-A\Q 3GaQ ?As, L^—L^X *мкм, — гетеропереход. При быстродействие сравнении факторов, обеспечивающих НЕМТ и ГПБТ, отметим отсутствие в последнем фактора сверхвысокой подвижности электронного газа при движении электронов в двумерного плоскости локализации |*и»*| Am Zn [ JMGeNl Рис. 17. Схема биполярного гетеропереходного транзистора (ГПБТ р типа [43]: / подложка p+-GaAs; 3 коллекторный контакт; 2 — п — р- 0,5 мкм p + -GaAs, р=5.1018 см-"8; 4— коллектор 0,3 мкм p-GaAs, р=\017 см-3; 5 база, 0,1 мкм n-GaAs, n=2-\0ls см-3; 6 полимерный диэлектрик (позитивный — — — — — фоторезист); 7 — слой с постепенным эмиттер, 0,3 мкм p-AlGaAs, p=1017 см-8; 8 переходом по составу от GaAlAs к GaAs. — В случае ГПБТ эксплуатируются только уменьшения емкостей переходов и времени пролета через базу, предоставляемые разработчику технологией и физикой гетеропереходов [44], газа. возможности 66
2.5. Транзистор с Большой интерес проницаемой базой в вызвал последние годы еще один трехэлектродный транзистор, представляющий собой гибрид биполярного (по конструкции) и полевого (по принципу управления током) транзисторов. Он получил название транзистора с проницаемой базой (ТПБ) (рис. 18) [45]. Рис. 18. Структура GaAs транзистора с проницаемой базой: / подложка п+эпитаксиальный слой n-GaAs, d=0,b мкм; 3 GaAs; 2 полуизолирующие области GaAs, полученные протонной бомбардировкой; 4 решетка из пленки W толщиной 30 нм с периодом 0,32 мкм; 5 слой n-GaAs, d—2 мкм. — — — — — f В управляющего электрода в полупроводник (аргаллия) погружена сетка из вольфрама в форме с субмикронным периодом. На границе W GaAs качестве сенид решетки — образуется контакт Шоттки и обедненный слой Прикладывая к вольфрамовой сетке напряжение смещения, можно расширять или сужать ширину обедненной зоны, уменьшая или увеличивая ток от эмиттера к коллектору. Больше всего этот прибор напоминает твердотельный аналог вакуумной лампы с управляющей сеткой. Ожидаемые значения fT составляют 100 ГГц, на опыте получены значения усиления 16 при /т=18 ГГц [45]. полупроводника. Электронно-микроскопический периодами 320 слои GaAs, нм. Еще более дефектны выращенные Непосредственно наблюдаются (просвечивание) дефектов в решетке из анализ наличие значительных показывает пленок W с эпитаксиальные вольфрамовой решетки. вольфрамовой пленки выше над полосами, протяженность которых достигает GaAs, выращенного над вольфрамовой решеткой, наблюдаются многочисленные дефекты пустоты, 100—250 нм. В объеме свойства этого слоя еще мало Возможно существование неизвестных эффектов, вызванных глубокими уровнями, образованными вакансиями Ga и As в кристаллической решетке, внедрением атомов W, неоднородным легированием слоя. Тем не менее во мноупаковки. Электрофизические изучены. 3* 67
случаях, даже в присутствии дефектов, полученные структуры имеют неплохие параметры. Это показывает перспективность предложенной приборной структуры. гих 2.6. Гетеропереходный на туннельный (ТТГЭ) горячих электронах транзистор В заключение раздела о развитии структур биполярных транзисторов необходимо рассказать о свойствах так называемого туннельного транзистора на горячих электронах (ТТГЭ) [46]. Его структура составлена из трех слоев сильно легированного GaAs, образующих области эмиттера, базы и 2нм (ALpGa^ajAs) коллектора транзистора; барьеры между эмиттером и базой, базой и коллектором тонкими туннельно образованы слоями более широкозонного полупроводника AlxGax_aAs. Относительная барьеров и их управляются /?+-GaAs Рис. 19. Энергетическая диаграмма биполярного туннельного потенциалов £/эб высота проницаемость разностью и Uk6. Энергетическая диаграмма зоны проводимости транзистора. Области эмиттера, базы и приведена на рис. 19. Вольтколлектора образованы слоями п + -GaAs, амперные тогда как потенциальные барьеры характеристики на созданы переходах транзистора получены путем As. широкозонным полупроводником Al Ga^ вычисления туннельных токов через барьеры, высота изменяется при приложении разности потенциалов которых (рис. 20). Характерной особенностью на ВАХ является наличие пиков тока. Пиковые значения токов отвечают туннелированию при совпадении уровня Ферми эмиттере с подзонами в базовой потенциальной яме. Пики токов на ВАХ мешают использованию транзистора в качестве переключающего устройства. Можно утверждать, что такое нежелательное изменение ВАХ отражает процесс резонансному в потери транзисторного эффекта при уменьшении размеров переходов. Уменьшение высоты и ширины потенциальных барьеров и расширение потенциальных ям в некоторой мере уменьшают перепады тока на ВАХ, создавая возможность использовать прибор в качестве переключающего элемента. ВАХ изменяется также при добавлении в структуру дополнительных барьеров 68 и слоев, ям т. [46]. е. дополнительных потенциальных
706 Рис. 20. В АХ ?К(У *) биполярного туннельного транзистора при ^кб~^»2^ **• Пиковые значения токов соответствуют условиям резонансного туннелирования: / при 300 К (вычислено путем решения уравнения Шредингера при 77 К. 2 — — [461). di * . •*" с *'■, ~*~ ! Чз. •*" J \ сЛ «О ^ ^ 1 Н н *- 8 <С ki Л Ei н —5 <*; f Т77777 ас -ZZZ2Z Рис. >ис. 21. -rf3=5 Энергетическая диаграмма структуры туннельного транзистора: d1==d2= /г1=п3=Ю18 см-8, /12=Ю17 см-8, *=0,25—0,3, Д£=0,23 эВ: в нм, (а); приложенное напряжение создает резонансные условия между уровнем Ферми в эмиттере и уровнями энергии электронов в потенциальной яме (б). условиях равновесия 69
Структура типа периментально туннельного транзистора (рис. в [47J. Она показала 21) экс* исследована работоспособность до частоты 2,5 ТГц (2500 ГГц), т. е. время переноса электронов в структуре не превышает 6»10~14 с. В отсутствие напряжения электроны из зоны проводимости в эмиттерной области туннелируют последовательно в потенциальную яму и затем через второй барьер в зону проводимости коллекторной области. При приложении разности потенциалов между эмиттером и коллектором мо.жно добиться совпадения уровней энергии электронов, потенциальной яме, с уровнем энергии электронов в эмиттере, при этом наблюдается пик тока. Туннелирование в условиях резонанса хорошо наблюдается при температуре жидкого азота и водорода, при комнатной температуре резонансные эффекты сильно усреднены. Прибор можно использовать в схемах усилителей и генераторов в миллиметровой и субмиллиметровой областях. запертых в 2.7. Сверхрешетки: синтетические полупроводники был предложен Есаки и Цу в сверхрешеток стало возможно только с развитием методов молекулярной эпитаксии. В настоящее время к сверхрешеткам относят искусственно приготовленные структуры, состоящие из Термин 1970 г. десятков сверхрешетка [49]. Практическое и сотен изготовление последовательных тонких слоев полупроводников [48]. Слои могут различаться только уровнем легирования (состоять из полупроводника одного типа), или представлять собой два (или больше) разных полупроводников. Последовательность слоев может различающихся обладать определенной периодичностью, апериодически предполагали, по или изменяться определенному закону [50, 51]. Сначала что высокое кристаллографическое совершенство последовательно сменяющихся слоев в сверхрешетке может быть получено только при близком соответствии решетки полупроводников, выбранных для постоянных изготовления сверхрешетки. Такое близкое соответствие решеток имеет место для полупроводников GaAs и Al^Gai.^As, и послужило причиной интенсивных и плодотворных исследований сверхрешеток именно на этих полупроводниках. Однако в последнее время было обнаружено, что очень близкое соответствие постоянных решеток совсем не является обязательным условием для получения совершенных слоев полупроводников в сверхрешетке [52, 53]. Если толщина слоев не превышает 30 нм, то два полупроводника с что 70
2%) разными (до постоянными или сжимают свои решетки, что искусственный кристалл с однородным так решетки образуют в значением растягивают целом постоянной Структуры такого типа получили название сверхрешеток с деформированными слоями (strained-layer perlattices). Возможность образования сверхрешеток из решетки. с полупроводников заметно решетки различающимися постоянными выбора полупроводников заказу, для создания тех или иных Существование деформированных решеток возможности резко расширяет при подборе структур приборов [52]. в su- сверхтонких по слоях означает также, использованной что постоянная выращивания сверхрешеток, обязательно должна совпадать с постоянной решетки в самой периодической структуре. Наконец, термин сверхрешетка получил применение для описания структур из многих слоев по-разному легированного аморфного подложки, для не [50]. Эпитеты «искусственный, заказной» полупроводник, кремния по применяемые отношению к сверхрешеткам, имеют ясный заключающийся в возможности создания по заказу энергетических зон в сверхрешетке. Например, в сверхрешетке из арсенида-фосфида галлия при физический смысл, изменении содержания мышьяка в слоях ширина запрещенной зоны может меняться в пределах от 1,4 эВ (GaAs) до 1,9* эВ (GaP). В сверхрешетке с толщиной слоев менее 30 нм в потенциальных ямах возникают так называемые проводимости). Переходы между проводимости в объемных материалах непрямые, т. е. они происходят только с участием фононов, что ограничивает возможности применения этих материалов для построения оптоэлектронных приборов (светодиодов, лазеров, фотоприемников). В то же время переходы между минизонами оказываются прямыми [52]. минизоны (валентные валентной и и зоной Родственные сверхрешеткам их транзисторов использование для были область создания описаны выше. тонкие гетероструктуры приборов Сверхрешетки и типа имеют на ВАХ сопротивления, что позволяет использовать их для построения схем генераторов, усилителей, а также переключательных схем. Однако этими особенностями обладают и значительно более простые отрицательного («транзисторные») цифровых в сложны в что делает применение сверхрешеток перспективным, так как они более изготовлении. их Другое дело применение структуры, схемах менее в оптических — приборах, где могут быть использованы зоны, 71
сконструированные как раз по заказу для них. переключающий прибор на сверхрешетках еще предстоит изобрести. Если речь идет о приборе, работающем при комнатной температуре, то вряд ли его работа может быть основана на использовании минизон (в результате температурного усреднения их роли). Впрочем, можно задаться По-видимому, целью создать сверхрешетки на широкозонных полупроводниках. При сверхрешетках приборов на гетеропереходах и прибегают к образованию так называемых создании часто мезаструктур, т. е. островковых форм, полученных методами травления перпендикулярно плоскости слоев. Размеры островков определяются минимальными размерами, получаемыми в фотолитографии. При субмикронных размерах структур в плоскости пластины могут быть обнаружены новые возможности конструирования приборов. Большое внимание исследователей привлекают вопросы температурной устойчивости сверхрешеток [54]. Глава 3 СВЕРХИНТЕГРИРОВАННЫЕ УСТРОЙСТВА ПАМЯТИ Интегральные полупроводниковые схемы, логические и схемы памяти, включаются в состав систем вместе с рядом других типов интегрированных и сверхинтегрированных устройств, вступая с ними r сложные взаимоотношения, технические и экономические. Такими устройствами являются, прежде всего, системы магнитной и оптической памяти. Разрабатываются также магнитные устройства, входящие логические элементы и и оптические в конкурентные отношения с полупроводниковыми логическими ИС. Чтобы не выйти далеко за пределы нашего изложения, посвященного, в первую очередь, проблемам полупроводниковой микроэлектроники, ограничимся указаниями достигнутом уровне и наметившихся путях развития о магнитных и оптических систем памяти. Магнитная Кристаллы родственниками «ЗУ на на цилиндрических являются совсем на граната, эпитаксиальных выращенного на доменах. близкими полупроводниковых интегральных изготавливаются антиферромагнитного память ЦМД» схем. Они слоях монокристаллической подложке немагнитного граната. В отсутствие внешнего магнитного поля в эпитаксиальном слое образуются лабиринтные домены. 72 При наложении внешнего магнитного
поля, перпендикулярного к поверхности магнитного слоя, могут образовываться цилиндрические магнитные домены, каждому домену заданного направления можно приписать значение 1. Перемещение домена по маршруту выполняется с помощью магнитных полей импульсных токов или вращающегося магитного поля. ЗУ на ЦМД достигли уровня 1 Мбит на схему, разрабатываются схемы на 4 Мбита [55]. Скорость считывания 100 Кбит/с. составляет Стремление конструировать на одном чипе так называемую «интеллектуальную» доменную память (т. е. такую, которая включает также и обработку информации), побуждает искать возможности построения на ЦМД логических элементов и Возможность ЦМД обусловлена одинаковым устройств обработки информации. логических устройств с помощью построения их взаимодействием: направлением два домена с намагниченности отталкиваются. Таким вход в трек, войти в образом, один домен, занимая, например, будет отталкивать другой, не позволяя ему логическую операцию. Площадь, кристалле логическим элементом на ЦМД, 20 раз меньше площади полупроводникового логического трек, что составляет на занимаемая в элемента (при По-видимому, создание ЦМД одинаковом уровне может дать конкуренции с Память гибких основным ИС на литографии [56]). интеллектуальных им дополнительные полупроводниковой магнитных дисках. систем памяти возможности на в памяти. Магнитная память на твердых дисках является в настоящее время видом массовой памяти ЭВМ. Запись информации и магнитную пленку, нанесенную на твердую или гибкую основу, производится импульсами записывающего тока в головке; ток создает намагничивающее поле, параллельное плоскости поверхности магнитного слоя. Такой горизонтальный способ записи ограничивает плотность записи значением 4 Кбит/см, при плотности в гибких дисках расположения дорожек <400 см"-1. Скорость считывания достигает 5 Мбит/с. В массовом производстве магнитных на дисков используются химические методы осаждения жидкой фазы [57]. Существенного улучшения слоев из качества можно ожидать при получении пленок, в том числе Со магнитной пленки (Fe Сг), вакуумными методами [57]. Резкое увеличение плотности записи получено при переходе на вертикальную систему записи информации на магнитную пленку [58]: от 4 до 80 Кбит/см. дисков — — 73
В горизонтальном способе записи информации размаг* ничивающие поля рассеяния увеличиваются с ростом плотности записи, и это является ограничивающим плотность записи фактором. При вертикальной записи расстояние распространения рассеянных полей уменьшается при увеличении плотности записи. В конечном счете плотностьтолько толщиной магнитной стенки, домены с противоположно направленной намагниченностью. Материалом для вертикальной записи записи ограничивается разделяющей служит сплав никеля с материалы [58]- дорожке хромом. При и числе Исследуются плотности записи также и около другие 105 бит/см в дорожек 103 см"1 плотность записи в 108 бит/см2. Теоретический вертикальном методе составит предел оценивается величиной 109 бит/см2. Системы постоянной оптической памяти. В оптическом диске с однократной записью информации данные хранятся в виде дырок микронного размера, выжженных лазерным излучением на поверхности тонкой пленки теллура [59]. считывается с помощью лазера малой мощности; выжженные отверстия обладают низкой отражательной способностью и обнаруживаются по отсутствию отраженного света. Оптические диски характеризуются высокой Информация плотностью записи. Размер выжигаемых отверстий определяется диаметром светового пучка, в который можно сфокусировать лазерное излучение, что дает для плотности записи в дорожке значение до 104 бит/см; число дорожек также может быть велико; до 2-Ю3 на см. Таким образом, плотность записи на оптическом диске может составить 2»107 бит/см2. Профилирование поверхности диска служит повышения плотности записи [60]. средством дальнейшего Одним из постоянной недолговечность: существенных недостатков памяти является пленка теллура их оптических дисков относительная постепенно окисляется и теряет отражательные свойства. Поэтому срок хранения оптических дисков на теллуре ограничен 10 годами. Исследуются различные методы защиты поверхности, предохраняющие пленку теллура от окисления; исследуются также другие материалы для записи оптической информации родий, титан, составы, содержащие органические красители). При записи информации лазеры должны иметь (висмут, около 1 непрерывную мощность Вт, считывающие 20—50 мВт. Этим условиям удовлетворяют аргоновый эти 74 (Х=488 нм) лазеры имеют — около газовые Не Ne-лазер (Я=632,82 нм). Однако большие габариты и требуют дополни- и —
тельной оптики для модуляции. Этих недостатков лишены полупроводниковые лазеры, которые широко применяются для считывания информации с оптических дисков. Применение дисковой постоянной памяти в системах архивной памяти изменений и затруднено необходимостью при дополнений, например, переписывать исправленную в информацию внесении счета, полностью в свободное место памяти и исключить из пользования прежнюю запись. системного обеспечения. Это требует соответствующего в архивной памяти, в которой хранятся неизменяемые сведения (библиотека, таблицы), этих трудностей не возникает. При большой плотности дорожек возрастают требования к локализации считывающей головки относительно дорожек. При считывании достигается скорость до Однако 400 Мбит/с [59]. Оптические диски со стиранием со стиранием информации. В воздействие лазерного излучения оптическую среду должно быть неразрушающим, а быть стираемыми. Например, дисках на изменения в среде должны линейно-поляризованное излучение лазера может создать двулучепреломв пределах освещенного пятна [59]. Считывающее ление также поляризовано и падает под углом 45° к направлению поляризации записывающего излучения. Если запись была произведена, то благодаря наведенному излучение излучения пройдет через от направления поляризации считывающего луча. Запись стирается лучом такой же поляризации, что и считывающий, однако его мощность двулучепреломлению анализатор, повернутый часть на 90° достаточной для наведения двулучепреломления в направлении поляризации стирающего луча. Область с таким направлением двулучепреломления не будет должна быть обнаруживаться считывающим средой в Активно этой лучом. Записывающей информацию служит галогенид серебра Г59]. в качестве сред для записи (со системе исследовались оптической информации окислы ванадия, лазерный нагрев которых вызывает фазовый переход сильным изменением оптических с очень металл диэлектрик свойств [61]. Такая среда может служить для записи также и голограмм и других видов изображений. Стирание стиранием) — информации должно выполняться путем снижения При подготовке к записи пластина с пленкой температуры. материала термостатируется при температуре, пределах находящейся в температурной гистерезисной кривой фазового перехода. Необходимость термостатирования перед записью снижения температуры при стирании снизили популяр- и 75
ность этих информации. стиранием не материалов как сред для записи оптической В целом системы оптической памяти со вышли Глава из стадии исследований. 4 КРИОМИКРОЭЛЕКТРОНИКА НА СВЕРХПРОВОДНИКАХ При классификации направлений микроэлектроники по применяемым материалам обычно выделяют кремниевое, арсенид-галлиевое (AHIBV) и сверхпроводниковое направления. Завершив изложение проблем кремниевого и арсеиид-галлиевого (AnIBv) направлений, обратимся к криомикроэлектроники на сверхпроводниках. Ряд приборов полупроводниковой микроэлектроники, например, полевые арсенид-галлиевые транзисторы с затвором Шоттки, успешно работают при криогенных (жидкий водород и гелий) температурах. Глубокое охлаждение изложению задач используется прежде всего для уменьшения уровня шумовых напряжений и токов в приборе, используемом в глубоком охлаждении микросхем также можно достичь существенных положительных эффектов: значительного повышения быстродействия благодаря увеличению подвижности электронов; увеличения надежности благодаря схемах усилителей и арсенид-галлиевых генераторов. При цифровых подавлению аррениусовских механизмов деградации физических структур приборов, а также снижения уровня мощности. Однако трудности, возникающие потребляемой при решении задачи охлаждения больших систем до криогенных температур, столь велики, что проекты кремниевых или арсенид-галлиевых ЭВМ, работающих при температурах криогенных жидкостей (в случае кремния при температуре жидкого азота), практически не разрабатываются, В то же время уже нашли практическое применение методы охлаждения блоков супер-ЭВМ фреонами. Как бы то ни было, в полупроводниковой микроэлектронике криогенная ветвь пока не выделилась в самостоятельное Активными микроэлектроники новские направление. сверхпроводниковой криогенной являются называемые так джозефсо- элементами приборы: туннельные и мостиковые контакты или Свойства их были предсказаны в теоретической переходы. работе Джозефсона в 1962 году [64]. С тех пор был выполнен огромный объем экспериментальных исследований по отработке технологии джозефсоновских приборов, однако решающего успеха, который бы поставил сверхпроводни- 76
ковую криомикроэлектронику на один уровень с полупроводниковой (кремниевой), до сегодняшнего дня добиться удалось. Главное свойство не джозефсоновских приборов, выделившее их в очень перспективное направление микроэлектроники, заключается в наличии сильно нелинейных участков их вольтамперной характеристике при напряжении на на 1—2 мВ. Для сравнения: нелинейность характеристик полупроводниковых транзисторов наблюдается только в 1—2 В. Поскольку потребляемая диапазоне напряжений контакте прибором мощность P=V2/R, необходимая для работы сверхпроводникового прибора энергия будет в 106 меньше, чем в случае полупроводникового транзистора. Одновременно предсказывались времена переключения сверхпроводниковых элементов в диапазоне 13—165 пс, т. е. на уровне самых быстродействующих транзисторов. Исходя можно сверхпроводящей из вычислить полупроводниковых параметров джозефсоновских приборов параметры гипотетической крио-ЭВМ (табл. 12). Таблица ЭВМ [65] 12. Параметры гипотетической сверхпроводящей , Устройство Процессор Быстрая память, Кбайт Основная память, Мбайт Крио-ЭВМ в Емкость 32 16 — Число ис,шт. Число карт, шт. Число плат, шт. Размеры, мм Потребляемая мощность, 65x65x30 65x65x30 1,2 0,8 400 100 1 160 20 1 1250 20 150x150x150 4,8 1370 21 150x150x150 6,8 104 10560 Вт целом Сложность устройства проектируемой ЭВМ соответствует примерно ЭВМ 370/168 фирмы ИБМ. Однако время цикла процессора крио-ЭВМ должно уменьшиться в 20 раз. будет потребляться только 6,8 Вт электрической (Правда, гелиевый ожижитель, обеспечивающий охлаждение ЭВМ, будет потреблять 15 кВт мощности [65],) Существуют два основных типа джозефсоновских контактов— типа сэндвич и типа мостик (рис. 22). В случае сэндвич-структуры с диэлектрической прокладкой ЭВМ мощности, (сэндвич 5/5, рис. 22а) должно происходить туннелирование 77
сверхпроводящих пар электронов через диэлектрик. Значит, слой диэлектрика должен быть туннельно-тонким 1—5 нм. Главные трудности в технологии «S/S-структур связаны именно с недостаточной устойчивостью туннельно- — диэлектрика к термоциклам и необходимостью контроля его толщины, поскольку туннельный ток зависит от толщины диэлектрика [66]: экспоненциально тонкого точного IN = 3,2-109 (гфл)1/* (V/d) exp [-0,1025 (гФл)^ d], IN (А/см2), d здесь плотность — — толщина высота средняя s /^ 1 нормального энергетического барьера, ( /v^ 1.. 5 тока туннельного барьера (диэлектрика) в (17) срл— измеренная от нм, ( s JS?///, S 777777ZZZZ2777772ZZZZZZ б сэндвич-структура с слоем между слоями сверхпроводников (туннельный контакт) (а); типа со слоем металла между сверхпроводниковыми сэндвич нормального типа мостик—сверхпроводниковые слои соединены «слабой связью» (<0,1 мкм) мостиком из нормального металла, полупроводника, сверхпроводника малого сечения (в). Рис. 2 '2. Сверхпроводящие контакты: типа SIS диэлектрическим SNS слоями (б); коротким — — — отношение эффективной уровня Ферми металла (в эВ), г в области потенциального электрона барьера к V массе свободного электрона, приложенное напряжение. Плотность критического сверхпроводящего тока Is также экспоненциально зависит от толщины туннельно-тонкого диэлектрика. (Омическое сопротивление нормальному току, как непосредственно видно из (17), также экспоненциально — массы — толщиной диэлектрика.) Емкость перехода обратно пропорциональна толщине диэлектрика. возрастает В с сэндвич-структуре типа SNS два сверхпроводящих слоем тонким металла нормального разделены слоя (рис. 226). Сверхпроводящий ток в структуре SNS благодаря проникновению в нормальный металл сверхпроводящих пар из сверхпроводника. Концентрация возникает сверхпроводящих пар, проникающих из сверхпроводника в глубь нормального металла, экспоненциально (в в раз) спадает на глубине, равной так называемой длине когерентности |. Таким образом, толщина должна удовлетворять условию не сверхпроводящие пары электрода SMS-структуре. Длина в металлов Ферми 78 d<£, нормального достигнут другого сверхпроводящего когерентности для чистых £ определяется скоростью электронов vF и металла иначе энергией связи электронов в на уровне куперовской
паре Д(0): При A lo=ivF/nA (0), A (0)=3,5 kBTc. (0)=2 мэВ, yF=108 см/с, £0=0,1 мкм. Однако (18) в материалах с малой длиной свободного пробега электронов / 1=(5о01/я, что дает при g0=0,1 мкм, /=0,01 мкм еще меньшую величину g=0,03 мкм. Структура SNS, безусловно, более технологична, чем S/S-структура. Однако структуре SNS присущ схемотехнический недостаток, затрудняющий ее применение в цифровых схемах: малое нормальное сопротивление (меньше 1 Ом даже при применении в качестве нормальной прослойки вырожденных полупроводников, а это означает малость падающего на сопротивлении напряжения, т. е. малость уровня логического сигнала). Расстояние между берегами d в мостйковой структуре также связано с длиной когерентности g электронных сверхпроводящих пар: расстояние d (или сужение слоя) должно удовлетворять условию d<|. По сути, переходы (в случае использования в качестве подложки нормального металла или вырожденного полупроводника) аналогичны переходам SNS; отличие же их в том, что толщина и длина прослойки теперь два измерения нормального металла менее 1 мкм. В результате нормальное сопротивление перехода может быть увеличено до 10 Ом, что дает возможность строить на этих переходах цифровые схемы. Расстояние между берегами и размер сечения соединяющего берега нормального проводника должны исполняться с большой точностью, поскольку эти параметры являются критичными в определении свойств прибора. Изготовление зазоров менее 0,1 мкм, исполняемых с сверхпроводящего эти — — точностью 1—5%, возможно только с применением однако даже для этих методов указанные размеры и точность труднодоступны. Можно сделать вывод, что необходимый для уверенного изготовления мостиковых переходов Джозефсона уровень электронной электроннолучевой литографии, литографии еще не достигнут. ток через контакт Полный между сверхпроводниками слагается из нескольких компонент [63]: J=Js+JN(U)+JD+JF(t), Js — сверхпроводящий ток, JN— ток (19) нормальных электронов, JD— ток смещения JD=CdU/dt9 JF— шумовой ток. Эквивалентная схема перехода, отражающая наличие через переход всех этих токов, а также индуктивности перехода, 24 приведены типичные приведена на рис. 23. На рис. 79
вольтамперные характеристики для туннельного перехода перехода мостикового типа. В ряде работ исследовались различные схемотехнические и типовых элементов ЭВМ логики, элементов памяти, связей — варианты построения между элементами, систем питания, системы связей с высокотемпературными частями [65]. аппаратуры Управление элементами осуществляется, правило, подачей токов как на управляющие Конструирование Рис. 23. Эквивалентная схема джоконтакта. / ток зефсоновского — сверхпроводящих смещения I — Rjyt ID ток, L — цепей, включающих сверхпроводниковые контакты, анализа производится на основе — через емкость контакта С, шумовой ток ^ электронов через ток «нормальных» сопротивление пар, электроды. и синтез Ip — индуктивность контакта. В А X. Важное место отводится, особенно в аналоговых схемах, так называемому скви- — квантовому интерферометру, представляющему собой замкнутый контур, содержащий дзозефсоновский контакт. Логические устройства и схемы памяти, построенные ду переходах Джозефсона, изготавливаются тонкопленочных криоэлектронных интегральных на в виде микросхем [67]. Рис. 24. Вольтамперные характеристики: для туннельного перехода с большой ВАХ имеет гистерезисную емкостью С (параметр р=2яС/с/?^у/Ф0»1) ВАХ структуру (а); для перехода мостикового типа с малой емкостью (параметр р«1) не имеет гистерезисных черт (б). В поперечном разрезе структура микросхемы состоит примерно из 15 слоев (снизу вверх): 1) сверхпроводящий экран, 80
300 нм Nb; 2) два слоя изолирующего экран диэлектрика, 25—30 нм Nb205 и 145—275 нм SiO; 3) резисторный слой, 30—43 нм Auln2; 4) изоляция резисторного слоя, 200 нм SiO; 5) проводящий слой для образования индуктивностей и соединений, 300 нм PblnAu; 6) нижний электрод туннельного перехода, 200 нм PblnAu; 7) два слоя изолирующего диэлектрика SiO, по 275 нм; 8) верхний электрод туннельного перехода, 400 нм PbBi; 9) два слоя изолирующего диэлектрика SiO, 100 и 500 нм; 10) слой проводника для образования электродов управления, 800 нм PblnAu; 11) защитный слой диэлектрика SiO, 2000 нм. Приведенная последовательность слоев показывает материаловедческих и технологических возникающих при изготовлении сверхпроводниковых сложность проблем, тонкопленочных криомикросхем. Мы уже упоминали о том, насколько точно должны быть исполнены толщина и состав туннельного диэлектрика. Физико-химическое взаимодействие последовательных слоев требует детальных исследований. Важно также отметить, что многие слои наносятся при относительно высоких температурах. в Несомненно, что значительные структуре микросхемы образуются напряжения, могущие привести к ее разрушению. Еще более важны механические напряжения, возникающие в конструкции блоков и всей ЭВМ при глубоком механические охлаждении. Множество проблем и вопросов «терроризирует» разработчика сверхпроводниковых микросхем и крио-ЭВМ, некоторые из них были упомянуты выше. Потребуется значительное время для постепенного их решения, если не будут технологических совершены прорывы на основе новых методов. Можно думать, например, что для создания туннельных переходов из монокристаллических слоев материалов идеально подходит метод молекулярной эпитаксии. По-видимому, многие недостатки исследованных туннельных переходов обусловлены аморфностью диэлектрика и поликристалличностью сверхпроводящих слоев. Что касается переходов мостикового типа, то необходимый доныне для их уровень литографической техники еще не освоен. Техника глубокого механические напряжения, возникающие при изизготовления (0,01—0,1 мкм) охлаждения, мейениях температур, проблемы никовыми элементами ЭВМ которые предстоит решить. Если сравнивать AIHBV сверхпроводниковую ветви — стыковки с вот еще ряд несверхпровод- проблем, (арсенид-галлиевую) микроэлектроники, то и несомненно, 81
и дополнить больше перспектив АшВу-микроэлектроники что у возможности в развить относительно кремниевой близком микроэлектроники. АП1Ву-микроэлектроники — это будущем Кремниевая общем, в ветви, и одного корня, тогда как сверхпроводниковая криомикроэлектроника растет явно на корнях другого дерева. Глава 5 ФИЗИЧЕСКИЕ ОГРАНИЧЕНИЯ В МИКРОЭЛЕКТРОННЫХ ПРИБОРАХ При обсуждении размерных эффектов в приборах сопоставляются искусственные, микроэлектронных «рукотворные» размеры структур, полученные непосредственно в процессе, с одной стороны, и естественные, технологическом «физические» длины и расстояния, характеристикой физических процессов Как правило, чтобы прибор работал так называемые являются структурах. которые в удовлетворительно, значения технологических размеров структур и физических длин и расстояний в них должны удовлетворять соотношениям. некоторым Доступные для технологии (т. поверхности структуры предельно малыми. Как размеры по нормали к е. толщина мы видели, в слоев) могут быть методах молекулярной контролируются по составу и толщине слои 1—10 нм; в технологии туннельных джозефсоновских переходов получают (правда, с меньшим уровнем воспроизводимости) слои аморфного диэлектрика 2—3 нм эпитаксии довольно толщиной. Что легко в плане (в плоскости простейших однослойных размеры 10 нм (с помощью электронных и ионных пучков, см. ниже). В касается поверхности), то только структурах удается получить сфокусированных размеров в многослойных структурах с вписываемыми друг в друга областями достигнутый в промышленном производстве интервал размеров составляет 2—5 мкм, в разработках используются 0,5 мкм), а размеры 1—2 мкм (в отдельных слоях область размеров менее 0,5 мкм следует отнести к области исследовательской деятельности. В предыдущем разделе мы рассмотрели физические — проблемы надежности микроэлектронных приборов, т. е. физической деградации. вопросы Выяснилось, что с уменьшением размеров ряд механизмов деградации серьезно активизируется в результате повышения плотности токов, напряженностей электрических их 82
полей, плотности выделяемой энергии и повышения температуры. К сожалению, кроме этих последствий имеются и другие негативные последствия, отражающиеся на функционировании приборов, а именно: происходит снижение отношения сигнал/шум. Природа снижения уровня сигнала проста: уменьшается питающее напряжение (масштабирование при постоянной напряженности поля или при что ведет к квазипостоянном напряжении, см. § 1.2), уменьшению логического перепада. Отчего растет уровень шумов? Здесь речь идет не только о шумовых токах и напряжениях, вызванных тепловым движением электронов и дырок, но и о разбросе параметров вольтамперной характеристики приборов, влияющих на срабатывание, т. е. переключение прибора. Таким параметром является, например, пороговое Vs,VN,omH.ed. 10 / 10~2 /О'3 го-* 0,1 1 10 W,iwc сигнала и 2 Рис. 25. Изменения уровней: / шума в МОП-приборах при уменьшении их размеров. Полоса между штрихованными горизонтальными линиями соответствует значениям отношения сигнал/шум <20 дБ [18]. — — МОП-транзистора. Поэтому уменьшение возрастание разброса параметров и помех при одновременном уменьшении уровней сигналов (рис. 25). Именно при значении отношения сигнал/шум 20 дБ резко и быстро возрастает вероятность ложных срабатываний цифровых ИС на напряжение отношения сигнал/шум означает в том числе (рис. 26) [18]. При дальнейшем уменьшении размеров слоев в поведении приборов могут произойти качественные изменения (табл. 13). Второй столбец таблицы показывает, что обычно МОП-структурах имеется возможность управлять значениями этих длин регулируемого изменения концентрации примесных атомов, состава материалов, контактных пар материалов. Поэтому физические длины могут изменяться довольно в путем больших интервалах (третий столбец табл. 13). Именно ва83
риациями физических приборную структуру по масштабируя те и другие длин стремятся оптимизировать мере уменьшения ее размеров, определенному закону. В четвертом столбце табл. 13 приведены физические явления, которые характеризуются той или иной длиной. по w ww 10~20 10'30 /о-40 20 8и,ЪЬ 10 Рис. 2'3. Зависимость вероятности ошибочного срабатывания логического элемента на МОП-транзисторах от отношения сигнал/шум в системе. При отношении [18]. сигнал/шум <20 дБ вероятность ошибки чрезвычайно сильно возрастает При расстояниях больше 0,01 мкм между электродами (электродными областями) прибора перенос носителей заряда, как правило, носит диффузионный характер. При расстояниях меньше 0,01 мкм возможен баллистический (бесстолкновительный) перенос носителей. В том случае, когда электроды разделены энергетическим барьером, а 0,005 мкм, перенос носителей заряда квантовомеханического происходит путем туннелирования. расстояние между ними менее Поэтому по мере уменьшения межэлектродных расстояний, происходят качественные изменения принципов, на основе которых работают приборы, а именно переход от приборов диффузионного типа к баллистическим и затем к туннельным. Этот табл. 13. Мы сочли процесс показан возможным ввести в в последнем табл. 13 в столбце качестве характерной физической длины расстояние диффузии атомов за время жизни прибора tF. Это расстояние характеризует деградационные процессы в приборе, о которых речь шла в предыдущем разделе. Диффузионные процессы перемещения атомов в веществе связаны с энергетических 84 барьеров преодолением высотой 0,5—1 эВ межатомных и шириной
с со тран- заряда тунельфизческог прибоах транзисо: транзистор транзисторМДП; п е р н о с м прибоы я в л е н и я прибоах Использвание Биполярный фотди ы Полевой р—я-пеходм Полевой струк ой приборы Балистчекй зистор Оптическ барьер однго типа пернос поля дявления, линой экстрация носителй энерги ямах п е р н о с п р е в ы ш а ю щ й н а с ы щ е и я однго п р о в д и м с т сФизческ вязаные Инжекция неосвых Энергтичскй носителй типа Канал носителй Экраниов е Балистчекй носителй; скор тью, скор ть (frmax) Кванто ие двумерно тенциальых сверхшток проявлени измене ия, 1—10 0, 1— 0, 1— Ю-4—0,1 И н т е р в а л ы длины и — в с ные в со для по- в полурвдникых и газе с и в для мкм их и 0—1 на цент- физче- 0,01 д е ф к т о в ; э л е к т р о д физчески управления длиной концетраи концетраи примесй потенциалв концетраи примесй концетраи темпрауы Харктены Спосб Измделниниа Измени активных Разность перходе Измени активных Измперно-и расеивющх измен физческ длины носителй обедн й я-перхода инверсо- экраниовя длина растоя- энерги ской рекомбинацых или ров им- но- 13. Таблица Харктены Дифузоная неосвых р— слоя ного средн измен я Шир на зоны Толщина Длина Дсбая Средня бега, ние пульса, сителя Нет длина Дебройлвская электронв вэлны 85
13 табл. на тун- па- высокй (гипо- физческог прибоах транзисо; конта ы; инжекцй транзистор постянй плотнсью см"2 прибов область затвор» яИспользвание вления Тунельый омическ нельой «плавющего Полевой электронах подвижнстью Системы мяти писи теза) Срслоужкбы с с в Продлжени МДП-транзисоы явления, длиной электро- конта ые с Физческ связаные с зарядов про- т у н е л ь а т о м н й донрв методаи прибо в тунельо- диэлектров электронви электронй Тунелирова барьеы кие мкм 10~4—5«3 разной диэлектров энергтичско и физче- управления Спос б с длиной ской пар Подбор конта ых высотй барье а физческ длины тунели- электронв Харктены Растояние рования 86 и и чер з слои нов Интервалы измен я, 1015 в ток в Разделни заряженых Наблюдени струк ы засвечиющй микросп Деградция —10я 10~5—4 МО-2 измен применя б(МШ12™ дифуз, ных 10~4 к0" рабочих Ртказ эcIPJKTyPэфицентом подавлени лектроичсх гальвническх сниже темпрау лисЬуз жиз- 10' время tP= с от Постепн сотав Нет гет - кот - ска- зоны в - кристал- рештки пТолщина ропехда, роисхдт запрещной Постяна лической в слоя ром чок электропе носа, за Растояние атомв прибоа ни с iq8 и процес ов,
0,2—0,3 При рабочих температурах около 300—400 К происходит термически активированная диффузия, и при понижении температуры коэффициенты диффузии атомов нм. экспоненциально убывают. Обычно считают, что понижение рабочей температуры прибора на 10°С уменьшает коэффициент диффузии в 2 раза. Казалось бы, что путем глубокого охлаждения прибора до температуры жидкого гелия или диффузию водорода атомов в можно приборе и было сделать бы «остановить» прибор вечным (если, *>с *о*с Am 10^ 10" 10°>с 10' ю° J I I I J L 16 12 j 20 L 24 r-rjoz Рис. 27. Температурные зависимости: / полного времени т роста полимерных 2 среднего времени т0 приращения одного нового звена к цепи полиформальдегида [68]. При низких температурах времена т и т0 становятся постоянными, что свидетельствует о туннельном механизме реакции. — цепей; — прибор сохраняет рабочие температурах). Однако характеристики при возможны процессы квантовой диффузии атомов при сколь угодно низких температурах, вплоть до температуры абсолютного нуля. Квантовая диффузия атомов происходит путем квантовомеханического туннелирования атомов через (или лучше конечно, столь низких под) энергетические барьеры. Туннельные перемещения 87
атомов в химических проявляются реакциях, протекающих температурах. Это было четко показано в работах советского ученого В. И. Гольданского с сотрудниками [68] (рис. 27). При низких температурах экспоненциальное уменьшение скорости реакции прекращается, скорость реакции выходит на некоторый постоянный уровень. Этот уровень определяется туннельными переходами атомов через при низких барьеры [68]. Аналогичный вид должны температурные зависимости скорости деградационных процессов в приборах, особенно процессов, энергетическиеиметь протекающих с участием легких атомов, например, атомов водорода. Квантовая диффузия атомов водорода проявляется в измерениях теплоемкости при низких температурах [69]. Чем тяжелее атомы и чем больше энергия связей между ними, тем при более низкой температуре должен наблюдаться переход на «плато» с постоянной скоростью процесса деградации. Туннельные процессы диффузии могут стать определяющими в деградации сверхтонких структур, таких как сверхрешетки или переходы Джозефсона. При наличии в приборе больших «встроенных» электрических полей величина барьера может уменьшиться (эффект Шоттки), что может значительно увеличить вероятность туннельных переходов ионов. Особое положение занимает такая физическая длина, как постоянная решетки, равная сумме радиусов соседних в простых структурах. Успехи кристаллографии атомов создать методы прямого наблюдения профиля поверхности кристалла на атомном уровне. Сканированием острия вдоль поверхности с одновременной визуализацией величины туннельных токов, текущих между кристаллом и острием, можно получить изображение кристалла, на котором четко прорисовываются контуры отдельных атомов. Если эта методика даст возможность различать атомы позволили она будет практически информации с матрицы постоянной памяти, на которой информация записана с атомной плотностью порядка 1015 бит/см2. Разумеется, требуется разработка соответствующих методов записи информации различных химических эквивалентна элементов, методу то считывания путем посадки отдельных атомов или групп атомов в соответствующих местах на поверхности кристалла. В методе просвечивающей электронной микроскопии также стало обычным наблюдение атомной структуры кристаллов, однако здесь рядами, 88 наблюдаются не отдельные атомы на контрастное изображение, созданное вытянутыми вдоль электронного луча. поверхности, а атомными
Глава 6 МОЛЕКУЛЯРНАЯ ЭЛЕКТРОНИКА: ИДЕИ И ПРОБЛЕМЫ «молекулярная электроника» обозначается исследований, сочетающая идеи твердотельной электроники (микроэлектроники) и молекулярной биологии. Будет вполне целесообразно завершить наш обзор приборов микроэлектроники изложением развиваемых в настоящее время идей молекулярной электроники [70—72]. Термином область Простая мысль о том, что отдельная молекула обладать свойствами электронного прибора электронного прибора может быть и в может качестве включена в состав ЭВМ, породила термин «молекулярная электроника» (МЭ). молекулярного электронного прибора (МЭП) 25 лет и к настоящему времени не привели к решающему успеху. Отсутствие успеха на пути создания МЭП и колоссальные успехи микроэлектроники, основанной на полупроводниковых электронных приборах, погасили на некоторое время интерес к молекулярной электронике. Однако в последние годы снова возник активный интерес к МЭ в связи с новой идеей о возможности применения методов биотехнологии для сборки систем, построенных из Поиски продолжаются около молекулярных электронных приборов. Субсистемы или построенные методами биотехнологии из молекулярных электронных приборов, могутбыть названы биочипами и биоЭВМ (биокомпьютерами). Необычайно заманчиво производить биочипы методами, например, генной инженерии: аналогично тому, как живой организм воспроизводится согласно генетической информации, заложенной в ДНК в виде биочип (биокомпьютер) последовательности аминокислот, мог бы воспроизводиться с помощью специально системы, синтезированной ДНК. Как видим, идеи генной инженерии оказались столь мощными, что смогли вдохнуть новый импульс в развитие МЭ. Если методы генной инженерии опираются на большого фундамент научных фактов и могут служить для планирования экспериментальных исследований в молекулярной электронике, то более спекулятивными выглядят предложения использовать в молекулярных компьютерах архитектуру биологических систем обработки информации, в том числе архитектуру мозга. количества Рассмотрим поставленные выше проблемы отдельно. Молекулярный электронный прибор должен играть роль транзистора в обычных электронных системах, т. е. обла89
дать свойствами электронного ключа. Само название «электронный ключ» требует от устройства свойства бистабильно- сти, т. одном из способности сколь угодно долго находиться в двух состояний. Чтобы электронный ключ можно е. было использовать в системе, он должен свойствами: 1. под действием Ключ должен внешнего быть сигнала он обладать четырьмя контролируем, должен т. переходить е. в 2. Состояние ключа должно быть считываемо, т. е. нужно иметь способ определения, в каком из двух состояний находится ключ. 3. Ключ должен быть адресуемым, т. е. надо, чтобы операции установки ключа в заданное состояние и считывание его состояния можно было производить над любой заданной молекулой. 4. Поскольку, заданное состояние. очевидно, молекулярный электронный ключ должен полупроводниковыми электронными ключами, он должен обладать временем переключения в пико- и на1 носекундной области. конкурировать с Перечень требований 1—4 столь суров, что отбрасывает один за другим возможных кандидатов в электронные ключи молекулярной электроники. Прежде возможность использования свойств молекул изомерии и конформационных переходов изомерного состояния в другое. Такие переходы в смысле из одного вызвать можно всего следует обсудить бистабильности многих действием электрического, магнитного, полей, химических воздействий. электромагнитного Переходы между изомерными состояниями молекул не удовлетворяют, очевидно, большинству из указанных требований. Эти переходы контролируемы, но очень медленны, неадресуемы. Другим типом переходов является изменение состояния молекулы в результате туннельных переходов протона. Этот процесс относительно быстрый, однако состояние молекулы, созданное в результате туннелирования также скоро может быть изменено в результате туннелирования. Остаются адресования к протона, обратного нерешенными также пути и опроса состояния. заданной молекуле Надо сказать, что вопросы адресования при записи состояния и опроса состояния заданной конкретной молекулы являются особенно трудными. Эти вопросы приобретают более конкретное содержание, если представить себе молекулярную систему (компьютер), в которой множество молекул-ключей погружены в нейтральную матрицу и соединены между собой. В такой системе молекулярный ключ адресуется к тем ключам, с которыми сообщает им о своем состоянии для имеет соединения, и воздействия на их состояние. Такой способ взаимодействия характерен для современных 90
электронных ключей, в которых два состояния ключа характеризуются высоким и низким потенциалом на выходе ключа; сигнал о состоянии одного ключа передается по проводникам на вход следующего ключа и является переключающим и воздействием опрос заданного на него. ключа Таким совершаются образом, адресация согласно схеме соединений. Такая формулировка представления о молекулярной ЭВМ более реальна. Очевидно, смысл «соединения» между молекулярными ключами становится определенным будет выбран молекулярный ключ: молекулярный направленно передавать информацию о только после того, соединение может фрагмент, способный йак представлять собой состоянии одного молекулярного ключа другому. Необходимость существования матрицы, в которую погружены молекулярные ключи, вытекает из следующих соображений. Молекулярные ключи как идентичные системы резонансными в смысле обмена состояниями путем туннельного перехода электронов или атомов (если состояния изменяются в результате перехода атомов). Следовательно, из требований долговременного хранения состояний ключей, если на них нет переключающего воздействия по соединениям, они должны быть разнесены в пространстве на расстояния, предотвращающие потерю состояния в результате незапланированного обмена электронами. В отсутствие сильных электрических полей (эффект Шоттки) являются туннелирование электронов предотвращается при расстоянии между ключами 5—10 нм: «туннельная безопасность» ограничивает плотность упаковки молекулярных электронных ключей значением 1018 ключей/см3. Аналогично должны быть рассмотрены вопросы взаимного влияния соединений (перекрестных помех), природа которых будет различной в зависимости от характера сигнала, передаваемого соединением. Как уже было сказано выше, перспективы использования изомерной бистабильности молекул в качестве молекулярных ключей невелики из-за малой скорости атомных переходов, даже в случае туннельных переходов протонов. По-видимому, надо искать молекулярные электронные ключи электронного типа, когда бистабильность молекулы создается в результате электронных превращений в молекуле. Например, из квантовой теории молекул следует, что молекула, содержащая в своем составе как донорные (легко отдающие электрон), так и акцепторные (захватывающие электрон) части, электрического в поля определенном интервале напряжений обладает выпрямляющими свойствами. 91
Иными словами, такая молекула должна обладать молекулярного диода или выпрямителя. Эксперименты с свойств молекулярных ключей выявления целью электронного типа проводятся, к сожалению, не на отдельной молекуле, а на объемном материале, и информация 0 свойствах отдельной молекулы, естественно, является косвенной. Можно высказать некоторые соображения об свойствами электрических форме молекулярных соединениях, молекулярной нити, т. е. соединениях в передающей электрический ток. Согласно электронной теории молекул во многих молекулах валентные электроны сильно делокализованы. Классическим примером является молекула бензола, в которой валентные диамагнитные токи по замкнутому молекулярному кольцу. В линейных молекулах подобная делокализация валентных электронов также электроны легко нередка. Однако к образуют настоящему времени экспериментирования с не разработана методика отдельной линейной молекулой* которой можно было бы подвести невыпрям(омические) контакты из металлов. Если бы такая методика была разработана, проводящие молекулы легко к концам ляющие были бы открыты. Пока же мы можем судить о наличии проводящих молекул по существованию полимеров, таких как Очевидно, проводимость объемного полиацетилен. полимера из проводящих полимерных нитей возникает при определенной степени сшивания молекул в результате полимеризации. Многие органические материалы обнаруживают проводящие свойства, присущие полупроводникам, полуметаллам, металлам материалы со синтетических и даже сверхпроводникам. Органические свойствами металлов получили название металлов. Очень часто проводимость таких сильно анизотропна, что связано с обычно истолковывается как текстурой нитей. молекулярных материалов и молекулярной проводимость Проводящие соединения между молекулярными ключами могут быть изготовлены из обычных металлов и сплавов. Сохраняются их ли металлические сечения свойства проводников при молекулярных размеров порядка 1 нм2? Металлические дорожки таких размеров могут быть получены при разработке методов электронной или ионной так называемой нанометровой литографии или методами из сфокусированных ионных пучков. Можно осаждения сужении полагать, что полученных 92 до неровности краев методами травления металлических через полосок, литографические
можно объяснить наличием кристаллитов слоя. По-видимому, в наметаллического поликристаллического маски, нометровом диапазоне размеров предпочтительно с пленками аморфных сплавов. В этом работать диапазоне можно проявления эффектов пространственного квантования энергии электронов проводимости; движение электронов приобретет характер случайного блуждания между дискретными уровнями энергии электрона, возникшими в результате пространственного квантования. Общим ожидать результатом размерных эффектов будет проводимости металла; металлического в непроводящее снижение фазовые переходы возможны также из состояние. Завершая краткое изложение проблем разработки молекулярной электроники, можно высказать следующее положение: биологические молекулы (в пределах известного о них к настоящему моменту) не могут «элементной базы» служить молекулярными электронными ключами и соединениями между ними. Предстоит синтезировать молекулы, обладающие необходимым набором свойств молекулярных ключей, их соединений, матричных молекул, пригодных для построения молекулярного компьютера методами биотехнологии. Важнейшим шагом на этом пути будет разработка исследования разработке их методов выделения отдельных молекул и «электронных» свойств. Несомненно, при таких методов экспериментирования будут нанометровой электронно-лучевой и ионной Возможно, литографии. микроэлектроника снабдит науку использованы методы методами экспериментирования на молекулярном уровне, которые подготовят отрицание ее самой, т. е. переход от микроэлектроники к молекулярной электронике. Что касается применения методов биотехнологии для такими сборки разработка молекулярных компьютеров, то конкретная этих методов затруднительна, поскольку элементы, молекулярные ключи, предназначающиеся для сборки молекулы-соединения, молекулы-матрицы,— еще не что по мере накопления опыта открыты. Можно полагать, — в биотехнологии, использующей методы генной инженерии биосинтеза, этот опыт в будущем будет использован или молекулярной электронике как «заготовка впрок». В молекулярной электронике принципиально по-новому ставятся вопросы безотказной работы молекулярной ЭВМ. В системе из большого числа (~1018) молекулярных в ключей и соединений нельзя гарантировать отсутствие значительного числа неработающих ячеек уже на этапе изготовления компьютера; тем более, надо предполагать, что 93
неработающих число будет нарастать Отказы ячеек с ячеек при эксплуатации компьютера постоянной, могут быть и хотя с как вызваны малой скоростью. просто тепловым системе (диффузия атомов и твердотельные реакции), так и внешними воздействиями, такими как фоновое радиоактивное излучение. Сбои в полупроводниковой памяти большого объема, обусловленные ионизацией полупроводникового материала а-частицами движением в химические фоновой радиоактивности, стали признанным фактом в условиях, когда 1 биту информации отвечает примерно 106 электронов, хранящихся в транзисторе ячейки памяти (см. § 1.13). Тем более вероятными становятся такие состояние которых процессы «сбоя» молекулярных ключей, определяется электронными процессами, в которых участвует один или несколько электронов. Таким образом, молекулярной ЭВМ будут присущи как катастрофические отказы элементов, Из ЭВМ так и восстанавливаемые сказанного вытекает, что должна быть такой, чтобы Таблица 14. Методы на различных этапах Этапы развития электроники I — полупр оводникова я электроника II—полупроводниковая микроэлектроника — молекулярная электроника не элементов и нарушались сборки ЭВМ электроники Характеристика методов изготовления элементов Индивидуальное изготовление дискретных элементов Групповое в состав Гипотеза: Характеристика методов сборки ЭВМ Индивидуальна я сборка из дискретных элементов Индивидуальна я сборка из ИС изготовление элементов, входящих III функции ее изготовления развития (сбои). молекулярной отказы архитектура ИС групповая Гипотеза: химическая биотехнология технология ЭВМ молекул; групповая биотехнология молекулярных молекулярных сборки из чипов чипов при отказах и сбоях отдельных ее элементов. В современных ЭВМ используются разнообразные приемы коррекции ошибок, возникающих в ходе вычислений, при передаче информации или считывании ее из памяти, причем на эту значительные работу ресурсы компьютера. Помехоустойчивое и отказоустойчивое кодирование в последнее время внедряется и на уровне больших интегральных схем. Использование достижений по разработке архи- затрачиваются 94
тектуры отказоустойчивых «кремниевых» компьютеров, несомненно, окажется полезным при разработке молекулярных ЭВМ. В приводимой выше табл. 14 характеризуются методы изготовления элементов и сборки ЭВМ, присущие двум этапам полупроводниковой электроники и гипотетическому этапу молекулярной электроники. Как видим, молекулярная электроника стремится опираться на групповые технологические методы при сборке молекулярных ключей, биочипов из ключей и био-ЭВМ из биочипов. Глава 7 некоторые проблемы технологии микроэлектроники 7.1. Основные маршрута технологические процессы изготовления СБИС Разработаны многочисленные технологические маршруты ИС. Последовательность операций в них зависит от разреза структуры ИС, а также от многих других обстоятельств: наличного состава оборудования и изготовления материалов у технологии и т. составлены технологических составляют тот или ИС, опыта персонала, экономических Все маршруты данного уровня из некоторого набора стандартных изготовителя соображений д. операций, разные иной маршрут сочетания изготовления из них ИС. Однако находится в постоянном движении и обновлении. Что является движущей силой этого стандартный набор операций обновления? Основной критерий, по которому производится старого технологического процесса и введение способность нового процесса нового,— это соответствовать новому значению минимального размера в структуре ИС, тогда как старый процесс перестает соответствовать этим требованиям. Разумеется, новый процесс должен удовлетворять также требованиям по производительности, отбраковывание контролируемости, качеству получаемых структур и т. д. Однако критерий соответствия требуемому минимальному размеру остается главным. В табл. 15 приведены технологические процессы трех поколений. В первом столбце приведен перечень основных процессов, использовавшихся в течение длительного времени для изготовления ИС с размером структур более 95
Таблица 15. Технологические процессы микроэлектроники, используемые для изготовления ИС трех поколений Технологические процессы изготовления ИС III поколение, /=0,3~1 мкм I поколение, / = 5 мкм II поколение, / = 2-^5 мкм Оптические методы изготовления шаблонов (фотонаборная машина) изготовления методы шаблонов Оптический метод рисунка на переноса шаблона рабочую пластину (резистную маску) Электронно-лучевые (электронно-лучевой шаблонов) Электронно-лучевой или ионно-лучевой генератор шаблонов генератор Оптический метод Перенос изображения с изображения субмикронного шаблона на рабочую шаблона—методом литографии в глубоком пластину ультрафиолете, рентгеновской литографии, широких электронных и ионных пучков. переноса Будет широко использо* ваться прямая электронно-лучевая литография на рабочей пластине Однослойная резистная маска на Предпочтительно Необходимо использовать 3-слойные рабочей ные резистные возможно пластине использование Эпитаксиальное наращивание газовой кремниевых из 2—3-слоймаски, резист- слоев эпитаксия из газовой фазы фазы кремниевых слоев на кремниевую подложку подложку ных Молекулярная Эпитаксиальное слоев на кремниевую неорганических 1-слойной маски наращивание использовать резистные маски. Возможно применение Окисление кремния Окисление кремния Окисление кремния Изотропное При размерах 1—3 Анизотропное ионно-плаз- травление окисла жидкими через маску трави тел ями резистную мкм предпочтительно менное травление резистную методом анизотропного распыления ионного в плазме распыление распыление ванными через маску, тонкосфокусироионными пучками Диффузионное Ионно-лучевая Ионно-лучевая легирование, ионно- имплантация примесей имплантация через маску, из имплантация через маску лучевая сфокусированных пучков имплантация примесей через маску Использование А1 Контакты пол и Контакты поликремний в качестве кремний—кремний, кремний, силициды контактного материала к Si силициды кремни я— кремния—кремний кремний 96 —
Продолжение Технологические процессы I II поколение, /=5 Образование А1 и др. металлических пленок термическим испарением в вакууме; электронно-лучевое испарение тугоплавких металлов изготовления ИС III поколение, 7=2-4-5 мкм табл. 15 мкм Образование А1 и др. металлических пленок термическим испарением в вакууме;электронно-лучевое испарение тугоплавких металлов, широкое использование поликристаллических кремниевых слоев в качестве контактов материала и соединений, получаемых методом газофазной эпитаксии при низком давлении Использование методов локального геттерирования дефектов и поколение, /цт=0.3^-1 Широкое мкм использование силицидов; возможно использование аморфных металлических пленок Использование локального ния методов геттерироваи вредных дефектов примесей Образование вредных примесей В перспективе: образование монокристаллических слоев кремния на аморфном Si02, монокристаллических изготовление трехмерных ИС кремния на (Si02), слоев аморфном окисле изготовление трехмерных ИС В перспективе: разработки методов прямого изготовления (бесшаблонного) ИС прямой литографией на пластине, локального осаждения или травления материалов под действием и ионных электронных пучков, лазерного излучения столбце показаны процессы второго поколения, введенные для получения структур с размерами 2—5 мкм. При этом ряд процессов первого поколения сохранен, поскольку они продолжают соответствовать 5 мкм. Во втором указанного нового интервала размеров структур. Наконец, в третьем столбце показаны процессы субмикронной микроэлектроники, находящейся в данный момент в требованиям стадии 4 исследований К. А. Валиев и разработок. 97
В качестве примера, демонстрирующего мотивы новых методов в технологический маршрут, покажем разработку нового маршрута изготовления МОП ИС [73]. введения Популярной структурой для МОП ИС является структура ЛОКОС (LOKOS), получившая свое название от процесса Рис. 28. Структуры МОП-транзисторов: структура ЛОКОС, полученная локальным окислением через нитридную маску (а, б); структура ПЛАНАР, в которой локальные области толстого окисла получены анизотропным травлением сплошного слоя Si02 (в) [73]; / лодзатворный окисел, 2 затвор. — — локального окислении окисления кремния. При локальном маской, непроницаемой для атомов кислорода, служит слой нитрида кремния, в котором открыты окна для образования локальных окисленных областей поверхности пластины. В результате диффузии кислорода под маски край (диффузия в процесс) окисел однородном материале изотропный проникает на значительное расстояние под маску Si3N4, постепенно утонынаясь и образуя в сечении структуру, называемую «птичий клюв» (рис. 28а). После процесса локального окисления слой Si8N4 удаляется и в неокисленных областях кремния затем образуются области истока и стока, ограниченные тонким окислом, и область 98 —
окислом. затвора, покрытая подзатворным Затвор образо-. ван, как правило, пленкой поликристаллического кремния (рис. 286). Недостатки структуры ЛОКОС обусловлены области толстого окисла под нитридную маску: кроме потерь площади кремниевой пластины, эта переходная расширением область ухудшает свойства приборов из-за увеличения их размеров. Недостатки структуры ЛОКОС отсутствуют в структуре ПЛАНАР, в которой толстый окисел образуется сначала геометрических в виде сплошной области Локальные пленки на всей площади пластины. толстого окисла получаются путем анизотропного травления методом реактивного ионного распыления в цлазме CF4+H2. При таком методе травления образуются крутые боковые стенки в окисле (рис. 28в). В свою образование крутых ступеней очередь требует использования избежать толстого дефектов возможных слоя на в толстом окисле поликремния, чтобы склонах (ступенях) окисла. Толстый травление на слой поликремния нетрудно получить, подзатворном окисле для образования но его методов травления поликремния с большой селективностью по отношению к травлению затворов требует применения окисла. Таким методом является метод травления в микроволновой плазме. Способ образования плазмы определяет В микроволновой плазме энергия ионов 50 и это обеспечивает отношение эВ, превышает скоростей травления поликремния и окисла 50 : 1. В результате энергию ионов в плазме. не обеспечивается как образование поликремниевых затворов на тонком подзатворном окисле без заметного его подтравливания, так и удовлетворительная его форма на ступенях толстого окисла. Наконец, в методе ПЛАНАР применены высокоэнергетические пучки для имплантации примесей непосредственно через толстый окисел. В итоге составлен следующий маршрут изготовления структуры ПЛАНАР [731: 1. При температуре 1000°С проводится образование ионные толщиной 600 нм на подложке кремния р-типа 10 Ом-см и поверхностью, совпадающей с кристаллографической плоскостью (100). 2. Через резистную маску, образованную фотолитографией, толстый окисел травится в плазме CF4+H2 методом окисла анизотропного реактивного распыления. подложки 4* не Скорость кремниевой 50 нм/мин. Подтравливание превышает 40 нм. травления составляет 99
при температуре 1000 °С производится выращивание подзатворного окисла 3. Термическим толщиной 20 4. окислением нм. Через слой толстого производится которых 1—2 *1012 см2. концентрации и имплантация ионов ионов препятствие возникает (стоппер) толстым окислом. подзатворного окислов В2+ с энергией 260 кэВ, доза Под толстым окислом пик глубине 0,1 на мкм и образует возникновению паразитных каналов под Под подзатворным окислом пик концентрации ионов бора располагается на глубине 0,65 мкм и служит препятствием для прокола (смыкания) переходов, образующих границы истока и стока. Вслед за этим производится имплантация ионов As+ с энергией 80 кэВ, доза 2-Ю11 см~2. Легирование кремния ионами мышьяка происходит только в области подзатворного окисла (в области, покрытой толстым окислом, ионы в окисле) и служит средством As+ 80 кэВ задерживаются подбора значения порогового напряжения транзистора образования заглубленного канала. 5. Химическим осаждением из газа при образуется и низком давлении слой поликремния толщиной 350 нм, из микроволновой плазме фторида серы SF6 (через резистную маску) создаются затворы транзисторов. Скорость травления поликремниевого слоя составляет 100 нм/мин, отношение скоростей травления поликремния и окисла Si02 более 50 : 1, что предотвращает которого методом травления в нарушение подзатворного окисла. 6. Легирование областей стока и истока производится имплантацией примеси As+P на глубину 0,36 мкм. При этом образуется так называемый растянутый переход, возможное сток, который повышающий напряжение пробоя исток становится возможным при размерах структуры менее 2 мкм. Затем выполняются процессы создания соединений БИС. Описанный пример показывает наличие у технолога больших возможностей формирования технологического маршрута для образования структуры ИС. Как видим, — широко варьировать энергии и дозы примеси, что позволяет проводить имеется возможность имплантируемой разной толщины и располагать ее разной глубине кремниевой подложке. Концентрация примеси определяется дозой. Напряжения, вносимые в кристалл при имплантации примесей, частично имплантацию через на окислы в компенсируются при введении двойной примеси As+P. Для выбирается состав газа, в (CF4+H2 при травлении Si02, травления тех или иных слоев котором образована 100 плазма и
SF6 при травлении поликремния). Выбор способа образования плазмы позволяет регулировать соотношение скоростей травления слоев, одновременно подвергающихся воздействию плазмы (поликремний и Si02), т. е. выбирать необходимую От энергии селективности результирующий и слоя степень ионов в плазме зависит наклон процесса травления. анизотропия травления ступенек, образованных при вытравливании участков сплошной пленки. Описанный процесс относится к процессам второго поколения; он предназначен для изготовления структур с минимальными размерами 2 мкм. Если обратиться к характеристике технологических созданных процессов, структур, то для и входят сюда образования субмикронных хорошо проработанные из арсенала технологии второго поколения, такие как электронно-лучевая литография для изготовления шаблонов, технология трехслойных резистных масок, методы процессы анизотропного ионного травления из газовой плазмы, ионно-лучевая имплантация примесей, создание силицидных слоев и слоев поликремния, методы локального окисления и геттерирования. В то же время еще слабо изучен важнейший процесс переноса шаблона на можно субмикронного изображения с рабочей пластине. В этом слой на резистный использовать электромагнитное излучение в и мягкого рентгеновского излучения, широкие электронные и ионные пучки. Доведение этих процессов до уровня промышленной технологии потребует больших работ по созданию нового процессе области оборудования, глубокого ультрафиолета материалов Относительно мал литографии опыт на и исследования самих процессов. применения метода прямой электронной рабочей пластине. Очень многое предстоит сделать для создания систем со пучками и способов их микроэлектроники. Экспериментально обнаружено много способов модификации слоев под воздействием ионных пучков (помимо хорошо известного способа ионной имплантации примесей, который можно осущест* вить, естественно, и из сфокусированных пучков): локальное окисление кремния, в том числе в глубине полупроводникового кристалла; образование локальных геттерирующих областей в кремнии; изменение скоростей травления Si02 путем имплантации в него примесей, т. е. использование его в качестве неорганического резиста; образование сфокусированными применения в ионными технологии силицидных слоев методом ионного имплантации; изменение перемешивания при ионной скоростей травления пленок метал101
лов путем изменения химического состава пленок при ионной имплантации; наконец, существует бесчисленное множество возможностей травления или осаждения слоев под действием сфокусированного ионного пучка на подложку, Погруженную в газ при низком давлении. Эти же процессы можно осуществить под действием сфокусированных электронных пучков и лазерного излучения. Все эти процессы в настоящее время активно исследуются [74—80]. В последующих разделах, не имея возможности дать обзор всех перечисленных процессов, мы остановимся на процессах субмикронного формообразования, включая процессы формирования пучков с субмикронным сечением и переноса субмикронного рисунка с шаблона на пластину. Нам представляется, что именно создание падающих на подложку (частиц, фотонов) с субмикронным рисунком субмикронной технологии, а количество процессов, непосредственно участвующих в образовании субмикронного рельефа материала, может быть необозримо потоков главным в является как это отчасти велико, видно из вышеприведенного перечня. 7.2. Литографические системы микроэлектроники литографических машин, Во-первых, это системы универсального типа, позволяющие работать как методом прямого экспонирования резистного слоя на кремниевой Различаются два типа используемых в микроэлектронике. пластине, так и изготавливать шаблоны, используемые изображения (репродуцирования) Во-вторых, используются системы на для переноса пластины. единственной задачей которых репродуцирования, с рисунка К шаблона на рабочие пластины является затем рабочие перенос (репродуцирование). универсального типа относятся оптические, генераторы изображений системам так — называемые электронно-лучевые и ионно-лучевые. Во всех этих системах формируется луч сечения, (оптический, электронный, ионный) с помощью переменного которого экспонируется слой материала на пластине. Разрешающая определяется минимально возможным сечением пучка и рассеянием энергии пучка при экспонировании чувствительного слоя. По принципу действия эти системы чувствительного способность системы являются экспонирование системами поверхности от точки к точке, систем 102 — последовательного пластины выполняется что и типа: последовательно определяет ахиллесову пяту низкую производительность. этих
В системах репродуцирования используется шаблон, покрывающий целую пластину или одну ИС на ней; потоком излучения шаблон просвечивается широким (или частиц электронов, ионов). Пройдя прозрачные участки шаблона, излучение экспонирует соответствующую прозрачным участкам шаблона поверхность рабочей пластины. Системы репродуцирования делятся на контактные (с микрозазором) и проекционные (в масштабе 1 : 1, с уменьшением 5 : 1, 10 :Л). — На рис. 29 [81] перечислены литографических систем и предстоящий период. Этапные в цели микроэлектроники представлены динамической полупроводниковой БИС кбит основные типы их ожидаемое использование памяти: 64—256 время, 1 Мбит в 1987—88 гг. и 4 Мбит в начале 90-х гг. Минимальные размеры элементов, необходимые для изготовления ИС указанного уровня сложности, показаны в 3-й строке: 3 мкм для ИС 64 кбит и 0,7 мкм для ИС 4 Мбит. Таким образом, субмикронные размеры должны стать стандартом технологического уровня в начале 90-х гг. Предусматривается использование в настоящее электроннолучевых и ионно-лучевых систем как для изготовления (оптических и рентгеновских), так и для прямого экспонирования рабочих пластин. Характерно отставание шаблонов 5 лет в применении ионно-лучевых систем по электронно-лучевыми системами. В следующей строчке показано применение оптических систем для репродуцирования, главной из которых является система проекционного типа. Под оптическими установками здесь понимаются проекционные системы с пошаговым совмещением с шаблоном и экспонированием каждой ИС на пластине. примерно на с сравнению Характерно, применение оптических ИС 4-Мбитного уровня с размерами элементов: их разрешающая способность что не показано систем для изготовления субмикронными может оказаться Поэтому на рентгеновские первый недостаточной для план в начале 90-х этого гг. применения. выступают репродуцирования с точечным с синхротронным излучения (ранее) и позднее излучением электронных ускорителей с энергией электронов источником системы — уровне 10е эВ (1 ГэВ). Таков схематический сценарий развития систем литографии. В пределах этого сценария каждая из систем на собственное развитие, стремясь сохранить или расширить свой «ареал», т. е. область применения. В дальнейших разделах мы проведем анализ потенциала их развития. Оценка возможностей литографических систем по произвополучит свое 103
целой — 2 примен ; экспонирвае мощнсти. ограниче резист; повышенй — 4 — многслйы источнкв / микроэлетн: использванем на пернос в 5:1, с литограф уменьши экспонирвае ситем — и 3 5:1; различных совмещни уиспользване меньши пошагве с — и шаблон 5 Разрботк пластины; 29. изображеня Рис. пер нос 104
и разрешающей способности показана на 30 не указаны пределы применения ионно[82]. Здесь рис. лучевых систем: они, очевидно, будут близки к пределам применения электронно-лучевых систем. Как видно, при дительности размерах 1—2 мкм электронно-лучевые производительности могут вполне конкурировать з /—А—* минимальных системы по * , 1 Z <-^, А- , 5 /^Электронно- | / -лучевые системы ^^ 0,5 * ! L 2 20 Ю 5 А 40 J L. 60 100 Р, пластин/ч Рис. 30. Разрешающая способность систем / 5 : 3 1 — мкм) и производительность различных пластин диаметром 125 мм (в час): проекционным экспонированием целой пластины с уменьшением системы с проекционным сканированием в масштабе 1:1; 1; 2 литографии при прямом экспонировании системы — (^т-ш» или 10 : с — системы с уменьшением 5:1, работающие в режиме совмещения и экспонирования каждой ИС на пластине; 4 системы рентгеновской литографии. — с оптическими системами. области последние В то же время в субмикронной не могут конкурировать с системами электроннолучевыми генерации шаблонов и рентгеновскими системами репродуцирования. (При детальном рассмотрении такие конкуренты 7.3. обнаруживаются.) Электронно-лучевые системы литографии Электронно-лучевые генераторы изображений подразделяются на три типа: 1) электронов установки по сечению с гауссовым распределением тока пучка; 2) установки с изменяющимся 3) многолучевые системы. сечением пучка; относительно высокой являются производительностью, установки второго типа. Основными, обладающими Повышение их производительности достигнуто возможности изменения сечения пучка: именно когда благодаря необходимо 105
экспонировать фигуры больших размеров, используется пучок максимального сечения (например, 5x5 мкм2), минимальные размеры пучка могут быть субмикронными (например, 0,2x0,2 мкм2). Установки с гауссовым пучком рассматриваются в качестве исследовательских (лабораторных) машин; гауссов луч «работает» в сканирующих электронных микроскопах и других диагностических установках. Что касается многолучевых систем, то возможности их создания анализируются. Кроме того, существуют еще только электронно-лучевые системы репродуцирования. Все такие системы подробно описаны в трех монографиях, вышедших недавно на русском языке [2—4], что дает нам возможность ограничиться здесь самыми общими соображениями о развитии электронно-лучевых систем. Разрешающая способность процесса электронной литографии определяется диаметром экспонирующего луча и процессом его расширения в ходе проникновения в электронный резист. Оптимально сконструированная колонна ЭЛУ при заданном токе пучка / может сфокусировать пучок до диаметра [2]: rf«m где В в = (А/см2 стерадиан) ЭЛУ, Cs (см) проекционной — (20) 0f73(//fl)»/»CJ/*f — яркость источника электронов коэффициент сферической аберрации линзы, в установке выполняющей пучке. Таким образом, уменьшить диаметр электронного луча в установке можно, уменьшая силу тока в пучке /, уменьшая аберрации линзы Сs и увеличивая яркость электронной пушки В. Используя фокусирующую роль, /(А) — ток в три возможности, конструкторы легко достигают диаметра пучка 0,1—1 мкм, а в диагностических установках и электронных микроскопах диаметр пучка уменьшается до 0,001—0,01 мкм (1—10 нм). эти Стоит в виде заметить, что энергия пучка Е не входит в явном выражение для минимального диаметра пучка. Однако минимальный диаметр пучка достигается при некотором оптимальном угле сходимости пучка aopt на поверхности мишени, который должна обеспечить проекционная линза. Фокусное расстояние магнитной линзы прямо пропорционально энергии пучка E=eVl2]: ^«98Щ?, здесь ток в катушке линзы, п число витков в i(A) Rm(cu) средний радиус витков в катушке, 7при- катушке, 106 (21) — — —
ведено в вольтах. (а Угол сходимости а~Р~г> так что для угла сходимости пучка при увеличении энергии постоянного значения поддержания значит, и диаметра пучка) необходимо пропорциональное V пучка увеличение числа ампер-витков линзы. Вышеприведенные рассуждения непосредственно к установке гауссова типа. В системах с сечением пучок изменяющимся прямоугольным проходит через две квадратные диафрагмы, причем между ними располагается система, способная отклонять пучок в двух взаимно перпендикулярных направлениях. Этих элементов достаточно для относились формирования пучка с размерами сторон, составляющими доли от сторон диафрагм (рис. 31). В системах с прямоугольным сечением формула (20) боковых для dmin на расстояния, спадает закону дает оценку котором по ток, считая гауссову от края прямоугольного пучка. При прохождении быстрого электрона через атом мишени он упруго рассеивается ядром атома. При этом изменяется направление его движения, но энергия сохраняется. Взаимодействие же с электронами атома мишени сопровождается передачей им энергии, в результате чего атомный электрон переходит на возбужденный уровень энергии из (атом возбуждается атома ионизуется). или вылетает Рис. 31. Схема оптической системы установки электронно-лучевой литографии изменяющимся сечением / пучка: с — электронная пушка; 2 с диафрагма — квадратным сечением; 3 конденсорная или — В результате этих процессов и неупругого рассеяния упругого быстрых электронов пучка происходит расширение пучка по мере его Из статистической проникновения в мишень. 4 линза; — система отклонения луча; 5 — с вторая диафрагма квадратным отверстием; 6 проекционная линза; 7 подложка — — с резистным слоем. теории этого процесса следует, что гауссов пучок остается гауссовым же, однако его радиус получает приращение по закону [21: г2 здесь г{ — = г? + (4г?/ЗЛ); (22) мишень, z0 глубина радиус пучка при входе величина, имеющая пучка в мишень, Л проникновения в — — смысл длины пути электрона в мишени, на котором он те107
ряет направление движения. Эта величина энергии электрона eV и параметров мишени числа Л, атомного номера Z и плотности р: зависит — (23> Л'НМ==>1п (0,725 у*/»/*/»)' Как от массового видно из медленнее, меньше (23), расширение пучка происходит тем больше энергия электронного пучка V и чем атомный номер Z вещества мишени и его плотность чем (обычно ZM^0,5). Формула (22) получена в что глубина проникновения пучка в мишень предположении, значительно общего пробега электрона меньше в полной потери его энергии). Таким образом, радиуса пучка происходит по закону Ar ~ z0 (до приращение мишени (VpzleV) 4/2. (24) Пока Ar<^rif можно считать, что размер экспонируемой области совпадает с размером падающего пучка. Чем выше энергия пучка, тем в большей мере разрешающая способность электронно-литографического процесса определяется диаметром сфокусированного пучка электронов. На этом основании можно сделать вывод о необходимости литографических системах, т. е. о целесообразности разработки высокоразрешающих систем высоковольтной электронной литографии. Чувствительность материала резиста зависит от способности вещества поглощать энергию быстрых электронов. Из теории неупругих столкновений быстрых электронов с атомами следует известная формула Бете [2—4]: увеличения энергии пучков в ^ Ё^1П(1Л66£//), = / /-13Z эВ; (25) средняя энергия ионизации атомов мишени. Как видим, потери энергии быстрых электронов обратно пропорциональны их энергии, т. е. чувствительность резиста — ростом энергии электронов. Этот эффект частично быть скомпенсирован, если в состав молекул резиста ввести атомы тяжелых элементов, тогда концентрация электронов пе увеличится. Из (25) можно вычислить длину пробега электрона в веществе; она может быть оценена также по эмпирическим падает с может формулам /?G=4,6«10~6 £J'75/p (пробег энергия пучка пробег измеряется 1Q8 в кэВ, р (г/см3) в см. — по Грюну), Е0— плотность вещества,
Толщина резистного слоя должна длины пробега: d<^RG. Это пучка во время Одновременно пути быстрый нанесен быть заметно меньше исключает заметное расширение прямого прохождения через слой резиста. это условие означает, что значительную часть электрон проходит в подложке, на которую слой. резистный идеально гладкой (это Желательно, чтобы подложка была имеет место в случае специально изготовленных подложек для шаблонов) и не отражала электроны. Однако на самом деле отражение от подложки может быть значительным: оно возрастает с ростом атомного номера Z вещества подложки и достигает значений порядка 0,5—0,6. Отраженный (обратно-рассеянный) поток электронов выходит из подложки со значительно большей площади, чем площадь сечения входящего пучка. В результате доза экспонирующей энергии, получаемой резистным слоем, состоит из двух гауссовых компонент экспонирования гауссовым 8 (г) = k (в случае пучком): [ехр (- гЩ) + r)e (pj/pj) exp (- rVPI)]. (26) Ширина распределения отраженного пучка р6 гораздо больше ширины распределения рг входящего пучка: Р^Р/. Процессы отражения электронов от подложки вызывают искажение формы экспонируемых фигур. искажения эффектом Такие называют близости [2—4]. много способов Предложено эффекта Здесь мы укажем на очень эффективный способ ослабления эффекта близости, заключающийся в применении трехслойных резистов [83]. Рабочие пластины, корректировки близости. прошедшие этапы литографической обработки,обладают Рис. 32. Структура трехслойного резиста на рельефной подложке: / — кремниевая подложка р-типа 2 окисел кремния; 3 — проводимости; — поликристаллического кремния; 4 ющий слой поликремния; 6 полимера; — 5 слой — планаризуслой — чувствительный к область поликристаллического затвора, формируемая в литографическом процессе. экспонированию слой резиста; 7 — рельефной поверхностью и различных материалов (рис.32). Нижний слой резиста имеет большую толщину и может быть мало чувствителен к экспонирующему излучению. Он образует плоскую поверхность и является так называемым «планаризующим» слоем. Второй тонкий слой неорганического материала (поликремний, Si02, слой металла) служит для состоят из образования маски, через которую травится (методом 109
анизотропного реактивного травления) нижний планаризующий слой. Наконец, верхний слой резиста наносится из материала с высокой чувствительностью к экспонирующей радиации. При экспонировании тонкого верхнего слоя резиста об* разуется рисунок, контуры которого совпадают с границей падающего пучка: расширение пучка на тонком слое незначительно, а нижние слои состоят из вещества с малым Z и ослабляют вторую компоненту дозы в (26) благодаря коэффициента отражения цв. После проявления экспонированного верхнего слоя образуется маска, лерез которую травится промежуточный второй слой. Свойства высокой селективности и анизотропии травления обеспечивают точный перенос рисунка из верхнего слоя в уменьшению промежуточный. Затем, используя маску, образованную во втором слое, методом анизотропного реактивного травления протравливается нижний планаризующий слой структуры. Рис. 33. Метки совмещения и их проявление в токе отраженных электронов: метки совмещения в виде выступа, канавки, полосы материала с большим атомным, номером Z (а); изменение тока отраженных электронов при пересечении меток зондирующим электронным лучом (б). Относительно большая обеспечивает отсутствие толщина структуры (1—2 резистном слое дефектов в мкм) типа прокола. Толщина планаризующего слоя ограничена двумя факторами: во-первых, необходимостью обнаружения на подложке меток совмещения по отраженным электронам, вовторых, возможностью травления этого слоя на всю глубину с сохранением вертикальных стенок маски и размеров отверстий. Метки совмещения могут представлять собой выступающие участки поверхности или канавки, а также полосы материала с большим атомным весом (для увеличения коэффициента отражения) (рис. 33). При сканировании совмещающего луча поперек полосы изменения тока средством наблюдаются отраженных электронов, которые наблюдения меток и служат [100]. Толщина резистного слоя быть достаточно мала, чтобы обеспечить для тока отраженных от меток электронов отношение сигнал/шум» должна по
«10 или : 1. Естественно, при экспонировании первого слоя шаблона проблема обнаружения меток совмещения не возникает. технологических Требование устойчивости меток при всех обработках пластины усложняет выбор их конфигурации и материалов. Важнейшими этапами литографического процесса, вслед за этапом экспонирования, являются проявление резиста и травление конструктивного слоя через резистную маску. Экспонирование резиста изменяет скорость его травления. Если доза D (г) поглощенной энергии изменяется по толщине резистного слоя, то и скорость травления будет функцией координаты 2, отсчитываемой от поверхности резистного слоя. Время травления на всю толщину d резистного слоя будет равно: t=l[vT9(z)]-*dz. (27) о Единственным способом проявления «скрытого изображения», полученного электронно-лучевым экспонированием» в настоящее время растворение экспонированных областей полимерного слоя в жидких растворителях. Скорость растворения (нм/с) полимеров зависит от их молекулярного веса М по степенному закону (а, р, v0 остается — эмпирические параметры): yxp(Af) = yo + JL. (28) наблюдаемое Следовательно, после электронно-лучевого скорости травления полимерного слоя обусловлено изменением молекулярного веса полимера при облучении. Если в линейной молекуле произведено п разрывов молекулярной цепочки, то молекулярный вес станет равным: экспонирования изменение (29> Mf=M/(l+n). Легко связать число разрывов молекулярной цепочки дозой D (г) поглощенной энергии (эВ/см3): n=D/NAE, п с здесь число молекул в 1 см3, так что D/N есть поглощенная одной изначальной молекулой, энергия, АЕ энергия, которая в среднем тратится на образование N=NAp/M — — одного разрыва молекулы: АЕ&50 полученные результаты, легко скорости растворения v(z) от дозы точке резистного слоя энергии: ^тр (*) - v0 + р эВ/разрыв. Объединяя записать зависимость D(z)> полученной (1 + D (z)/AEN)« M 0~ а. в данной (30) ш
Мцогократное изменение скорости растворения молекулярного веса примерно в возникает п=10 раз. Поэтому необходимая доза облучения составит величину D 10 N&E. Если начальный молекулярный вес полимера будет равен, например, 105, N=1019 молекул/см3, АЕ= =50 эВ, то необходимая доза равна D=51021 эВ/см3 ==--800 Дж/см3. Такую плотность поглощенной энергии обеспечит поток электронов 5«10~5 Кл/см2. Последняя при изменении = = является мерой чувствительности электронного резиста типа полиметилметакрилата (ПММА). Резистные маски, образованные методом литографии на величина поверхности рабочей пластины, широко используются для травления поверхности нижележащих конструкционных металлов. слоев ИС: диэлектриков, полупроводников, На первом этапе развития микроэлектронной технологии эти слои также ч травились в жидких травителях: растворах 'Jk о а Рис. 34. Травление конструкционного слоя 2 через резистиую маску 3: при изотропном травлении, Szzh (а); при анизотропном травлении, S«/i (б); / — травящийся слой конструкционного материала; 3 резистный слой; во время травления слоя 2 часть резистной маски; 5 возможное подложка; 2 4 снятая — — — — травление подложки. щелочей. Процесс жидкого травления является изотропным: при травлении слоя толщиной h примерно на кислот или такое же (рис. 34). расстояние происходит вытравливание под маску Это означает уменьшение ширины W полосы скрытой под полосой резистной маски, на При ширине полосы 1^=1—3 мкм относительное уменьшение W может составить величину до 100%. Поэтому от жидких травителей в технологии приборов с размерами материала, величину 2h. 1—3 пришлось отказаться. Были найдены травления в плазме, в которых скорость травления вглубь намного превышает скорость травления в горизонтальном направлении (под маску). Достигается направленной по нормали к поверхности травящегося материала бомбардировкой ионами плазмы. Энергия перпендикулярного к поверхности движения ионов должна мкм анизотропные методы составлять При 112 это 50—500 эВ, чтобы травление стало анизотропным. энергиях плазменное травление также ста- меньших
новится изотропным, как и травление в жидкостях. При больших энергиях возможно образование нежелательных дефектов в конструкционном слое. Величина энергии направленного перпендикулярно к поверхности движения ионов регулируется ускоряющей разностью потенциалов между электродом, на котором лежат рабочие пластины, и объемом плазмы. Конструкция плазменного реактора и способ подвода энергии к плазме (постоянное поле, переменное поле, СВЧ-поле) разность должны обеспечивать такую «встроенную» потенциалов Очевидно, что [84]. плазменные методы анизотропного применить не только к травлению конструкционных слоев через маску, но и к проявлению «скрытого изображения» в резистном слое после экспонирования. К сожалению, изменение молекулярного веса при экспонировании не сказывается столь же существенно^ на скорости плазменного травления, как на скорости растворения в жидких растворителях. Причина такого различия заключена в самих механизмах жидкостного растворения и плазменного травления. При жидкостном растворении растворитель проникает в полимерный слой, обеспечивая перепутанным молекулярным цепям возможность распутываться и переходить в объем растворителя за счет теплового травления было бы полезно молекул. Скорость растворения, по-видимому, определяется тем, насколько быстро молекулы распутываются, а длительность этого этапа процесса растворения должна сильно зависеть от молекулярного веса полимера. Напротив, при плазменном травлении в объем плазмы переходят совсем маленькие летучие фрагменты молекул, образованные в процессе деструктивных реакций молекул движения полимера с активными ионами плазмы. ясно, что Интуитивно реакций образования летучих фрагментов плазмы будет слабо зависеть от молекулярного веса полимера, по крайней мере пока молекулярный вес М полимера намного превышает молекулярный вес летучих фрагментов. Поэтому при плазменном травлении резистных в процесс с ходе ионами слоев с одинаковой скоростью травятся экспонированные неэкспонированные участки, только для полного снятия и и поэтому метод применяется резистной маски после ее травления нижележащего слоя. В то же время возможен процесс плазменного проявления скрытого изображения, созданного экспонированием, использования для в так называемых негативных резистах при экспонировании доминирующим не разрыв молекулярных цепей 5 К. А, Валиев и [85]. В эффектом последних является уменьшение молекуляр113
а веса, образование поперечных сшивок молекул. Поэтому экспонированные области пленки резиста становятся менее растворимыми и сохраняются при проявлении, а удаляются неэкспонированные участки пленки. Возможность процесса плазменного проявления демонстрировалась на негативных резистных пленках, состоящих из смеси и диазидов. полиметилизопропенилкетона ароматических светом ртутной лампы (в ближнем При экспонировании ного происходит прививка молекул диазида к и молекулам полиметилизопропенилкетона образование аминов. за экспонированием Последующая вторичных термообработка при температурах 120—160° С вызывает ультрафиолете) перестройку структуры резиста и образование водородных связей в экспонированной части пленки. Структура с водородными связями проявляет большую устойчивость к травлению в кислородной плазме благодаря дезактивации, без разрыва молекулярных связей, возбуждений, созданных в пленке потоками ионов, электронов и фотонов из плазмы. Из неэкспонированной частично или возможно также активно пленки части полностью удален диазид разложение азидов с с кислородом реагирующих в скоростей травления экспонированной неэкспонированной в ультрафиолете может достигать частей значения пленки 1 : 6, может быть термообработке, образованием продуктов, при плазме. Отношение и (после термообработки) что достаточно для конструкционных слоев через оставшуюся после проявления резистную маску [85]. Очевидна необходимость разработки резистов, которые можно было бы проявлять в плазме после электронно-лучевого, ионно-лучевого и рентгеновского облучения (экспонирования). травления Сходные с процессами плазменного травления полимеров процессы происходят при воздействии на полимерный слой ультрафиолетового излучения. Если энергия квантов света достаточно велика, чтобы протекали процессы деструктивных фотореакций молекул полимера с образованием летучих фрагментов, то будет наблюдаться процесс фототравления полимерной пленки. Этот процесс был обнаружен и детально исследован в лаборатории автора [86]. Оказалось, что процесс фототравления может быть применен также для проявления скрытого изображения, созданного, например, путем экспонирования резистного слоя в рентгеновском диапазоне [87]. Правда, проявление скрытого изображения производится в условиях «переэкспонирования»: медленнее травятся участки, получившие большие дозы рентгеновского излучения, результатом которого было об114
разование большого числа поперечных сшивок между молекулами, препятствующих образованию летучих фрагментов. Эксперимент показывает, что при любом воздействии, вызывающем образование летучих фрагментов, можно наблюдать процесс травления полимерного слоя. Такое травление наблюдается при воздействии рентгеновского, электронного и ионного пучков [88]. Выводы. Современные системы электронной литографии являются универсальными средствами, позволяющими создавать структуры с микронными и субмикронными размерами как непосредственно на рабочих пластинах, так и при изготовлении шаблонов. Разрешающая способность процесса повышается с ростом энергии электронов, поэтому следует ожидать перехода к системам высоковольтной электронной литографии. Для повышения производительности однолучевых установок их совершенствуют различными способами (повышением яркости источника, увеличением числа переадресаций луча в 1 с, введением векторных методов сканирования). Одновременно делаются попытки создания многолучевых систем. Применение электронно-лучевых систем для литографии непрерывно будет возрастать, работы непосредственно на пластине. 7.4. Ионно-лучевые литографические особенно системы Взаимодействие быстрых ионов с веществом существенно от взаимодействия электронов с веществом при отличается энергиях (10—100 кэВ). Обусловлено это большой разницей в массе между ионами и электронами. Быстрый ион благодаря большой массе обладает большим импульсом тех же р—ти выбить и при столкновениях с атомами мишени может равновесия в кристаллической решетке; при этом выбитый атом скорее всего остановится в некотором междоузлии, а в том месте, откуда он выбит, образуется вакансия. Выбитый атом может получить столь большую энергию, что в свою очередь будет выбивать атомы из атом из его положения решетки, в результате вакансий и атомов образуется целый каскад междоузлиях. Поэтому после ионного внедрения пленки конструкционных материалов можно подвергнуть локальному травлению, что дефектов — в эквивалентно травлению через резистную маску. Таким образом, процесс литографического образования рельефа в данном случае выполняется без маски. Образование выбитых атомов происходит через кулоновское взаимодействие ядра быстрого иона и ядра атома мишени; поэтому потери энергии 5* 115
обусловленные процессом выбивания ато* (не совсем точно) ядерными в этом Поскольку процессе до столкновения и после быстрого иона, принято мов, потерями. него называть кинетическая столкновения энергия называются остается еще упругими). Скорость потерь энергии постоянной, упругими (иногда то и такие потери называют зависит от Е^ при при при Здесь Еф - вающихся энергии иона: £<£Ф, Е^>ЕФ. (31) кулоновская энергия взаимодействия сталкиядер при некотором характерном расстоянии - 600 ZAHff Рис. 35. Электронные (штриховая линия) и ядерные (сплошная линия) потери энергии протонов с энергией 60 кэВ в полиметилметакрилате. между ними Поэтому аф=0А7(г{/2+г1/2)~^ А (по Фирсову О. В.). ростом энергии ионов функция (dE/ds)9Jk проходит через максимум. При внедрении в мишень ион изменяет энергию от максимальной Е0 до нуля; поэтому в начальной части пути в мишени ядерные потери энергии быстрого иона возрастают как Е'1^), достигают максимума и затем убывают 116 с (рис. 35, сплошная линия).
Кроме ядерных потерь энергии, быстрые ионы энергию на возбуждение и ионизацию атомов мишени. Однако и этот процесс протекает своеобразно. Дело в том, что скорость движения электронов в атомах довольно велика и заметно превышает скорость быстрого иона и. Поэтому в процессе столкновения быстрого иона и атома мишени скорость внутреннего движения электронов гораздо выше, чем скорость относительного движения иона и атома. Фирсов [89] впервые заметил, что описанная ситуация напоминает ситуацию при рассмотрении внутреннего трения в газе: два слоя газа медленно движутся друг относительно друга, тогда как атомы в этих слоях движутся быстро, поддерживая внутреннее равновесие в слоях. Трение затрачивают происходит в результате перехода быстрых атомов из быстро движущегося слоя в медленный Аналогично, по и обратно. Фирсову, быстрый ион трение в веществе из-за того, что его электроны, движущиеся с со вместе атомом скоростью и, переходят к неподвижным атомам, и им на смену приходят электроны атомов мишени, для которых ц=0. Оказывается, что в таком процессе обмена электронами скорость потерь энергии на возбуждение электронов испытывает в мишени (так называемые потери энергии) скорости быстрого электронные пропорциональна Рис. 36. Схема рефракторной проекционной системы литографии: / иона и: источник шаблон; (§)„=-2.м* х 10-2!W здесь N — проекционная число 3 атомов (32) мишени в 2 — — 4 линза; — с пластина (Zi + Z^u эВ/см, — излучения; рабочая резистным слоем. 1 см3, и — в см/с. уменьшается в глубь мишени от начальной до нуля, скорость электронных потерь энергии имеет максимальное значение на поверхности мишени и убывает до нуля в конце пробега иона (рис. 36, штриховая линия). В целом выделенная суммарная энергия распределена довольно равномерно в слое мишени. Наконец, Поскольку скорость укажем, что полная иона скорость потерь энергии быстрого иона примерно в 102 раз больше скорости потерь энергии быстрого электрона. С точки зрения литографии, это означает, 117
полимерных резистов в Ю2 раз будет экспонировании ионами, чем при экспонировании электронами (соответственно глубины экспонированных что чувствительность выше при слоев будут в обратном соотношении). В процессе движения с электронными потерями тяжелый (по сравнению с электронами) ион практически не изменяет направления своего движения. Такие изменения только столкновениях, происходят при ядерных сопровождающихся выбиванием атомов из узлов решетки. Даже в этом случае вероятность передачи большого импульса и энергии атому мишени невелика. Все это обусловливает малое угловое рассеяние и расширение ионного пучка по проникновения в мишень. Малое расширение пучка понятно: тяжелые ионы стремятся сохранить направление первоначального движения благодаря большому импульсу в этом направлении. Таким образом, как средство экспонирования полимерных резистов, ионный пучок обладает по крайней мере двумя существенными преимуществами по сравнению с электронными пучками: большей скоростью передачи энергии мишени и малым расширением в мишени. Отсюда вытекает утверждение о потенциально более высокой разрешающей способности и производительности процесса ионной мере его литографии по сравнению с процессом электронной литографии. Все эти предположения создают большой стимул к разработке промышленных и экспериментальных установок ионно-лучевой литографии на основе тонко пучков. Выше мы уже говорили, что с такой установки можно производить самые различные операции с высокой степенью локальности: легирование полупроводников, диэлектриков и металлов для изменения сфокусированных ионных помощью свойств, осаждение слоев из газовой фазы и т. д. Существенным моментом в установках ионно-лучевой литографии со сфокусированным пучком является их применение так ионного является называемого жидкометаллического источника обладающего высокой яркостью, необходимым условием работы источника тока, что в Газоплазменные источники ионов разных типов, в которых ионный ток создается вытягиванием ионов из газовой плазмы, характеризуются яркостью 102 А/см2*ср, 106 А/см2-ср, что по тогда как жидкометаллический с величины совпадает яркостью электронных порядку источников с термокатодом или с автоэмиссией [2—4]. В жидкометаллическом источнике ионного тока на остриё с радиусом закругления около 1 мкм из небольшого резервуара установке. — 118
Соосно натекает жидкий расстоянии расположен электрод металл. с с острием на близком круглым отверстием, подается ускоряющее ионы напряжение 10 В области закругления острия создается поле, которое на кВ. который формирует каплю жидкого металла с радиусом кривизны г порядка 10 нм конусообразной формы. Считается, что на поверхности оконечности конуса жидкого металла возникает поле с напряженностью V7r~109 В/см, способное осуществить полевое -испарение ионов из жидкого металла. Механизм полевого испарения прост: внешнее поле V и внутреннее поле металла, действуя вместе, создают потенциальный барьер, который тем тоньше, чем больше внешнее поле. При больших значениях внешнего поля ионы могут туннелировать через барьер [3]. Срок службы источника определяется коррозией материала иглы при взаимодействии с жидким металлом. Материалы, являющиеся тугоплавкими в чистом виде, испаряются из сплавов с относительно низ-^ кой температурой плавления. Далее, существенным отличием установок для ионной литографии является наличие ионного фильтра, позволяющего отфильтровать из пучка все ионы, кроме заданных. Фильтр позволяет выделить даже отдельные изотопы В фильтре может использоваться комбинированное действие электрического и магнитного полей данного элемента. или только магнитного поля. В качестве фокусирующих линз используются не установках электронной электронных микроскопах, а электростатические Фокусное расстояние магнитной линзы магнитные соленоиды, как в литографии и линзы. фокусируемых частиц, и при фокусировке (по сравнению с электронами) атомных частиц длина фокуса магнитной линзы становится неприемлемо большой (порядка 10 м). В тоже время длина фокуса электростатической линзы не зависит от массы фокусируемых пропорционально массе тяжелых заряженных частиц, а только от их энергии [2—4]. В системах отклонения в сканирующих установках ионной литографии также используется электрическое поле. При использовании электростатических линз, состоящих из системы металлических электродов, между которыми приложена разность потенциалов, возникает опасность возникновения электрического пробоя. В частности, возможен поверхностный электрический пробой, особенно при загрязнении поверхности электродов при работе установки. Во всех известных установках ионной литографии используются пучки с гауссовым распределением ионного тока 119
по сечению Автору пучка. публикации неизвестны разработке установок пучка. В целом можно сделать вывод ионной литографии находятся в стадии сообщением о с с изменяющимся сечением о том, процессы что лабораторных исследований. Ожидается широкое применение методов литографии в промышленности в начале 90-х гг. ионной 7.5. Проекционные системы переноса изображения шаблона на пластину с Генераторы изображений, позволяют используемые в шаблоны с субмикронными изготовить микроэлектронике, размерами. Шаблоны могут изготавливаться с увеличенным размером элементов по сравнению с размерами приборов (10х, 5х). Тогда перенос их изображения на пластину совершается в установках проекционного типа с уменьшением. Увеличенный 10х по сравнению с размером кристалла ИС площадью 10x10 мм2 шаблон будет иметь размер 100 х 100 мм2. Это означает, что на 10х шаблоне размещается практически изображение слоя одной ИС. Рабочая пластина, содержащая 100—200 ИС, должна экспонироваться через шаблон на каждой ИС после шагового перемещения и совмещения шаблона с каждой из ИС на пластине. Описанный метод пошагового экспонирования каждой ИС на пластине, несколько снижая производительность процесса, имеет в то же время ряд преимуществ. Изготовить шаблоны увеличенных размеров Рис. 37. Схема рефлекторной проекционной 2 ■— рабочая пластина с резистом; направление низкий уровень субмикронные ИС вызванные — системы они литографии: / цилиндрический 4 — дефектности, не «выбрать» искажения изображения, и деформацией рабочих пластин, неизбежно появляются при их обработке. В целом рассовмещением разрешающая способность процесса повышается. 120 шаблон; сканирования шаблона источником света. позволяет которые — источник света; имеют так как микронные и пропечатываются при переносе уменьшением 10х. Совмещение шаблона с каждой дефекты изображения с 3 проще,
Оптические проекционные системы могут быть на преломляющих линзах (рефракторного типа) и на зеркалах (рефлекторного типа) (рис. 36, 37). Системы рефракторного типа позволяют получить более высокое разрешение. Если характеризовать объектив проекционной рефракторной системы фокусным расстоянием / и диаметром апертуры D, то из этих величин можно образовать производный параметр, называемый числовой апертурой NA=D/2f (или обратную ей величину F=l/2NA). Тогда на длине волны Я, на которую спроектирован объектив, разрешающая способность /min и глубина резкости Дг построены (допустимое изменение положения плоскости изображения при заданной точности рисунка) равны [91]: /rain = ± Az = Желательно, чтобы /min 0,6U/(AM), ± (33) 0,5А, (NA)-«. было как (34) можно меньше. /тш X и обратно пропорционально числовой апертуре NA. Поле изображения, которое должно быть больше или по крайней мере прямо пропорционально длине изменяется равно размеру ИС, также увеличении NA размеры Поэтому 0,95 (при хотя малом поле значением NA: при изображения уменьшаются. объективов выбирают NA в поля для проекционных интервале 0,25—0,4, связано со волны реально достижимы значения Не очень большое до изображения). NA проекционной линзы позволяет сохранять в разумных пределах и глубину резкости. Проекционные линзы рассчитываются для экспонирования на определенной длине волны, связанной с некоторой яркой линией в спектре излучения, например, линии 435,8 и 404,7 нм в спектре значение Они, кроме того, должны иметь высокое большей длине волны, на которой на другой, разрешение ведется совмещение шаблона с рисунком на рабочей ртутной лампы. резистный слой на этой длине волны не экспонируется. При ЛМ=0,32 поле изображения достигает 10 X X 10 мм2. Материалом для изготовления линз для объективов пластине; на длинах волн возможна 435,8 и 404,7 нм служат разработка рефракторных стекла. линз на В принципе всех длинах которых имеются прозрачные материалы. Прозрачные материалы являются диэлектриками; интервал длин волн, в котором они прозрачны, со стороны коротких длин волн ограничен шириной запрещенной зоны данного волн, на диэлектрика. Укажем ряд материалов, прозрачных в ультрафиолетовой области спектра (в скобках указана коротковолновая 121
нм): L1F (105), CaF2 (123), SrFa (128), BaF2 (135), А1203 (142,5), кристаллический кварц (145), плавленый кварц (180). Эти материалы могут граница прозрачности, средами для изготовления сложных объективов проекционных систем литографии в ультрафиолетовой области. Источниками излучения в области длин волн 100—225 нм могут служить хорошо известные газоразрядные лампы (разряд в водороде, гелии, служить оптическими многолинзовых аргоне, криптоне, ксеноне). Исследуются возможности построения систем проекционной литографии с эксимерными лазерами в качестве источника излучения. Укажем вид излучающей молекулы и длины волн (нм) излучения некоторых эксимерных лазеров: NeF (108), Ar2(126),Kr2(146),Xe2(172),ArCl(175),ArF(193),KrCl(222), KrF(248), Xel(254), XeBr(282), XeCl (308), XeF(351). Энергия в импульсе составляет для эксимерных лазеров 0,1—1 Дж, а средняя мощность достигает 10—20 Вт. С применением эксимерных лазеров и красителей возможно получить излучение во всей полосе длин волн В УФ и УФ диапазонов. Экспонирование можно осуществлять серией импульсов (многоимпульсный режим) или в одноимпульсном режиме. Одноимпульсный режим представляется особенно удобным, а при этом методе экспонирование может при непрерывном движении стола с пластиной, так выполняться как совмещение контролируется временем движения В одноимпульсном методе стола. значительно меньше сказывается рассовмещающее действие вибраций [92J. Экспонирование полимерных резистов излучением с длиной волны 100—200 нм имеет существенные особенности. Во-первых, в этой области длин волн поглощение характеризуется коэффициентом ая^Ю5 см"1, т. е. излучение проникает на глубину а~1я^0,1 мкм. Естественно, что это ограничивает толщину чувствительного слоя. Наиболее подходящей структурой в данном случае будет, видимо, структура типа трехслойного резиста, применение которого было описано выше. Во-вторых, большое обстоятельство поглощение излучения в воздухе вынуждает вакуумировать тракт В-третьих, во время экспонирования фототравление полимерного резиста, которое излучения. наблюдается можно процесс самопроявления при экспонировании. Правда, скорости снятия резистного слоя невелики, и при обычных для фотоэкспонирования дозах толщина использовать стравленного как фотонами слоя будет невелика. В-четвертых, при экспонировании в одноимпульсном режиме при определенных условиях наблюдается тепловой сброс экспони122
руемого слоя (абляция), что также можно рассматривать как процесс самопроявления при экспонировании. Два последних процесса наблюдались в экспериментах по контактной литографии [93, 94], но, очевидно, что они проявятся и при проекционном методе экспонирования. Отсутствие прозрачных материалов для волн глубокого ультрафиолета (0,1—0,01 мкм) и мягкого рентгеновского излучения (А,=0,1—10 нм) делает невозможным конструирование проекционных систем рефракторного типа; однако возможны системы рефлекторного типа. излучение довольно хорошо отражается от пленок зеркал можно использовать металлизированные поверхности линз; однако по мере уменьшения длины волны коэффициент отражения уменьшается. В Ультрафиолетовое металлов, и в качестве области мягкого рентгена отражение при нормальном падении Однако применение многослойных интерференционных зеркал позволяет достичь коэффициентов отражения около 0,3—0,5 [95]. Опыт конструирования рефлекторных систем в диапазоне длин волн 0,1—100 нм, излучения очень по-видимому, мало. отсутствует. Зеркальные проекционные системы в оптическом обеспечивают воспроизведение линий 2 мкм [2]. Они не проявляют хроматических аберраций, и поэтому в них можно применять полихроматические источники диапазоне что исключает образование стоячих волн интерференции. Имеется значительный опыт конструирования излучения, в результате электронно-лучевых систем проекционного экспонирования [96, 2— 4]. Разработаны также ионно-лучевые проекционные системы. И в тех, и в других применяются шаблоны трафаретного типа; на пластине создается 10х, 5х уменьшенное шаблона. Известна разработка электронного проектора с магнитной фокусировкой, воспроизводящего на пластине изображение фотокатода в масштабе 1 : 1 [2—4]. изображение Широкого распространения электронно-лучевые и ионно-лучевые системы проекционного экспонирования к настоящему времени не получили. Более привлекательными на данном этапе оказались электронно-лучевые генераторы изображений, обладающие большей гибкостью и универсальностью в применении. Сравнение некоторых параметров систем проекционного экспонирования проведено в табл. 16. Следует обратить внимание на число одновременно экспонируемых точек, или групповой коэффициент процесса. Так называется отношение экспонируемой в процессе площади к площади, экспонируемой гауссовым пучком 123
се- пластину Состяние разботк рабочую испольшаблон зованию в [Освоен произ- оптика о б р у д в а рийном водстве Разрбтыве- Возм жны и ся изображеня Ограничео при- при- кон- ни ка шаб- разе- к си тем 9J™f Н!и>Гпл„~о вс^я I одн- экс- различных Число времно понируемых «точек» парметов Точнсть совмещСравнеи Минмаль- шир- лин , мкм ния> мкм водительнс, 10 Проекцины ния, мые мые произ- разе- произ- перноса Стимулы использваню ситемы [Высокая сохранеи лонв Высоке шение Высокие водительнс, разешни Высокая водительнс, высоке 1 10 [2,5-109— 2,5-109— 2,5-109— 109 109 ±0,25 ±0,25 ±0,2 ±0,1— 0,2 0,5 0,3-г 03 0,1ч-0,5 I 1 ная на I 16. Оптическ: а) 134 уста- работки примен дельных вок Известны дельны новки я, Нет Таблица Метод изображеня устано- оНеобхдима птическх риалов, источнкв Сложнсть ограничея мен ия, трафеной струкцией Сложнсть ограничея мен ия, трафеной конструцией I произ-1 перноса от- разбот- интесвых излученя обрудва- наклдыве- шаблонв обрудва- наклдыве- шаблонв к Препятсви ние мате- на с от- раз- (0,3 — рефак- 0,2— (рефлк- рефак- 0,2-~ (рефлк- Iтрафе- ш а б л о н м , В У Ф Я ГУФЯ УФ-Х —0,4 торные) —0,3 торные торные) —0,1 торные) чевые ным 10:1 = = = мкм мкм б) мкм и в) 0,3 Электрон-у Ион-лучевы трафеным шаблонм с г) д) с шение
с 16 слабо табл. Продлжени из- Состяние разботк разботн, I | к 5 = | раз- размеов сниже из-а шаблонв электронв при ванию Препятсви Искажения элемнтов сировке, контрас жения I | использваню Стимулы Нет Не от- низ- про- шение Р°" шир- лин , мкм кая — приемл- разешни высок е разрешние цес а, мое — — тенвог Системы 101 Число мен о руемых мкм ния, стоим ь обрудования, | L 1очнсть совмещ- при и источнкв, резистов завершны работки шаблонв, э|| кспонирвая [Высоке сутвия дифракцоных эфектов Проста обрудвания, сохранть шаблонв, Проста — \^спЛэкспо- вания с/м2 111 однвре- экспони- «точек» 101 т кРазрушени онтаирв разе-1 из- а к |минмаль- ИС в фоку- отра- использ- ная исполь- произ- мкм развиШирок зуется водстве /min Активно ваются отдель- моральн у с т а н о в к и Метод вестны ные Метод устарел 7.10е I ±0,2 | i 0,5 на с перноса про- ±0,2 ±0,5 ±0,5 ±0,5 2% (2Яг)1/ 3—5 1-ьЗ (без! за- 0,3-г 0,1-т | с Метод изображеня Электроны фотка дм, екция Контакые заора) Контакые зорм уф^ -г0,4 1:1 е) = г: ^) мкм = мкм ВУФА, -т0,3 f>) 125
16 изу- табл. лабо- уста- значи- техно- опыт слабо очень разбо- слабо Состяние разботк Диапзон Имеются ратоные новки, тельный логическй Метод ме п о г л ш а б л о малыи высокая источнкв использ- харктеы- всех необхдимы т р а ф е и з г о т в л е ванию высоким трафеног тонких или типа; электронв), (точены Препятсви Диапзон зуется щеним материлах; шаблоны типа, вакуме Непрочнсть бран ного стоим ь ускорител сложнть эксплуатци источник) Трудности ния шаблонв разме и разеразе- (опред- шаблоразе- (опред- пробегм Стимулы использваню Высоке шение Высоке шение яетс Высоке шение ляется ном) чен Продлжени тан к во эксплуатци экспонирвае (синхрот ые фотэлекрнв) на ах с и нов в я к л 4lE^Jf „ип,?„"*»{™ — — — „ одн- экс- понируемых Число времно «точек» 2,5-10 3-10 м™ ния> — — Ючность совмещ- Минмаль- шир- лин , мкм ная на перноса Метод -= 1 0.1 0у1~ изображеня = Х ТУФ з) 126 мкм ■т-0,1 ч-0,5 я 10,ч-0,2 0,1 рентг мкм Мягкий 0, 1— 0 тИон-лучевы электрон-уч рафены- мебран- шаблонми = г)\, li) (опред- яетс шабло- ном) л и с или вые ми ными
(1 мкмй). Как шаблона с в системах переноса изображения коэффициент достигает значений 109—1011. видим, этот Именно высокая производительность процессов переноса изображения с шаблона привлекает 7.6. Системы Если теневого большое к ним внимание. экспонирования проекционного экспонирования на рис. 38 проекционную линзу и сблизить шаблон и рабочую пластину, получим схему теневого экспонирования. Наибольшее разрешение при переносе изображения получится при непосредственном контакте шаблона и 1 рабочей пластины. В этом случае в схеме убрать 1 1 ;|1; j | V '| « | U дифракция краях щелей в шаблоне проявляется только на толщине резистного слоя и не приводит к существенным искажениям при переносе изображения щелей на слой (рис. 38а). Однако такой способ страдает существенным недостатком: при в Л ! l! 2 \^1 1 резистный физическом контакте и покрытие — и др.) рельефа, и б 1 4 1 Aj имплантации, / наращивание наличия 1 \ Кроме того, из-за рабочих пластин при термообработке (окисление, диффузия, слоев 1 /| / 3 коробления эпитаксиальное 1 на нарушена. после ионной / шаблона, целостность резистного слоя пластине также может быть отжиг 1 ^ 1ЖГТ1 6 разрушаются подложка 1 \ на i пластине созданного в предыдущих литографии, трудно хороший контакт шаблона и по всей экспонируемой пластины через шаблон площади. Поэтому процессах создать предпочтение отдается методам экспонирования с контролируемым зазором (рис. 386). теневого Создание изображения щели шаблоне на резистном слое пластины в 2 LJ. i TV Рис. 38. Схема контактного без зазора (а) и с зазором (б) переноса изображения щели в шаблоне на пластину с резистом. При большом значении числа Френеля v=l2Ag ширина распределения освещенности близка к ширине щели (в), при малых v наблюдаются сильные дифракционные искажения (г). дифракционными Картина дифракционного распределения интенсивности на поверхности резистного слоя (толщину резистного слоя можно считать малой) зависит от значения числа сопровождается искажениями. 127
Френеля v—/2/(A,g% составленного из трех величин с размерностью длины, определяющих процесс переноса изображения: длины волны излучения Я, ширины щели /и зазор a g между шаблоном и пластиной. На рис. 38<?, г приведены освещенности на резисте при значениях числа v= 2. Как видно, при заданной ширииещели / увеличение зазора g в 10 раз (v=20-kv=2) существенно изменяет характер распределения освещенности поперек щели, ухудшая соответствие между прямоугольной формой щели и распределения =20 и распределением интенсивности на поверхности пленки. Принято значением числа Френеля и линии ширину воспроизводимую резистной v=2 минимально допустимым определять минимально при «печати» с зазором считать значение значением (35) /„пп=№)1/2. Следует речь идет только о экспонирующего света; реальная ширина линии в резисте будет зависеть также от процесса проявления, особенности которого за недостатком места остаются за пределами нашего изложения. Сведения о форме проявленной линии можно найти в [2—4]. указать, что здесь распределении интенсивности Сведения о теневых методах переноса изображения приведены в табл. 16. Теневой метод переноса характеризуется относительно низким разрешением из-за необходимости поддерживать ширину зазора g на уровне 20— 30 мкм. Кроме того, при уменьшении длины волны (и постоянном значении зазора g) /min уменьшается медленно, только как V%. Тем не менее на первом этапе развития шаблона микроэлектроники теневой метод обеспечил простой и дешевый метод изготовления ИС с минимальными размерами 5 мкм и более при длине волны источника около 0,4 мкм. возможности применения теневого метода переноса интервале длин волн Я=0,1—0,3 мкм изображений с газоразрядными и лазерными ультрафиолета вакуумного источниками (эксимерные лазеры) [86]. В качестве подложек шаблонов могут быть использованы материалы, прозрачные в этой области длин волн. При низких интенсивностях Исследуются в источника наблюдалось фототравление полимерных рези- [86], а сброса при импульсном методе экспонирования материала (абляции [93]). На рис. 39 демонстрируется профиль резистного слоя, полученный методом при воздействии на полимер излучения фототравления с длиной волны 110—220 нм. При зазоре 20—30 мкм между шаблоном и пластиной и небольшом зазоре между окном стов режим 128 —
ВУФ излучения и шаблоном поглощение воздухе незначительно, и вакуумировать систему необязательно. В диапазоне длин волн глубокого ультрафиолета 0,01— источника излучения 0,1 в мкм никаких практически теневой передачи изображения поглощения излучения не исследований процесса проводилось. Из-за большого в этом диапазоне длин волн создать Рис. 39. Структура субмикронной маски, сформированной в слое полимера ПММА методом прямого фототравления в вакуумном ультрафиолете (Л= И 0—220 нм). Источник излучения дейтериевая газоразрядная лампа низкого давления с — из окном шаблоны с прозрачными материалов прозрачных MgF«. подложками нет. Единственной трафаретные шаблоны Исследуются различные остаются невозможно с — альтернативой субмикронными методы изготовления субмикронных трафаретных шаблонов: методы с использованием анизотропного травления кремниевых или полимерных мембран. При этом используются маски, созданные предварительно методом электронно-лучевой литографии. По отверстиями. технологии эти методы близки к методам создания рентгеновских шаблонов. Наиболее активно проводится разработка методов теневой литографии с зазором в диапазоне длин волн мягкого рентгеновского излучения: А,=0,1-т-10 нм (рис. 40). поглощения излучения в рентгеновском диапазоне сильно зависит от атомного номера вещества Z и частоты излучения со: a~Z6o)7/2 [2, 3]. Такое соотношение Коэффициент работы на заданной частоте в качестве рентгеношаблонов могут быть выбраны мембраны показывает, что для подложек материалов полимеры с малым (^эфф^5) и из Z. Действительно, органические бериллий (Z=4) оказываются достаточно 129
прозрачными для длин 10 мкм, а материалы с волн менее 1 относительно нм при толщине до Z (кремний, малыми кремния) окись кремния, нитрид прозрачными при толщине менее с большим Z благодаря могут считаться 1 мкм. В то же время материалы сильной зависимости поглощения от Рис. 40. Зависимость коэффициента поглощения а (см-1) от длины волны к (нм) для некоторых материалов в области мягкого рентгеновского излучения. см-1 прозрачны для излучения с соответствующей длиной волны Материалы са=103 в слое <10 мкм Z могут обеспечить большое поглощение при толщине 1 мкм. менее Малая толщина слоя является необходимым условием создания субмикронного рисунка в поглощающем слое рентгеношаблона (методами электронно-лучевой литографии). В качестве поглощающего покрытия в рентгеношаблонах используется исключительно золото (Z=79) вследствие технологичности этого материала при изготовлении и коррозионной стойкости при эксплуатации шаблона. Первичным процессом при поглощении атомами мишени фотона мягкого рентгеновского излучения (энергии фотонов £(эВ)=1240/А,(нм)=250—2500 эВ) фотоионизации оболочки атома. атома вылетает является процесс В результате фотоионизации из фотоэлектрон с энергией ЕфЭ=Е—/, / —энергия ионизации оболочки, т. е. энергия фотоэлектронов порядка 1 кэВ. Вакантное состояние в атоме, — результате фотоионизации, быстро заполняется путем перехода электронов с внешних оболочек. При этом испускается или квант характеристического рентгеновского излучения (вероятность этого процесса возрастает с частотой возникшее в 130
излучаемого кванта как со2, поэтому испускание квантов является наиболее вероятным процессом при излучении высокоэнергетичных квантов в тяжелых атомах), или электрон. Процесс заполнения вакансии в атомной оболочке ожеэлектроном с внешней оболочки атома носит название процесса, причем рона освобождающуюся энергию уносит второй вылетая из атома. электрон, равна разности Очевидно, энергия внутриатомных энергии оже-электпереходов. этой энергии является средняя энергия ионизации атома, которая согласно атомной теории для атома номера Мерой h.HM 10 -/ 10г 10ь ю- г 1 _M_J \ Ч ! -Е пг1 I 10»*! N > 3 I I I _L % 10 J L 10s Е,эВ 10* 10* Юг Рис. 41. Зависимость разрешающей способности различных систем литографии от волны %, нм): 1 разрешение энергии квантов или частиц Е, эВ (или длины разрешение контактных систем проекционных систем оптической литографии; 2 предел разрешения в рентгенолитограс зазором (дифракционный предел); 3 4 разрешение фии, обусловленный разлетом фотоэлектронов; электронно-лучевых систем литографии — — — — Z равна 7=13 Z эВ. Отсюда следует, что энергия оже-элект100 эВ, достигая ронов в легких атомах Z<10 не превышает около 1000 эВ. в тяжелых атомах значений Все эти оценки необходимы для определения разрешающей способности рентгенолитографического процесса. Дифракционный предел разрешения, согласно 13], при Я= зазоре g-=10 мкм составляет 0,1 мкм и уменьшаться при уменьшении длины волны. Этот предел показан на рис. 41 линией 2. Однако чем короче длина волны фотонов, тем больше энергия фотоэлектронов и оже=0,5 нм и продолжает электронов, разлетающихся рентгеновские кванты атомов, из Увеличение поглощающих пробега вторичных элект- 131
ронов ростом энергии поглощающих квантов определяет разрешающей способности рентгенолитографипроцесса, как это показано линией 3 на рис. 41. с снижение ческого Таким образом, для рентгенолитографии можно указать длину волны, при которой будет достигнуто максимальное разрешение. При оптимально выбранной длине волны факторами, определяющими разрешение рентгенолитографического изображения. Например, при использовании источника с диаметром б (рентгеновская трубка с излучающим в малой (~10 мм2) области анодом), расстоянии D от источника до шаблона и зазоре между шаблоном и пластиной g изображение точки процесса, могут стать геометрические искажения шаблоне будет иметь на пластине диаметр d=gblD [4]. \ м, 6=3 мм, g=30 мкм получим d=0,09 мкм, что D уже близко к дифракционному и фотоэлектронному пределам разрешения. В опытах по рентгеновской литографии наиболее часто используется излучение специально сконструированных на При = рентгеновских трубок и синхротронное излучение (излучение электронов с энергией около 1 ГэВ в электронных ускорителях). Недостатком рентгеновских трубок является низкий коэффициент преобразования энергии электронного пучка в излучение, равный примерно 10~4. При мощности в пучке электронов 10 кВт во всем диапазоне длин волн излучения и во всех направлениях получим всего 1 Вт энергии излучения. Допустимое значение плотности рассеиваемой мощности при вращении анода (несколько тысяч оборотов в -минуту) так что и быть не излучающего пятна должно водяном сечение охлаждении составляет с мощностью 1 кВт/мм2, 10 кВт пучка электронов 10 мм2. Это определяет размер меньше на аноде. Синхротронное излучение обладает многими притягательными для рентгенолитографии свойствами: высокой направленностью (угловая расходимость составляет 10~3— 10~4 радиана), непрерывным спектром, из которого с помощью фильтра можно вырезать любой частотный диапазон, большой стабильностью. К недостаткам синхротронного излучения с точки зрения рентгеновской литографии следует отнести горизонтальность расположения пучка (излучение направлено по касательной к орбите электронов в ускорителе). Горизонтальность пучка не позволяет использовать известные механизмы совмещения шаблона с пластиной. Не менее существенно то, что электронные ускорители-синхротроны являются сложными и дорогими ма132
шинами (стоимость 10 млн. руб.). Правда, имеющиеся физические установки; рентгенолитографии установки могут около ускорители строились как специализированные для более дешевыми. Недавно появилось сообщение строительстве такой установки с размером орбиты электронов всего 2,5 м [98]. оказаться о Проводятся также опыты рентгенолитографии по с рентгеновского излучения лазерной плазмы, пинч-разряда и т. п. Успешное применение таких «разовых» источников потребует строгой повторяемости параметров излучения от импульса к импульсу. Насколько можно использованием повторяемость энергетических параметров лазеров сама по себе недостаточна. В установках с вращающимся анодом представляет проблему воздействие вибрации, возбуждаемой вращающимся анодом, на узел совмещения. судить, импульсов мощных Технологию рентгеношаблонов можно считать ней используются хорошо проверенные методы электронной литографии. Однако применение в рентгеношаблонах мембран толщиной 1 мкм в качестве разработанной, поскольку в проблематичным их широкое и условиях массового производства. Необходимы дальнейшие поиски конструкций шаблонов, которые могли бы обладать необходимой прочностью в условиях эксплуатации, сопровождаемой многократными процедурами совмещения, экспонирования, контроля и очистки. Имеются сообщения об использовании широких пучков электронов и ионов для экспонирования в методе теневой литографии [97, 99]. В опытах использовались как подложек делает плодотворное использование в шаблоны с очень тонкими (толщина подложки пробега Для частиц в мембранами должна ней), быть так и теневых методов с трафаретные перспективными. в качестве подложек значительно шаблоны меньше длины трафаретного типа. потоками именно корпускулярными шаблоны представляются более Толщина мембран трафаретных шаблонов технологией энергией экспонирующих мембран можно использовать высокоэнергетичные электроны, ограничивается только частиц. их изготовления, При а не значительной толщине которые благодаря малому угловому расширению точно воспроизвести рисунок отверстий в шаблоне даже в 3—4-микронных слоях резиста (рис. 42). Ограничения этого метода связаны в основном с особенностями шаблонов: возможностью изготавливать трафаретные шаблоны в мембранах толщиной 10 мкм с отверстиями субмикронных размеров и произвольной формы, необходимостью избегать позволяют 133
в конфигурации замкнутых отверстий, образующих выпадающие участки, и т. п. Из нашего изложения следует, что методы субмикронной литографии еще не стали повседневной практикой в Рис. 42. Структура маски, сформированной в слое ПММА толщиной 4 мкм методом экспонирования через трафаретную маску в широком пучке электронов с энергией 50 кэВ промышленной перспективных иные нерешенные методов трудностей, деятельности. методов, в найдет, свои Разрабатывается каждом из которых проблемы. Несомненно, по мере преодоления области применения. много имеются те или что каждый имеющихся из
ЗАКЛЮЧЕНИЕ Завершая рассказ о развитии микроэлектроники, автор бы поделиться с читателем мыслями об еще одной особенности микроэлектроники, а именно о необходимости для специалистов в этой области работать безошибочно. хотел — Имеется виду, во-первых, полное исключение каких-либо проекте СБИС, состоящей из 106 транзисторов; ро-вторых, полное отсутствие дефектов в комплекте фотошаблонов, предназначенных для изготовления СБИС; в-третьих ошибок в в безошибочное проведение всего цикла (маршрута) технологических процессов в ходе изготовления СБИС, хотя маршрут состоит из более чем 200 операций и процессов; в-четвертых, применение во всем технологическом процессе безукоризненно чистых и полностью соответствующих паспортным данным материалов; в-пятых, отлаженное и безотказное технологическое выполнение скрупулезное Без выполнения всех перечисленных выше, нельзя параметрам интегральные оборудование, и в-шестых, режимов технологических операций. без исключения требований, получить годные по функциональным при длительной эксплуатации и надежные схемы. Ошибку, допущенную при изготовлении схемы, нельзя исправить, интегральная схема неделима, неразъемна и неремонтируема. В то же время очевидно, что безошибочная работа возможна только при интегральной необычайно жесткой дисциплине труда, при максимально труда каждого работника высоком качестве микроэлектроники и микроэлектроники. каждого работника, работающего для
СПИСОК ЛИТЕРАТУРЫ 1. Березин Г. Я., Никитин А. В., Сурис Р. А. Оптические основы контактной литографии.— М.: Радио и связь, 1982, 103 с. 2. Броудай Я., Мерей Дж. Физические основы микротехнологии. /Пер. с англ. под ред. А. В. Шальнова.—М.: Мир, 1985, 494 с. 3. Бадаев К. А., Раков А. В. Физические основы субмикронной 1984, литографии в микроэлектронике.— М.: Радио и связь, 352 с. 4. Электронно-лучевая технология в изготовлении микроэлектронных Дж. Р. Брюэра, ред. приборов. /Под пер. с англ. под ред. Ф. П. Пресса.—М.: Радио и связь, 1984, 332 с. 5. Боков Ю. С. Фото-, электроно- и рентгенорезисты.— М.: Радио и связь, 1982, 135 с. 6. Ефимов Я. Е., Козырь Я. Я- Основы микроэлектроники.— М., Высшая школа, 1983, 384 с. 7. Эдельман Ф. Л. Структура компонентов БИС.— Новосибирск: Наука, 1980, 384 с. 8. Тонкие пленки. Взаимная диффузия и реакции. /Под ред. Дж. Поута, К. Ту, Дж. Мейера.— М.: Мир, 1982, 570 с. 9. Риссел X., Руге И. Ионная имплантация./Пер. с нем. под ред. М. И. Гусевой.— М.: Наука, 1983, 359 с. 10. Вопросы радиационной технологии полупроводников./Под ред. Л. С. Смирнова.— Новосибирск: Наука, 1985, 223 с. 11. Александров Л. Я. Кинетика кристаллизации и перекристаллизации полупроводниковых пленок.— Новосибирск: Наука, 1985, 223 с. 12. Saraswat К. С, Mohammadi F. Effect of scaling of interconnections the time delay of VLSI circuits.— IEEE J. Solid State circuits, 1982, v. SC-17, №2, p. 275—280. on 13. Fantini F. Reliability problems with VLSI.— Microelectron. Reliab., 1984, v. 24, N2, p. 275—296. 14. Алферов Ж. Я. Полупроводниковые гетер остр уктуры.— ФТП, 1977, т. II, №11, с. 2072—2083. 15. Keyes R. W, The evolution of digital electronics towards VLSI.— IEEE Trans. Electron Devices, 1979, v. ED-26, p. 271—278. 16. Основы построения микросхемах./Под 1981, 288 технических средств ЕС ЭВМ на интегральных ред. Б. Н. Файзуллаева.— М.: Радио и связь, с. 17. Березенко А. Я. Микропроцессорные комплекты общего применения.— М.: Машиностроение, 1983, 64 с; Микропроцессорные комплекты интегральных схем. Состав и структура. /Под ред. А. А. Васенкова, В. А. Шахнова.— М.: Радио и связь, 1982, 192 с. 18. Beresford R. Advances in customization free VLSI system Electronics, 1983, p. 134—145; Custom silicon.— Electronic Engineering, 1984, p. 70—78; designers.— 136
Балашов В. #., Бедрековский М. Л., Ломакин В. М. Опыт сверхбольших и сверхскоростных интегральных микросхем.— Зарубежная радиоэлектроника, 1985, №3, с. 51—55. 19. Осинский В. И. Интегральная оптоэлектроника.— Минск: Наука развития специализированных и 20. техника, 1977, 248 с. Hoefflinger В., Liu Sie Г., design methodology.— IEEE Vajdic В. A three dimentional CMOS J. Solid State circuits, 1984, v. SC-19, N 1, p. 3739. 21. Шефталь Н. #., Бузынин А. Н. Преимущественная ориентация кристаллов и влияние царапин.— Вестник МГУ, сер. ГСОЛ, 1972, с. 102—104; Гиваргизов Е. И. Монокристаллический рост на аморфных подложках.— В сб. Рост кристаллов.— М.: Наука, 1983, т. 14, с. 5-12. 22. Scharff W.t Erben J. W., Wolf A., Heber M.9 Hamann C, Weissmantel C. Growth of monocrystalline silicon islands on insulating substrates.— Thin solid films, 1984, v. 113, p. 327—335; Lee El-Hang. Extended growth of subgrain-boundary-free silicon-on insulator via thermal gradient variation.—Appl. Phys. Lett., 1984, v. 44, N 10, p. 959—961. 23. Kishida 5., Naruke F., Uchida F., Hatsumura M. Theoretical analysis of amorphous-silicon field-effect transistors.—Japan. J. Appl. Phys., 1983, v. 22, N 3, p. 511—517; Shur M., Hack M. Physics of amorphous silicon based alloy fieldeffect transistors.—J. Appl. Phys., 1984, v. 55, N10, p. 3831— 3842. 24. Mukai R.y Sasaki N.9 Iwai Т., Kawamura 5., Nakano M. Single crystalline Si islands on an amorphous insulating layer recrystallized by an indirect laser heating technique for three-dimentional integrated circuits.— Appl. Phys. Lett., 1984, v. 44, N 10, p. 994—996. 25. Vu D. P., Leguet C, Haond M.f Bensahel ZX, Colinge J. P. CMOS circuits made in lamp-recrystallized silicon-insulator.— Electronics Lett., 1984, v. 20, N7, p. 298—299. 26. Фигтнер В., Нагел Л. У., Пенумалли Б. Р., Петерсен В. #., Царей Дж. Л. Супер-ЭВМ и проектирование интегральных схем.— ТИИЭР, 1984, т. 72, № 1, с. 116—134. 27. Bursky D. Circuits compiler cuts chip area 25% to 40% over standard cells.— Electronic design, 1984, p. 297—298. 28. Nissen C. Hierarchical design methodologies and tools for VLSI chips.—Proc. IEEE, 1983, v. 71, p. 66—75. 29. Mead C, Conway L. Introduction to VLSI Systems.— Addison-Wesley publ. сотр., Reading, Mass., 1980, p. 396. 30. Миллер Ю. Г. Физические основы надежности интегральных схем.— М.: Советское радио, 1976, 320 с. 31. GeipelH. /., Tice W. К. Reduction leakage by implantation gettering in VLSI circuits.—IBM J. Res. Develop., 1980, v. 24, N3, p. 310— 317. 32. May Т. С, Woods M. N. Alpha-particle-induced soft dynamic memories.— IEEE Trans, on Electron errors Devices, in 1979, v. ED-26, N 1, p. 2—9; Yaney D. S., Nelson J. Т., Vanskike L. L. Alpha-particle tracks in silicon and their effect on dynamic MOS RAM reliability.— IEEE Trans, on Electron Devices, 1979, v. ED-26, N 1, p. 10—16. 33. Mc Leod J. Polyimide film-not liquid-shields RAM's from alpha particles.— Electronic Design, 1980, p, 36—37; 137
BossenD. С, Hsiao M. Y. A system solution to the memory soft error IBM J. Res. Develop., 1980, v. 24, N 3, p. 390—397. 34. Van Oostrom. Characterisation of semiconductor materials and devices by surface analysis techniques.—Vacuum, 1984, v. 34, N10/11, problem.— p. 881—892. 35. Lischke В., Frosien /., Schmitt R. Electron-optical instrumentation for dynamic £-beam testing of integrated circuits.— Microcircuit Engineering-83. /Ed. by H.Ahmed, J. R. A. Cleaver, G. A. C. Jones.— London: Acad. Press, 1983, p. 463—483. 36. Зи С. Физика полупроводниковых приборов./Пер. с англ. /Под ред. 1, 2. 37. Eden R. С, Welch В. AL, Zuleeg R., Long S. I. The prospects for ultrahigh-speed VLSI GaAs digital logic— IEEE Trans. Electron Devices, 1979, v. ED-26, N 4, p. 299—317; Кравченко Л. Я., Сапельников А, Я., Старосельский В. И. Интегральные схемы субнаносекундного диапазона на арсениде галлия.— Микроэлектроника, 1980, т. 9, вып. 5, с. 387—400. Р. А. Суриса.—М.: Мир, 1984, с. 1—449, кн. 38. Miller D. L. Ill—V structures grown by molecular beam epitaxy for high speed devices.— Thin solid films, 1984, v. 118, p. 117—127. 39. Abuelma'atti M. T. Modelling DC characteristics of HEMT's.— Electronic Lett., 1985, v. 21, N 2, p. 69—70; Fisher R., Drummond T. /., Kopp W., MorcocH. Instabilities in modulation doped field-effect transistors (MODFET's) at 77°K.— Electronics Lett., 1983, v. 19, N 19, p. 789—791. 40. Chen C. Y., Cho A.Y., Gossard A. C, Garbinsky P. A. Offset channel insulated gate field-effect transistors,— Appl. Phys. Lett., 1982, v. 41, N4, p. 360—362; Thome R. E., Fischer R., Su S. L., Kopp W., Drummond T. /., Могof inverted structure modulation doped schottky barrier effect transistors.— Jap. J. Appl., 1982, v. 24, N 4, сое Я. Performance p. 223—224. 41. Kroemer Я. Heterostructure bipolar transistors and integrated circuits.— Proc. IEEE, 1982, v. 70, p. 13—25. 42. Yokoyama K-, Tomizawa M., Yoshii A. Accurate modeling of heterostructure bipolar transistors by two-dimentional computer simulation.—IEEE Trans, on Electron Devices, 1984, v. ED-31, N9, p. 1222—1229. 43. Chand N., Henderson Т., Fisher R., Kopp W., Morcoc Я., Giacoletto L. T. A p n p AlGaAs/GaAs heterojunction bipolar transistor.—Appl. Phys. Lett., 1985, v. 46, N3, p. 302—304. 44. Ankri D., Eastman L. F. GaAlAs—GaAs ballistic hetero-junction bipolar transistor.— Electronic Lett., 1982, v. 18, N 17, p. 750—751; Б у такова Я. Л, Валиев К. А., Зубов А. В., Орликовский А. А. Гетеропереходные биполярные транзисторы.— Микроэлектроника, 1985, т. 14, № 1,с. 3—9; Ohta К- Scaling principle for heteroj unction bipolar integrated circuit.— Jap. J. Appl. Phys., 1984, v. 23, N 12, p. 913—915. 45. Vojak B. A., Salerno J. P., Flanders D. C, Alley G. £>., Bozler C. 0., Nichois K. B.j Mc Clelland W., Economou N. P., Lincoln G, Л., Murphy R. A., Lindley W. i\, Johnson G. D. Transmission electron microscopy of GaAs permeable base transistor structures grown by vapor phase epitaxv.— J. Appl. Phys., 1983, v. 54, N 6, p. 3554— — — 3560. 46. Jogai В., Wang K. L. Dependence of tunneling current on structural variations of superlattice devices.— Appl. Phys. Lett., 1985, v. 46, N2, p. 138 167-168.
47. Sollner Т. С. L. G., Goodhue W. D., Tannenwatd P. £., Parcer С D., Peck D. D. Resonant tunneling through quantum wells at frequencies up to 2,5 THz.— Appl. Phys. Lett., 1983, v. 43, N6, p. 588— 590. 48. Голубев Л. B.t Леонов Б. И. Сверхрешетки.—М.: Наука, 1977. 49. Esaki /_., Tsu R. Superlattice and negative differential conductivity in semiconductors.— IBM J. Res. Dev., 1970, v. 14, N 1, p. 61—65. 50. Deckman H. W., Dunsmuir J. #., Abeles B. Transmission electron microscopy of hydrogenated amorphous semiconductor superlattices.—Appl. Phys. Lett., 1985, v. 46, N2, p. 171—173. 51. Nakagawa 7\, Kawai N. J., Ohta K., Kawashima M. New negativeresistance device by a shirp superlattice.— Electronic Lett., 1983, v. 19, N20, p. 822—823. 52. Beresford R. Ultrathin layers expand potential of III—V semiconductors.— Electronics, 1983, p. 47—48. 53. Brown J. M., Holonyak N. Jr., Ludowise M. J., Dietze W. Т., Lewis С R. Direct observation of lattice distortion in a strainedlayer superlattice.—Appl. Phys. Lett., 1983, v. 43, N 9, p. 863— 865. 54. Iwata N.,Matsumoto Y., Baba T. Thermal stabilyty of a short period AlAsAi-GaAs superlattice.—Jap. J. Appl. Phys., 1985, v. 24, N 1, p. 17—20; Hirayama Y., Horikoshi K., Okamoto H. Interdiffusion of Al and Ga in Si-implanted GaAs—AlAs superlattices.— Jap. J. Appl. Phys., 1984, v. 23, N 12, p, 1568—1572. 55. Bursky D. Memory technology: nonvolatile memories.— Electronic design, 1984, p. 122—144. 56. Wu J. C, Humphrey F. B. Computer simulation of magnetic bubble logic devices.—J. Appl. Phys., 1984, v. 55, N6, p. 2581 — 2583. 57. Rossi E. M., McDonough G., Tietze A., Arnoldussen Т., Brunsch Л., DossS., Henneberg M., Lin F., Lyn R., Ting A., TrippelG. Vacuumdeposited thin-metal-film disk.—J. Appl. Phys., 1984, v. 55, N6, p. 2254—2256. 58. Manuel T. Vertical recording promises new era.— Electronics, 1982, p. 89—90. 59. Mc Leod J. Optical disks loam as replacement for tape.— Electronic Design, 1981, p. 97—103. 60. Nagashima M., TakenagaM., Yamashita T. Experimental estimation of an optical disk system with V-shaped grooves.— Appl. Phys. Lett., 1983, v. 43, N 1, p. 4—6. 61. Валиев К- А., Петрова А. Г., Раков А. В., Закотеева Н. AL, Moкеров В. Г. Голографическая память на пленках двуокиси ванадия.—ДАН, 1975, т. 222, №3, с. 587—589. 62. Лихарев К. К. Введение в динамику джозефсоновских переходов.— Наука, 1985, 319 с. 63. Лихарев /С. /С. Физические основы криоэлектроники.— Зарубежная радиоэлектроника, 1983, № 6, с. 4—15. 64. Josephson В. Possible new effects in superconductive tunneling.— М.: Phys. Lett., 1962, v. 1, N7, p. 251—253. 65. Семенов В. К- Сверхпроводниковые цифровые и импульсные устройства.— Зарубежная радиоэлектроника, 1983, № 6, с. 51—70. 66. Kroger H. Josephson devices coupled by semiconductor links.— IEEE Trans, on electron devices, 1980, v. ED-27, № 10, p. 2016— 2026. 139
67. Войтович И. Д., Клушин А. М.> Полищук А. С. Технология изготовления криоэлектронных интегральных микросхем.— Зарубежная радиоэлектроника, 1983, № 6, с. 71—96. 68. Гольданский В. Я. Явление квантового низкотемпературного предела скорости химических реакций.— Успехи химии, 1975, т. 69. 44, вып. 12, с. 2121—2149. Evidence for quantum diffusion of hydrogen in Та: quench recovery experiments revisited.— Jap. J. Appl. Phys., 1984, v. 23, Fukai Y. № 8, p. 596—598; Morkel C, Wipf #., Neumaier K. Nitrogen-hydrogen interstitial pair in niobium as a new system showing atomic Phys. Rev. Lett., 1978, v. 40, № 14, p. 947—950. tunneling Давыдов А. С. Биология и квантовая механика.— Киев: Наукова думка, 1979. Carter F. L. In VLSI technologies through the 80-s and beyond. /Ed. D. J. Greivy and K. A. Pickar.— IEEE Computer Soc, Washington D. C, 1983. Haddon R. C., Lamola A. A, The molecular electronic device and the biochip computer: present status.— Proc. Natl. Acad. Sci. USA, 1985, v. 82, p. 1874—1878. Sunami #., Kawamoto K., Shimohigashi K., Hashimoto N. Improved planar isolation with buried-channell MOSFET's.— Microelectron. Rebiab., 1984, v. 24, №3, p. 555-577. Shukuri 5., Wada Y.t Masuda #., Ishitani 7\, Tamura M. Submicron channel MOSFET using foe used boron ion beam implantation into 1984, v. 23, № 8,5 p. 543— silicon.—Jap. J. Appl. Phys., 545. Matsui S.t Mori AT., Shiokawa Т., Toyoda /C, Namba S. Bilevel structures for focused ion beam using maskless ion etching.— Jap. J. Appl. Phys., 1984, v. 23, №3, p. 172—174. Takamori A., Miyauchi E., Arimoto H. et al. GaAs growth using an — 70. 71. 72. 73. 74. 75. 76. MBE system connected with 77. 78. 79. 80. 81. 82. 83. 84. 140 a 100 kV UHV maskless ion implanter.— 23, №8, p. 599—601. Matsui S., Mori K. New selective deposition technology by electron beam induced surface reaction.— Jap. J. Appl. Phys., 1984, v. 23, №9, p. 706—708. Holber W.> Reksten G., Osgood R. M. Jr. Laser-enhanced plasma etching of silicon.—Appl. Phys. Lett., 1985, v. 46, №2, p. 201— 203. Houle F. Л., Jones С R., Байт Т., et al. Laser chemical vapor deposition of copper.— Appl. Phys. Lett., 1985, v. 46, № 2, p. 204— 206. Kubena R. L., Lee J. F.-M., Jullens R. A. et al. MOSFET fabrication using focused ion beams.— IEEE Trans., 1984, v. ED-31, № 9, p. 1186—1189. Bursky D. Semiconductor technology. Lithography equipmentElectronic Design, 1984, p. 109—122; Etching and deposition, 1984, p. 133—146. Sze S. M. VLSI technology overwiews and trends.— Jap. J. Appl. Phys., 1983, v. 22, p. 3—10. Hatzakis M. Mutilayer resist systems for lithography.— Sol. St. Techn., 1981, p. 74—80; Hovard R. E.y Ни E. L., Jacket L. D. Multilevel resist for lithographv below 100 nm,—IEEE Trans. 1981, v. ED-28, № 11, p. 1378—1405. Ephrath L. M. Etching needs for VLSI. Sol. St. Techn., 1982, p. 87— 92. Jap. J. Appl. Phys., 1984, v.
85. Tsuda Af., Yabuta M.y Oikawa S. et al. Dry development in semicoil* ductor microfabrication process. /Ed. by H. Ahmed, J. R. A. Cleaver, G. A. C. Jones.— London: Academic Press, 1983, p. 371— 381. 86. Валиев /С. Л., Великое Л. В., Душенков С. Д. и др. Эффект фототравления полимеров ультрафиолета.— Письма в ЖТФ, под действием 1982, т. 8, вып. вакуумного 1, с. 33—36; О новых методах микролитографии и записи оптической информации с использованием эффекта фототравления полимеров.— Письма в ЖТФ, 1982, 1, с. 48—51. К. А., Великое Л. В., Душенков С. Д., Прохоров А. М. Проявление скрытого изображения в полимерных пленках 8, т. 87. вып. Валиев в фототравлением № 1, с. вакуумном ультрафиолете.— ДАН, 1985, 88. Александров Ю. М., Валиев К. А., Великое Л. В. Фототравление 281, т. 71—75. полимерных пленок под действием ЖТФ, 1982, 8, Валиев К- А., Оанилов В. А., Пешехонов С. В. излучения.— Письма в т. и мягкого вып. и др. рентгеновского 10, с. 577—579; др. Эффект травления позитивных электронных и рентгеновских резистов при ионами средних облучении энергий.— Микроэлектроника, 1983, 195—197. 89. Фирсов О. В. Качественная трактовка средней энергии возбуждения электронов при атомных столкновениях.— ЖЭТФ, 1959, т. 36, 1517—1523. с. 90. Cleaver J. R. Д., Heard P. /., Ahmed H. Scanning ion beam lithography with a magnetic ion species filter. Microcircuit engineering-83. 12, №3, т. с. /Ed. by H. Ahmed, J. R. A. Cleaver, G. A. C. Jones.— London: Academic Press, 1983, p. 135—142. 91. Lepselter M. P., Lynch W. T. Resolution limitations for submicron lithography. In VLSI electronics: microstructure science, N—L Academic Press Inc., 1981, v. 1, p. 85—124; Lin B. J. Optical methods for fine line lithography. In Fine line lithography. /Ed. by R. Newmann.— North-Holland publishing company, 1980, p. 107—230. 92. Валиев К. А., Великое Л. В., Леонов Ю. С. и др. Концепция одно- импульсной литографии.•— Электронная промышленность, вып. 9, с. 1984, 75—79. Davis G. М., Gibson A. F., Gower М. С. et al. Direct photoetching of resist material using eximer lasers. Microcircuit engineering-83. /Ed. by H. Ahmed, J. R. A. Cleaver, G. A. C. Jones.— London: Academic Press, 1983, p. 191—198. 94. Валиев К. А., Махвиладзе Т. М. Тепловые режимы травления полимеров под действием многократного импульсного воздействия.— Микроэлектроника, 1985, т. 14, вып. 4, с. 301—307. 95. Гапонов С. В., Глускин Е. С, Гусев С. А. и др. Зеркала для 93. в длинноволнового рентгеновского излучения.— Письма 23, с. 1413—1415; Гапонов СВ., Гусев С. Д., Платонов IO.fi. т. 6, Искусственные многослойные мягкого с. ЖТФ, 1980, вып. отражающие и рентгеновского излучения.— и др. селективные элементы ЖТФ, 1984, т. 54, для вып. 4, 747—762. 96. Попов В. /С., Ячменев С. И. Расчет и проектирование устройств электронной и ионной литографии.— М.: Радио и связь, 1985, 128 97. с. Bohlen #., Greschner У., Keyser J. et al. Electron beam proximity printing—a new high-sj)eed lithography method for submi141
cron structures.— IBM. J. Res. Dev., 1982, v. 26, №5, p. 568— 579; Валиев К. А., Великое Л. В., Вернер В. Д., Раков А. В. Субмикронная литография с трафаретными шаблонами.— Электронная промышленность, 1983, вып. 1, с. 36—38. 98. Фрич Юрген. Рентгенолитография чипов. Аспекты. Информация из ФРГ. 99. Валиев К. А., Великое Л. В., Махмутов Р. X. и др. Воспроизведение рисунков субмикронных размеров с помощью контактной электронно-лучевой литографии.— ДАН, 1982, т. 262, с. 1377— 1380. 100. Lin Y.-C, Neuretither A. R. Alignment signals for electron beam St. Techn., 1984, p. 117—123; Lin Г.С., lithography.—Sol. coated marks Neureuther A. R. Aligment signals from resist for direct wafer writing.— IEEE Trans., 1981, v. ED-28, №11, p. 1397—1404. —
Камиль Ахметович В алиев МИКРОЭЛЕКТРОНИКА: ДОСТИЖЕНИЯ И ПУТИ РАЗВИТИЯ Серия: «Проблемы науки и технического прогресса» Редактор Т. Г. Борисова Художественный редактор Г. М. Коровина Технический редактор И. Ш. Лксельрод Корректоры О. А. Бутусова, О. М. Березина ИБ № 32265 Сдано в набор 06.01.86. Подписано к печати 1 9.05.86. Т-11018. Формат 84X1 08/32. Бумага тип. № 1. Гарнитура литературная. Печать высокая. Усл. печ. л. 7,56. Усл. кр.-отт. 7,98. Уч.-изд. л. 8,21. Тираж 6 000 экз. Заказ № 2034. Цена 95 коп. Ордена Трудового Красного Знамени издательство «Наука» Главная редакция физико-математической литературы 117071 Москва В-71, Ленинский проспект, 15 Ордена Октябрьской Революции и ордена Трудового Красного Знамени МПО «Первая Образцовая типография» имени А. А. Жданова Союзполиграфпрома при Государственном комитете СССР по делам издательств, торговли. полиграфии и книжной 113054 Москва М-54, Валовая, 28 в типографии № 2 Отпечатано издательства «Наука» 121099 Москва Г-99, Шубинский пер., 6 Тип, зак, 2613
ИЗДАТЕЛЬСТВО «НАУКА» Главная редакция физико-математической литературы 117071, Москва В-71, Ленинский проспект, 15 ГОТОВИТСЯ К ПЕЧАТИ В 1986 ГОДУ: Любарский Г. Я- Теория групп (Проблемы физика и — 13 л.— науки и технического прогресса)—85 к. Знакомит с основными идеями теории групп и ее ролью в развитии квантовой физики. Показывает, как с помощью информацию из доступной форме объяснить ряд фундаментальных результатов квантовой механики (законы сохранения, расщепления энергетических уровней, существование спина и др.) и проследить связь теории групп можно извлекать полезную свойств симметрии. Эта теория позволяет в между этими результатами и свойствами симметрии пространства. Для студентов и аспирантов биологических и других физических, специальностей познакомиться с началами линейной и вузов, химических, желающих алгебры, теории групп квантовой механики. Заказы на магазинами, литературу данную книгу принимаются всеми книжными распространяющими физико-математическую
95 коп. Анализируется современное развития микроэлектроники. продукт микроэлектроники техническую базу систем автоматизации, состояние Интегральные — и тенденции схемы (ИС)— представляют собой переработки информации, радиотехники. Рассматриваются различные направления развития кремниевых ИС: серий стандартных ИС, микропроцессорных комплектов, заказных ИС на матрицах логических элементов или стандартных ячеек. Излагаются новые идеи в развитии кремниевых ИС в виде субсистем на ИС; приборы (арсениде целой кремниевой на пластине полупроводниковых галлия и др.), и трехмерных материалах AmBv сверхпроводниковая криомикро- электроника, молекулярная электроника.