Author: Хоровиц П.   Хилл У.  

Tags: электроника   схемотехника  

ISBN: 978-5-9518-0351-1

Year: 1998

Text
                    П. Хоровиц, У. Хилл
ИСКУССТВО
СХЕМОТЕХНИКИ
Издание 5-е, переработанное
Перевод с английского
Б. Н. Бронина, А. И. Коротова,
М. Н. Микшиса, Л. В. Поспелова.
О. А. Соболевой, Ю. В. Чечёткина
Москва «Мир» 1998

ОГЛАВЛЕНИЕ Предисловие. Перевод О. А. Соболевой ...5 Предисловие к первому изданию. Перевод О. А. Соболевой .............6 ГЛАВА 1. ОСНОВЫ ЭЛЕКТРОНИКИ. Перевод О. А. Соболевой............8 Введение............................ 8 Напряжение, ток и сопротивление.......8 Сигналы..............................22 Конденсаторы и цепи переменного тока..27 Индуктивности и трансформаторы.......35 Полное и реактивное сопротивление.....36 Диоды и диодные схемы................50 Другие пассивные компоненты..........61 Дополнительные упражнения............66 ГЛАВА 2 ТРАНЗИСТОРЫ. Перевод О.А. Соболевой............68 Введение............................68 Некоторые основные транзисторные схемы.............................70 Модель Эберса — Молла для основных транзисторных схем................87 Некоторые типы усилительных каскадов.99 Некоторые типичные транзисторные схемы............................114 Схемы, не требующие пояснений......116 Дополнительные упражнения..........120 ГЛАВА 3. ПОЛЕВЫЕ ТРАНЗИСТОРЫ. Перевод Б.Н. Бронина............121 Введение .. .........................121 Основные схемы на ПТ...............132 Ключи на ПТ........................149 Схемы, не требующие пояснений......180 ГЛАВА 4 ОБРАТНАЯ СВЯЗЬ И ОПЕРАЦИОННЫЕ УСИЛИТЕЛИ Перевод О.А. Соболевой..............181 Введение...............................181 Основные схемы включения операционных усилителей........................184 Калейдоскоп схем на операционных усилителях .......................190 Подробный анализ работы операционных усилителей........................196 Подробный анализ работы некоторых схем на операционных усилителях......... 227 Диэлектрическое поглощение . .......235 Работа ОУ с одним источником питания.240 Компараторы и триггер Шмитта........245 Обратная связь и усилители с конечным усилением ......................248 Некоторые типичные схемы с операционными усилителями.....................255 Частотная коррекция усилителей с обратной связью..........................260 Схемы, не требующие пояснений.......268 Дополнительные упражнения ..........268 ГЛАВА 5 АКТИВНЫЕ ФИЛЬТРЫ И ГЕНЕРАТОРЫ. Перевод М.Н. Микшиса.............278 Активные фильтры....................278 Схемы активных фильтров.............288 Генераторы..........................300 Схемы, не требующие пояснений.......321 Дополнительные упражнения...........323 ГЛАВА 6 СТАБИЛИЗАТОРЫ НАПРЯЖЕНИЯ И ИСТОЧНИКИ ПИТАНИЯ Перевод А. И. Коротова............324 Базовые схемы стабилизаторов на основе классической ИМС 723 ...........324 Проектирование теплоотвода мощных схем............................329 Нестабилизированные источники питания.........................343 Источники опорного напряжения.......349 Трехвыводные и четырехвыводные стабилизаторы....................360 Источники питания специального назначения.................... 389 Схемы, не требующие пояснений.......405 Дополнительные упражнения...........411 ГЛАВА 7 ПРЕЦИЗИОННЫЕ СХЕМЫ И МАЛОШУМЯЩАЯ АППАРАТУРА. Перевод Б.Н. Бронина.............412 Разработка прецизионной аппаратуры на операционных усилителях.........412 Дифференциальные и измерительные усилители.......................445 Шумы усилителей.....................454 Измерение шума и источники шума.....473 Помехи: экранирование и заземление. 479
704 Оглавление Схемы, не требующие пояснений........489 Дополнительные упражнения..... . .. .493 ПАВА 8. ЦИФРОВЫЕ СХЕМЫ Перевод Ю.В Чечёткина.....................495 Основные логические понятия......... 495 Логические уровни................... 497 ТТЛ и КМОП...........................507 Комбинационная логика................515 Последовательная логика..............529 Моностабильные мультивибраторы.......540 Последовательностные функции, реализуе мые на стандартных ИМС............547 Некоторые типовые цифровые схемы.....567 Патология в логических схемах........574 Схемы, не требующие пояснений......580 Дополнительные упражнения . .......583 ГЛАВА 9 СОПРЯЖЕНИЕ ЦИФРОВЫХ И АНАЛОГОВЫХ СИГНАЛОВ Перевод Л. В Поспелова .........590 Сопряжение логических КМОП и ТТЛ-элементов.......................590 Цифровые сю налы и длинные цепи. .626 Аналоге цифровое преобразование....640 Примеры А/Ц преобразования.........664 Схемы фазовой автоподстройки частоты ... 671 Псевдослучайные двоичные последователь- ности и 1 енераторы шума....... . . 684 Схемы, не требующие пояснений......697 Дополнительные упражнения..........700 Научное издание Пауль Хоровиц, Уинфилд Хилл ИСКУССТВО СХЕМОТЕХНИКИ Издание 5-е. переработанное Заведующая редакцией Т.Г. Хохлова Ведущий редактор А. Г. Шемятенков Художественный редактор Н.В Дубова Технический редактор Л.П. Бирюкова Лицензия ЛР № 010174 от 20.05.97 г. Подписано к печати 29.09.98. Формат 70 х 100'/i6. Бумага офсетная. Печать офсетная Гарнитура тайме. Объем 22 бум. л. Усл. печ. л. 57,20. Уч.-изд. л 63.03. Изд. № 6/8013. Тираж 5000 экз. Зак. 626. С018. Издательство «Мир» Государственного комитета Российской Федерации по печати. 129820, Москва, И-110, ГСП, 1-й Рижский пер, 2 Отпечатано в полном соответствии с качеством предоставленных диапозитивов в ОАО «Можайский полиграфический комбинат». 143200, г. Можайск, ул. Мира, 93.
ГЛАВА 1 ОСНОВЫ ЭЛЕКТРОНИКИ ВВЕДЕНИЕ Электроника имеет короткую, но богатую событиями историю Первый ее период связан с простейшими передатчиками ключевого действия и способными вос- принимать их сигналы приемниками, ко- торые появились в начале нашего века. Затем наступила эпоха вакуумных ламп, которая ознаменовала собой возмож- ность претворения в жизнь смелых идей. Сейчас мы являемся свидетелями нового этапа развития электроники, связанного с появлением элементов на твердом теле и характеризующегося неиссякаемым по- током новых ошеломляющих достиже- ний. Технология изготовления больших интегральных схем (БИС) дает возмож- ность производить такие кристаллы крем- ния, на основе которых создают каль- куляторы, вычислительные машины и даже «говорящие машины» со словарным запасом в несколько сотен слов. Развитие технологии сверхбольших интегральных схем открывает возможность создания еще более замечательных устройств. Наверное, стоит сказать и о том, что в истории развития электроники наблю- дается тенденция уменьшения стоимости устройств при увеличении объема их производства. Стоимость электронной микросхемы, например, постоянно умень- шается по отношению к единице ее перво- начальной стоимости по мере совершен- ствования процесса производства (см. рис. 8.87). На самом деле зачастую панель управления и корпус прибора стоят доро- же, чем его электронная часть. Если вас заинтересовали успехи элект- роники и если у вас есть желание само- стоятельно конструировать всевозмож- ные хитроумные вещи, которые были бы надежны, недороги, просты и красивы, то эта книга-для вас. В ней мы попытались раскрыть предмет электроники, показать, как он интересен и в чем состоят его секреты. Первую главу мы посвящаем изучению законов, практических правил и хитро- стей. составляющих в нашем понимании основу искусства электроники. Начинать всегда следует с самого начала, поэтому мы выясним, что такое напряжение, ток, мощность и из каких компонентов со- стоит электронная схема. На первых порах, пока вы не научитесь видеть, слы- шать, осязать и ощущать электричество, вам придется столкнуться с определен- ными абстрактными понятиями (их осо- бенно много в гл. 1), а также увязать свои представления о них с показаниями таких визуальных приборов, как осциллографы и вольтметры. Первая глава содержит в себе много математики, больше, чем другие главы, несмотря на то, что мы старались свести математические выклад- ки к минимуму и хотели бы способство- вать развитию интуитивного понимания построения и работы электронных схем. Раз уж мы занялись основами электро- ники, нам следует прежде всего начать с так называемых активных схем (усили- телей, генераторов, логических схем и т.п.), благодаря которым электроника и вызывает к себе такой интерес. Читатель, у которого уже есть некоторые знания по электронике, может эту главу пропустить. Она предназначена для тех, кто прежде электроникой никогда не занимался. Итак, приступим к делу. НАПРЯЖЕНИЕ, ТОК И СОПРОТИВЛЕНИЕ 1.01. Напряжение и ток Напряжение и ток-это количественные понятия, о которых следует помнить всег- да, когда дело касается электронной схе- мы. Обычно они изменяются во времени,
Основы электроники 9 в противном случае работа схемы не представляет интереса. Напряжение (условное обозначение U, иногда £). Напряжение между двумя точкми-это энергия (или работа), кото- рая затрачивается на перемещение еди- ничного положительного заряда из точки с низким потенциалом в точку с высоким потенциалом (т. е. первая точка имеет более отрицательный потенциал по срав- нению со второй). Иначе говоря, это энер- гия, которая высвобождается, когда еди- ничный заряд «сползает» от высокого потенциала к низкому. Напряжение назы- вают также разностью потенциалов или электродвижущей силой (э.д.с.). Едини- цей измерения напряжения служит вольт. Обычно напряжение измеряют в вольтах (В), киловольтах (1 кВ = 103 В), милли- вольтах (1 мВ = 10 3 В) или микроволь- тах (1 мкВ = 10“ 6 В) (см. разд. «Пристав- ки для образования кратных и дольных единиц измерения», напечатанный мел- ким шрифтом) Для того чтобы перемес- тить заряд величиной 1 кулон между точ- ками, имеющими разность потенциалов величиной 1 вольт, необходимо совер- шить работу в 1 джоуль (Кулон служит единицей измерения электрического заря- да и равен заряду приблизительно 6-1018 электронов.) Напряжение, измеряемое в нановольтах (1 нВ = 10"9 В) или в мега- вольтах (1 мВ = 106 В), встречается ред- ко; вы убедитесь в этом, прочитав всю книгу. Ток (условное обозначение Г). Ток-это скорость перемещения электрического за- ряда в точке. Единицей измерения тока служит ампер Обычно ток измеряют в амперах (А). миллиамперах (1 мА - = 10“3 А). микроамперах (1 мкА = = 10“6 А), наноамперах (1 нА = 10“9 А) и иногда в пикоамперах fl пкА = 10“12 А). Ток величиной 1 ампер создается пере- мещением заряда величиной 1 кулон за время, равное 1 с. Условились считать, что ток в пени протекает от точки с более положительным потенциалом к точке с более отрицательным потенциалом, хотя электрон перемещается в противополож- ном направлении Запомните напряжение всегда измеря- ется между двумя точками схемы, ток всегда протекает через точку в схеме или через какой-нибудь элемент схемы Говорить «напряжение в резисторе» нельзя-это неграмотно. Однако часто го- ворят о напряжении в какой-либо точке схемы. При этом всегда подразумевают напряжение между этой точкой и «зем- лей», т. е. такой точкой схемы, потенциал которой всем известен. Скоро вы привык- ните к такому способу измерения напря- жения. Напряжение создается путем воздейст- вия на электрические заряды в таких устройствах, как батареи (электрохими- ческие реакции), генераторы (взаимодей- ствие магнитных сил), солнечные батареи (фотогальванический эффект энергии фо- тонов) и т.п. Ток мы получаем, при- кладывая напряжение между точками схемы. Здесь, пожалуй, может возникнуть во- прос, а что же такое напряжение и ток на самом деле, как они выглядят? Для того чтобы ответить на этот вопрос лучше всего воспользоваться таким электрон- ным прибором, как осциллограф. С его помощью можно наблюдать напряжение (а иногда и ток) как функцию, изменяю- щуюся во времени. Мы будем прибегать к показаниям осциллографов, а также вольтметров для характеристики сигна- лов Для начала советуем посмотреть приложение А, в котором идет речь об осциллографе, и разд. «Универсальные измерительные приборы», напечатанный мелким шрифтом. В реальных схемах мы соединяем эле- менты между собой с помощью проводов металлических проводников, каждый из которых в каждой своей точке обладает одним и тем же напряжением (по отноше- нию скажем, к земле) В области высоких частот или низких полных сопротивлений это утверждение не совсем справедливо, и в свое время мы обсудим этот вопрос Сейчас же примем это допущение на веру Мы упомянули об этом для того, чтобы вы поняли, что реальная схема не обяза- тельно должна выглядеть как ее схема- тическое изображение, так как провода можно соединять по-разному
10 Глава 1 Запомните несклько простых правил, касающихся тока и напряжения. 1. Сумма токов, втекающих в точку, рав- на сумме токов, вытекающих из нее (со- хранение заряда). Иногда это правило называют законом Кирхгофа для токов. Инженеры тюбят называть такую точку схемы узлом Из этого правила вытекает следствие: в последовательной цепи (пред- ставляющей собой группу элементов, имеющих по два конца и соединенных этими концами один с другим) ток во всех точках одинаков 2. При параллельном соединении элемен- тов (рис. 1.1) напряжение на каждом из элементов одинаково Иначе говоря, сум- ма падений напряжения между точками А и В, измеренная по любой ветви схемы, соединяющей эти точки, одинакова и равна напряжению между точками А и В. Иногда это правило формулируется так: сумма падении напряжения в любом замкнутом контуре схемы равна нулю. Это закон Кирхгофа для напряжений. 3. Мощность (работа, совершенная за единицу времени), потребляемая схемой, определяется следующим образом: Р= UI. Вспомним, как мы определили напря- жение и ток, и получим, что мощность равна (работа/заряд) • (заряд/время). Если напряжение U измерено в вольтах, а ток 1в амперах, то мощность Р будет выражена в ваттах. Мощность величиной 1 ватт-это работа в 1 джоуль, совершен- ная за 1 с (1 Вт = 1 Дж/с). Мощность рассеивается в виде тепла (как правило) или иногда затрачивается на механическую работу (моторы), пере- ходит в энергию излучения (лампы, пере- датчики) или накапливается (батареи, конденсаторы). При разработке сложной системы одним из основных является вопрос определения ее тепловой нагрузки (возьмем, например, вычислительную ма- шину, в которой побочным продуктом нескольких страниц результатов решения задачи становятся многие киловатты электрической энергии, рассеиваемой в пространство в виде тепла). В дальнейшем при изучении периоди- чески изменяющихся токов и напряжений нам придется обобщить простое выраже- ние Р = UI для того, чтобы определять среднее значение мощности В таком виде оно справедливо лтя определения мгно- венного значения мощности. Кстати, запомните, что не нужно назы- вать ток силой тока-это неграмотно. Нельзя также называть резистор сопро- тивлением. О резисторах речь пойдет в следующем разделе 1.02. Взаимосвязь напряжения и тока: резисторы Тема эта очень обширна и интересна В ней заключена суть электроники. Если попытаться изложить ее в двух словах, то она посвящена тому, как можно сделать элемент, имеюшии ту или иную характе- ристику. выраженную определенной зави- симостью между током и напряжением, и как его использовать в схеме. Примера- ми таких элементов служат резисторы (ток прямо пропорционален напряжению), конденсаторы (ток пропорционален ско- рости изменения напряжения), диоды (ток протекает только в одном направлении), термисторы (сопротивление зависит от температуры), тензорезисторы (сопротив- ление зависит от деформации) и т. д. По- степенно мы познакомимся с некоторыми экзотическими представителями этой плеяды; а сейчас рассмотрим самый не- хитрый и наиболее распространенный элемент - резистор (рис. 1.2). —L—Н- ПРИСТАВКИ ДЛЯ ОБРАЗОВАНИЯ КРАТНЫХ И ДОЛЬНЫХ ЕДИНИЦ ИЗМЕРЕНИЯ Следующие приставки приняты для образования крат- ных и дольных единиц измерения в научной и инженер- ной практике:
Основы электроники 11 Множитель Приставка Обозначение 1012 тера Т 109 гига Г 106 мега м 109 кило к 10"3 милли м 10"в микро мк 10"9 нано н 1012 ПИКО ПК 10'15 фемто ф При сокращенном обозначении дольных единиц измерения соответствующая приставка и условное обозначение единицы пишутся слитно. Обратите внимание на использование прописных и строчных букв, особенно м и М в приставках и обозначениях единиц 1 мВт-это 1 милливатт, или тысячная доля ватта; 1 МГц-это 1 миллион герц. Полные наимено- вания единиц измерения всегда пишутся со строчной буквы, даже если они образованы от имен собствен- ных. Полное наименование единицы измерения с при- ставкой также всегда пишется со строчной буквы. Прописные буквы используются для условных сокра- щений единиц измерения Например: герц и килогерц, но Гц и кГц; ватт, милливатт и мегаватт, но Вт, мВт и МВт. Сопротивление и резисторы. Интересно, что ток, протекающий через металличе- ский проводник (или другой материал, обладающий некоторой проводимостью), пропорционален напряжению, приложен- ному к проводнику. (Что касается про- вода, который используется в качестве проводников в схемах то его обычно берут достаточно большого сечения, что- бы можно было пренебречь падениями напряжения о которых мы говорили выше.) Это ни в коем случае не обяза- тельно для всех случаев жизни. Например, ток, протекающий через неоновую ламп}, представляет собой нелинейную функцию от приложенного напряжения (он сохра- няет нучевое значение до критического значения напряжения, а в критической точке резко возрастает). То же самое можно сказать и о целой группе других элементов-диодах, транзисторах, лампах и др. Если вас интересует, почему метал- лические проводники ведут себя именно так, советуем прочитать курс физики Ber- keley Physics Course, том II. разд. 4 3-4.“ (см. библиографию). Резисторы изгота- вливают из проводящего материала (гра- фита, тонкой металлической или графи- товой пленки или провода, обладающего невысокой проводимостью). К каждому концу резистора прикреплен провод. Ре- зистор характеризуется величиной сопро- тивления R = U/I; сопротивление R измеряется в омах, если напряжение U выражено в вольтах, а ток / в амперах. Это соотношение носит на- звание «закон Ома». Резисторы наиболее распространенного типа - углеродистые композиционные - имеют сопротивление от 1 ома (1 Ом) до 22 мегаом (22 МОм). Резисторы характеризуются также мощ- ностью, которую они рассеивают в про- странство (наиболее распространены ре- зисторы с мощностью рассеяния 1/4 Вт) и такими параметрами, как допуск (точ- ность), температурный коэффициент, уро- вень шума, коэффициент напряжения (показывающий в какой степени сопро- тивление зависит от приложенного напря- жения), стабильность во времени, индук- тивность и пр Более подробную инфор- мацию о резисторах содержит разд. «Ре- зисторы», напечатанный мелким шриф- том, а также приложения Б и Г в конце второго тома. Грубо говоря, резисторы используются для преобразования напряжения в ток и наоборот Этот вывод может показать- ся банальным, но скоро вы поймете, что имеется в виду. Последовательное и параллельное сое- динение резисторов. Из определения со- противления следует несколько выводов 1. Сопротивление двух последовательно соединенных резисторов (рис. 1.3) равно: R ~ Rx -1 R2 • При последовательном соединении ре- зисторов всегда получаем большее сопро- тивление чем сопротивление отдельного резистора. 2. Сопротивление двух параллельно сое- диненных резисторов (рис. 1 4) равно R = = RyR2 (Ri R2) или R = 1/(1//? + 1/К2). /?, Рис. 1.3. Рис. 1.4.
12 Глава 1 При параллельном соединении резисто- ров всегда получаем меньшее сопротивле- ние. чем соединение отдельных резисто- ров. Сопротивление измеряется в омах (Ом). На практике, когда речь идет о ре- зисторах с сопротивлением более 1000 Ом (1 кОм), иногда оставляют только при- ставку, опуская в обозначении «Ом», т. е. резистор с сопротивлением 10 кОм иног- да обозначают как 10 К. а резистор с сопротивлением 1 МОм-как 1 М. На схемах иногда опускают и обозначение «Ом», оставляя только число. Может быть, все это кажется вам не очень инте- ресным? Немного терпения, и мы перей- дем к интересным практическим приме- рам. РЕЗИСТОРЫ Резисторы поистине вездесущи. Типы резисторов почти столь же многочисленны, как и схемы, в кото- рых они применяются. Резисторы используются в уси- лителях, в качестве нагрузки для активных устройств, в схемах смещения и в качестве элементов обратной связи. Вместе с конденсаторами они используются для задания постоянной времени и работают как фильтры. Они служат для установки величин рабочих токов и уровней сигналов. В схемах питания резисто- ры используются для уменьшения напряжения за счет рассеяния мощности, для измерения токов и для разряда конденсаторов после снятия питания. В пре- цизионных схемах они помогают устанавливать нуж- ные токи, обеспечивать точные коэффициенты про- порциональности для напряжения, устанавливать точ- ные коэффициенты усиления. В логических схемах резисторы выступают в качестве конечных элементов линий и шин, «повышающих» и «понижающих» эле- ментов. В высоковольтных схемах резисторы служат для измерения напряжений, для выравнивания токов утечки через диоды или конденсаторы, соединенные последовательно. На радиочастотах они используют- ся даже в качестве индуктивностей. Промышленность выпускает резисторы с сопро- тивлением от 0,01 Ом до 1012 Ом и мощностью от 1/8 до 250 Вт с допуском от 0,005 до 20%. Резисторы изготавливают из графитовых смесей, металлических пленок. проводов, накрученных на каркас, или на основе полупроводниковых элементов, подобных по- тевым транзисторам. Наиболее распространены угле- родистые композиционные резисторы, имеющие мощность 1 4 или 1 2 Вт. Существует стандартный диапазон значений сопротивлений - от 1 Ом до 100 МОм. причем для резисторов с допуском на сопротивление, равным 5%. выпускается в два раза больше значений сопротивлений, чем для резисторов с допуском 10% (см. приложение В). Мы рекомендуем использовать резисторы фирмы Allen Bradley типа АВ (1/4 Вт, 5%), так как они имеют понятную маркиров- ку, стабильные характеристики и надежное соедине- ние с проводниками выводов. Резисторы настолько просты в обращении, что очень часто их принимают как нечто само собой разумеющееся. Между тем они не идеальны, и стоит обратить внимание на некоторые их недостатки. Возьмем, например, получившие широкое распро- странение резисторы композиционного типа с допус- ком 5%. Они хороши почти для любых схем с не- критичными параметрами, но невысокая стабиль- ность этих резисторов не позволяет использовать их в прецизионных схемах. Следует помнить об ограни- чениях, свойственных этим элементам, чтобы в один прекрасный день не оказаться раза чарованным. Основной недостаток состоит в изменении сопротив- ления во времени под действием температуры, напря- жения. влажности. Другие недостатки связаны с индуктивными свойствами (они существенно сказы- ваются на высоких частотах), с наличием термальных точек в мощных схемах или шумов в усилителях с низким уровнем шума. Ниже приводятся параметры резисторов в самых жестких условиях эксплуатации; обычно условия бывают лучше, но правильнее рас- считывать на худшее. ХАРАКТЕРИСТИКИ РЕЗИСТОРОВ ФИРМЫ ALLEN BRADLEY, (СЕРИЯ АВ. ТИП СВ) Стандартный допуск в номинальных условиях со- ставляет 5%. Максимальная мощность при темпера- туре окружающей среды 70 °C составляет 0,25 Вт, при этом внутренняя температура повышается до 150 °C. Максимальное приложенное напряжение составляет (0,25 Л)1/2 или 250 В (меньшее из двух значений). И это на самом деле так! (см. рис. 6.53). Однократное превышение напряжения до 400 В в течение 5 с вызы- вает необратимое изменение сопротивления на 2%. Изменение сопротивления, % Необрати- мое? (Я = 1 кОм) (R = 10 МОм) Пайка (350 °C на расстоянии 3 мм) + 2 + 2 Да Циклическая нагрузка (50 циклов ВКЛ/ВЫКЛ за 1000 ч) + 4-6 +4-6 » Вибрация (20 д) и удар (100 д) + 2 ±2 » Влажность (95%-ная отн. влажность при 40 СС) + 6 + 10 Нет Коэффициент напряжения (изменение, равное 10 В) -0,15 -0,3 » Температура (от 25 до — 15JC) + 2,5 + 4,5 » Температура (от 25 до 85 °C) + 3,3 + 5,9 »
Основы электроники 13 В схемах, где требуется высокая точность или стабильность, следует использовать резисторы из металлической пленки с допуском 1% (см. приложе- ние Г). Они обеспечивают стабильность не хуже 0,1% в нормальных условиях и не хуже 1 % в самых жестких условиях. Прецизионные проволочные резисторы способны удовлетворить наиболее высоким требова- ниям. Если ожидается, что мощность, рассеиваемая в схеме, будет составлять более 0,1 Вт, то следует выбрать резистор с большим значением рассеиваемой мощности. Композиционные углеродистые резисторы характеризуются мощностью до 2 Вт, а мощные про- волочные резисторы-более высокими значениями. Для мощных схем наилучшие характеристики обеспе- чивает резистор с отводом тепла. Резисторы этого типа выпускаются с допуском 1% и могут надежно работать при собственной температуре до 250 °C в течение длительного периода времени. Допустимая рассеиваемая мощность зависит от воздушного по- тока, температурных условий на выводах и плотности схемы; следовательно, мощность на резисторе следует ржуматривять как грубую ориентировочную вели- чину. Отметим также, что мощность резистора связа- на со средним значением мощности, рассеиваемой в схеме, и может существенно превышаться в корот- кие интервалы времени (в зависимости от «тепловой массы» эти интервалы могут длиться несколько секунд или более). Уирin 1.1. Возьмем два резистора сопротив- лением 5 и 10 кОм. Чему равно сопротивление при (а) последовательном и (б) параллельном их соединении? Упражнение 1.2. Какую мощность будет рассеивать в пространство резистор с сопротивлением 1 Ом, подключенный к батарее автомобиля с напряжением 1 В? Упражнение 1.3. Докажите справедливость формул для сопротивления последовательного и параллель- ного соединения резисторов. Упражнение 1.4. Покажите, что сопротивление не- скольких параллельно соединенных резисторов опре- деляется следующим образом: l//?j + 1//?2 + 1/^3 "Г • • • Секрет резисторов, соединенных парал- лельно: начинающие часто приступают к сложным алгебраическим выкладкам или углубляются в законы электроники а здесь как раз лучше всего воспользо- ваться интуитивным правилом. Присту- пим теперь к освоению интуитивных пра- вил и развитию интуиции. Правило 1. Сопротивление двух рези- сторов, олин из которых обладает боль- шим сопротивлением, а другой малым, соединенных между собой последователь- но (параллельно), приблизительно равно большему (меньшему) из двух сопротив- лений. Правило 2. Допустим, вы хотите узнать, чему равно сопротивление двух парал- лельно соединенных резисторов, обла- дающих сопротивлением 5 и 10 кОм. Если вообразить, что резистор сопротивлением 5 кОм представляет собой параллельное соединение двух резисторов сопротивле- нием 10 кОм, то схема будет представ- лена параллельным соединением трех резисторов с сопротивлением 10 кОм. Так как сопротивление одинаковых парал- лельно соединенных резисторов равно 1/л-й части сопротивления одного из них, то ответ в нашей задаче будет 10 кОм/3, или 3,33 кОм. Это правило полезно усвоить, так как с его помощью можно быстро проанализировать схему «в уме». Мы хотим, чтобы вы научились решать стоящие перед вами задачи, имея под рукой минимум - оборотную сторону почтового конверта и ручку. Тогда бле- стящие идеи, возникшие у вас в любой момент, не будут встречать препятствий на пути своего развития. И еще несколько принципов нашей доморощенной философии: среди начина- ющих наблюдается тенденция вычислять значения сопротивлений резисторов и характеристики других компонентов схем с большой точностью, доступность же карманных калькуляторов в наше время помогает развитию этой тенденции. Под- даваться ей не следует по двум причинам: во-первых, компоненты сами по себе имеют определенную конечную точность (наиболее распространенные резисторы- + 5%; характеристики транзисторов, на- пример часто задаются одним-двумя ко- эффициентами); во-вторых, одним из при- знаков хорошей схемы является ее не- чувствительность к точности величин компонентов (бывают, конечно, и исклю- чения). И еше: вы скорее придете к интуи- тивному пониманию схем, если разовьете в себе способность быстро прикидывать «в уме», а не будете увлекаться вычисле- ниями с ненужной точностью на красивых калькуляторах. Некоторые считают, что для того что- бы скорее научиться оценивать величину сопротивления, полезно вводить понятие проводимость, G=\/R. Ток, протекаю-
14 Глава 1 щий через элемент с проводимостью С, к которому приложено напряжение Ъ\ определяется как I — GL (это закон Ома). Чем меньше сопротивление проводника, тем больше его проводимость и тем боль- ше ток. протекающий под воздействием напряжения приложенного между конца- ми проводника. С этой точки зрения формула для опре- деления сопротивления параллельно сое- диненных проводников вполне очевидна если несколько резисторов или проводя- щих участков подключены к одному и тому же напряжению, то полный ток ра- вен сумме токов, протекающих в отдель- ных ветвях. В связи с этим проводимость соединения равна сумме отдельных про- водимостей составных элементов: G = — Gy -г G2 т- G3 -г ... , а это выражение эквивалентно выражению для параллель- но соединенных резисторов, приведенно- му выше. Инженеры неравнодушны к обратным величинам, и в качестве единицы измере- ния проводимости они установили 1 си- менс (1 См =1/1 Ом), который иногда называют «мо» («ом» наоборот). Хотя понятие проводимости и помогает раз- вить интуицию в отношении сопротивле- ния резисторов, широкого применения оно не находит, и большинство предпо- читает иметь дело с величинами сопро- тивления, а не проводимости. Мощность и резисторы. Мощность, рас- сеиваемая резистором или любым другим элементом, определяются как Р = UI. Пользуясь законом Ома, эту формулу можно записать в эквивалентном виде: Р = I2R и Р = U2IR. Упражнение 1.5. Возьмем схему, работающую от батареи с напряжением 15 В. Докажите, что незави- симо от того, как будет включен в схему резистор, обладающий сопротивлением более 1 кОм, мощность на нем не превысит 1/4 Вт. Упражнение 1.6. Дополнительное упражнение: для Нью-Йорка требуется 1О10 Вт электрической энергии при напряжении 110 В (цифры вполне правдоподоб- ны: 10 млн. жителей, каждый потребляет в среднем 1 кВт электроэнергии). Высоковольтный кабель мо- жет иметь диаметр 25,4 мм. Давайте подсчитаем, что произойдет, если в качестве кабеля взять провод из чистой меди диаметром 0,305 м. Сопротивление тако- го провода составляет 0,05 мкОм (5 10-8 Ом) в расчете на 0,305 м. Определите: а) потери мощности в расчете на 0,305 м, исходя из того, что потери оцениваются величиной I2R: б) длину кабеля, на которой будут потеряны все 1О10 Вт; в) если вы знаете физику, определите, до какой температуры нагреется кабель (о = 6 10-12 Вт,(К4см2)). Если расчет выполнен правильно, то результат, вероятно, удивил вас. Как же разрешить проблему? Вход и выход. Практически во всех электронных схемах что-либо подается на вход (обычно это напряжение) и соответ- ственно снимается с выхода (это также чаше всего напряжение). Например с вы- хода усилителя звуковой частоты снима- ется напряжение (оно имеет переменное значение), которое в 100 раз превышает входное напряжение (изменяющееся ана- логично). В этом усилителе выходное на- пряжение рассматривается для данного значения напряжения, действующего на входе. Инженеры пользуются понятием передаточной функции Н, которая пред- ставляет собой отношение напряжения, измеренного на выходе, к напряжению, действующему на входе; для вышеупомя- нутого усилителя звуковой частоты Н- это постоянная величина (Н = 100). К изучению усилителей мы приступим в сле- дующей главе Однако, уже сейчас, имея представление только о резисторах, мы рассмотрим делитель напряжения (по сути он является «де-усилителем»), кото- рый играет немаловажную роль в элект- ронных схемах. 1.03. Делители напряжения Мы приступаем к рассмотрению делите- ля напряжения, который используется в электронных схемах весьма широко. В любой настоящей схеме можно найти не меньше полдюжины делителей напряже- ния. Простейший делитель напряжения- это схема, которая для данного напряже- ния на входе создает на выходе напряже- ние. которое является некоторой частью входного. Простейший делитель пред- ставлен на рис. 1.5. Что такое 1/вых? Пред- положим здесь и далее, что нагрузки на выходе нет, тогда ток определяется сле- дующим образом: I = U^R, + R2).
Основы электроники 15 Рис. 1.5 Делитель напряжения. Приложенное напря- жение создает на выходе напряжение С'ВЬ|Л (мень- шее приложенного). (Мы воспользовались формулой для определения сопротивления резистора и правилом для последовательного соеди- нения резисторов). Тогда для R2 ит = = U„RJ(R, + R2). Обратите внимание, что выходное на- пряжение всегда меньше входного (или равно ему); поэтому мы говорим о дели- теле напряжения Если одно из сопротив- лений будет отрицательным, то можно получить усиление (т. е. выходное напря- жение будет больше входного). Эта идея не так невероятна, как кажется на первый взгляд’ вполне можно сделать устройство Диапазон выходного сигнала Сигнал на входе Сигнал на выходе л2 (регулир. напряжение) с отрицательными «приращениями» со- противления (в качестве примера может служить туннельный диод) или просто с настоящим отрицательным сопротивле- нием (например, преобразователь с отри- цательным импедансом, о котором мы поговорим позже). Однако эти примеры достаточно специфичны и не должны занимать сейчас ваше внимание. Делители напряжения часто использу- ют в схемах для того, чтобы получить заданное напряжение из большего по- стоянного (или переменного) напряжения Например, если в качестве R2 взять резистор с регулируемым сопротивлением (рис. 1.6,а), то мы получим не что иное, как схему с управляемым выходом; более простым путем комбинацию RrR2 можно получить, если у вас есть один резистор с переменным сопротивлением, или по- тенциометр (рис. 1.6,6). Простой дели- тель напряжения играет важную роль и в тот момент, когда вы задумываете схему входное напряжение и сопротивление верхней части резистора могут представ- лять собой, скажем, выход усилителя, а сопротивление нижней части резистора- вход последующего каскада В этом слу- чае, воспользовавшись уравнением для делителя напряжения, можно определить, что поступит на вход последнего каскада Все сказанное станет более понятным, когда чуть позже мы познакомим вас с одним интересным фактом (имеется в виду теорема об эквивалентном преобра- зовании схем). А сейчас немного отвле- чемся от нашей темы и поговорим об источниках тока и напряжения Сигнал на входе Диапазон выходного сигнала Сигнал на выходе Рис- 1.6. Регулируемый делитель напряжения может состоять из двух резисторов-с фиксированным со- противлением и с переменным сопротивлением, или из потенциометра. 1.04 Источники тока и напряжения Идеальный источник напряжения - это «черный ящик», имеющий два вывода, между которыми он поддерживает по- стоянное падение напряжения независимо от величины сопротивления нагрузки Это означает, например, что он должен по- рождать ток, равный I = U R. если к вы- водам подключить резистор с сопротив- лением R Реальный источник напряжения не может дать ток больший некоторого предельного максимального значения, и
16 I лава 1 Рис 1.7. Источники напряжения постоянного и пере- менного тока. в общем случае он ведет себя как идеаль- ный источник напряжения, к которому последовательно подключен резистор с небольшим сопротивлением. Очевидно, чем меньше сопротивление этого после- довательно подключенного резистора тем лучше. Например, стандартная ще- лочная батарея на 9 В в последователь- ном соединении с резистором, имеющим сопротивление 3 Ом. ведет себя как идеальный источник напряжения 9 В и дает максимальный ток (при замыкании накоротко) величиной 3 А (который, к сожалению, погубит батарею за несколь- ко минут). По понятным причинам источ- ник напряжения «предпочитает» нагрузку в виде разомкнутой цепи, а нагрузку в виде замкнутой цепи «недолюбливает». (Понятия «разомкнутая цепь» и «замкну- тая цепь» очевидны: к разомкнутой цепи ничего не подключено, а в замкнутой цепи кусок провода замыкает выход) Услов- ные обозначения источников напряжения приведены на рис. 1.7. Идеальный источник тока - это «черный ящик», имеющий два вывода и поддержи- вающий постоянный ток во внешней цепи независимо от величины сопротивления нагрузки и приложенного напряжения. Для того чтобы выполнять свои функции, он должен уметь поддерживать нужное напряжение между своими выводами. Реальные источники тока (самая нелюби- мая тема для большинства учебников) имеют ограниченный диапазон, в кото- Рис. 1.8. Условные обозначения источников тока. ром может изменяться создаваемое ими напряжение (он называется рабочим диа- пазоном выходного напряжения или про- сто диапазоном), и. кроме того, выходной ток источника нельзя считать абсолютно постоянным Источник тока «предпочита- ет» нагрузку в виде замкнутой цепи, а нагрузку в виде разомкнутой цепи «недо- любливает». Условные обозначения источника тока приведены на рис. 1.8. Хорошим примером источника напря- жения может служить батарея (для источ- ника тока подобной аналогии найти нельзя). Например, стандартная батарей- ка от карманного фонаря обеспечивает напряжение 1,5 В. ее эквивалентное по- следовательное сопротивление составляет 1/4 Ом, а общий запас энергии равен приблизительно 10000 Вт с (постепенно эти характеристики ухудшаются; к концу срока службы батарейки напряжение может составлять около 1 В. а внутреннее сопротивление-несколько ом). О том, как создать источник напряжения с лучшими характеристиками, вы узнаете, когда мы изучим обратную связь. В электронных устройствах, за исключением портатив- ных, батарейки используются редко. В гл. 14 мы рассмотрим интересную тему кон- струирования маломощных схем (на бата- рейках). 1.05. Теорема об эквивалентном преобразовании источников (генераторов) Теорема об эквивалентном преобразова- нии источников утверждает, что всякую схему, состоящую из резисторов и источ- ников напряжения и имеющую два вы- вода, можно представить в виде эквива- лентной схемы, состоящей из одного ре- зистора R, последовательно подключен- ного к одному источнику напряжения U. Представьте, как это удобно. Вместо того чтобы разбираться с мешаниной ба- тарей и резисторов, можно взять одну батарею и один резистор (рис 1.9). (Кста- ти, известна еще одна теорема об экви- валентном преобразовании, которая со- держит такое же утверждение относитель- но источника тока и параллельно подклю- ченного резистора).
Основы электроники 17 Рис. 1.9. Как определить эквивалентные пара- метры /?эгв и U3KB для заданной схемы? Оказывается просто. 17э„-это напряже- ние между выводами эквивалентной схе- мы в ее разомкнутом (ненагруженном) состоянии; так как обе схемы работают одинаково, это напряжение совпадает с напряжением между выводами данной схемы в разомкнутом состоянии (его можно определить путем вычислений, если схема вам известна, или измерить, если схема неизвестна). После этого мож- но определить Rm, если учесть, что ток в эквивалентной схеме, при условии что она замкнута (нагружена), равен 17эгв//?эжв Иными словами. Um = U (разомкнутая схема). ЛЭ1В = (разомкнутая схема)// (замкну- тая схема). УНИВЕРСАЛЬНЫЕ ИЗМЕРИТЕЛЬНЫЕ ПРИБОРЫ Существует немало приборов с помощью которых в схемах можно измерять напряжения и токи. Самым универсальным из них является осциллограф (см приложение А); он позволяет наблюдать изменение напряжения во времени в одной или нескольких точ- ках схемы Специально для отыскании неисправно- стей в цифровых схемах предназначены логические щупы и логические анализаторы. Универсальный измерительный прибор дает возможность измерять напряжение ток и сопротивление очень часто с доста- точно высокой точностью, однако у него медленная реакция и он не может заменить осциллограф в тех случаях когда интерес представляют меняющиеся напряжения Универсальные измерительные приборы можно разделить на две группы, приборы, показания которых определяются по обычной шкале с пере мацающейся стрелкой, и приборы с цифровым ото- бражением показания Стандартный вольтметр позволяет измерить ток по перемещению стрелки (обычно полный диапазон шкалы составляет 50 мкА). (Для того чтобы разо- браться в работе измерительного прибора, советуем покопаться в книгах по электротехнике, но не в руко- водствах по разработке электронных схем, а пока нас вполне удовлетворит информация о гом, что в при- боре используются индуктивности и сердечники.) При измерении напряжения в вольтметре последовательно к основной схеме подключается резистор Например, диапазон шкалы измерения напряжения, равный 1 В. обеспечивается последовательным подключением ре- зистора с сопротивлением 20 кОм к схеме, рассчитан- ной на ток 50 мкА: для больших диапазонов напряже- ния используются соответственно резисторы с боль- шими сопротивлениями Такой вольтметр характери- зуется как прибор на 20000 Ом/В. Это значит, что сопротивление его резистора, равное 20 кОм. умножа- ется на полный размах напряжения в выбранном диапазоне измерения. Полный размах в любом диапа- зоне напряжения составляет 1/20000 B/Ом, или 50 мкА Очевидно, что подобный вольтметр оказыва- ет тем меньше влияния на схему, чем выше диапазон, так как играет роль резистора с большим сопротивле- нием (представим вольтметр в качестве нижнего пле- ча делителя напряжения, при этом верхнее плечо будет образовано эквивалентным выходным сопро- тивлением схемы, в которой подключен прибор). В идеальном случае вольтметр должен обладать бес- конечным входным сопротивлением. В настоящее время применяются разнообразные измерительные приборы с небольшим усилением, входное сопротив ление которых может достигать 109 Ом. К приборам такого типа относят большинство измерительных цифровых приборов и даже некоторые приборы с аналоговым отсчетом на полевых транзисторах (см гл. 3). Замечание: иногда входное сопротивление изме- рительных приборов со входом на полевом транзи сторе может быть очень большим в наиболее чувстви- тельном диапазоне, а в других диапазонах оно может иметь меньшее значение. Например, типичными явля- ются следующие значения 109 Ом для диапазонов 0,2 В и 10" Ом для всех остальных диапазонов. Внимательно изучайте характеристики приборов! Для работы с транзисторными схемами подходит вольтомметр на 20000 Ом/В. который создает для них небольшую нагрузку. В любом случае нетрудно оценить влияние измерительного прибора на работу схемы, если воспользоваться уравнением для дели- теля напряжения Обычно универсальные измеритель- ные приборы имеют диапазоны измерения напряже- ния от 1 В (и меньше) до 1 кВ (и больше) для полного размаха шкалы. С помошью вольтомметра можно измерить ток. опенивая его величину по простому отклонению указателя прибора (в предыдущем примере диапазон измерения тока составляет 50 мкА) или за счет резис- тора с небольшим сопротивлением, подключаемого параллельно основной схеме (шунта). Так как для перемещения указателя необходимо небольшое паде- ние напряжения (обычно 0.25 В на полный размах шкалы), шунт выбирают при изготовлении прибора таким чтобы максимальный ток вызывал соответ ствуюшее падение напряжения на параллельном сое- динении шунта и резистора измерительного прибора (для вас выбор шунта сводится к тому, что нужно лишь установить переключатель на нужный диапазон измерения . В идеальном случае прибор для измере- ния тока должен иметь нулевое сопротивление, тогда при подключении его к схеме последовательно он не будет оказывать влияния на нее. На практике прихо-
1Я Глава 1 дится мириться с падением напряжения, составляю- щим десятые доли вольта как для вольтомметров. так и для цифровых приборов (это как бы накладные расходы при измерении напряжения, от которых никуда не денешься). Обычно универсальные измери- тельные приборы имеют диапазоны измерения гока от 50 мкА (иди ниже) до 1 А (или выше) для полного размаха шкалы. В универсальных измерительных приборах имеет- ся одна или несколько батарей для подачи питания при измерении сопротивления. Измерения падения напряжения при протекании небольшою тока позво- ляют определить величину сопротивления; предусмот- ренные для этих измерении диапазоны перекрывают величины сопротивления от 1 Ом (или ниже) до 10 МОм (или выше). Замечание; не пытайтесь из- мерить «ток источника напряжения» путем подключе- ния прибора к штепсельной розетке в стене; то же самое можно сказать об измерении сопротивления. Подобные «измерения» служат причиной гибели многих приборов. Упражнение 1.7 Что покажет вольтметр на 20000 Ом В при шкале диапазона 1 В, если его под- ключить к источнику напряжения 1 В с внутренним сопротивлением 10 кОм? Что покажет этот прибор, если его подключить к делителю напряжения с плеча- ми 10 кОм-10 кОм. питающемуся от источника по- стоянного напряжения (с нулевым сопротивлением) с напряжением 1 В9 Упражнение 1.8. Измерительный прибор с макси- мальным отклонением указателя, соответствующим току 50 мкА, имеет внутреннее сопротивление, равное 5 кОм. Какое шунтирующее сопротивление нужно подключить, чтобы прибор измерял ток в пределах 0-1 А? Какое сопротивление нужно подключить по- следовательно для того, чтобы прибор мог измерить напряжение в пределах 0-10 В9 Попробуем применить описанный ме- тол к делителю напряжения, для чего составим его эквивалентную схему: 1. Напряжение при разомкнутой цепи’ и = + ад 2. Ток замкнутой накоротко цепи UJR, • Тогда эквивалентная схема представ- ляет собой источник напряжения + «гИ. к которому последовательно подключен резистор с сопротивлением ^экв = ^1 ^2/(^1 + ^2)- (Не случайно сопротивление равно со- противлению параллельно соединенных резисторов и R2. Объяснение этому факту будет дано ниже.) Рис. 1.10. Приведенный пример показывает, что делитель напряжения не может служить хорошей батареей, так как его выходное напряжение существенно уменьшается при подключении нагрузки Рассмотрим упражнение 1.9. Вам сейчас известно все, что необходимо для того, чтобы точно рассчитать, насколько уменьшится выход- ное напряжение, если подключить к схеме нагрузку с определенным сопротивлени- ем. Воспользуйтесь эквивалентной схе- мой, подключите нагрузку и подсчитайте новое выходное напряжение, учитывая, что новая схема представляет собой не что иное, как делитель напряжения (рис. 1.10). Упражнение 1.9. Для схемы, показанной на рис. 1.10. ГВ1 = 30 В = R2 = 10 кОм Требуется определить; а) выходное напряжение в отсутствие нагрузки (напряжение разомкнутой цепи); б) выходное напряжение при условии, что подключена нагрузка 10 кОм (представьте схему в виде делителя напряже- ния R2 и R„ объедините в один резистор); в) экви- валентную схему; г) выходное напряжение при том же условии, что и в п. б), но для эквивалентной схемы здесь придется иметь дело с делителем напряжения; ответ должен быть таким же, как в п. 6); д) мощность, рассеиваемую каждым резистором Эквивалентное сопротивление источника и нагрузка схемы. Как мы только что убедились, делитель напряжения, на кото- рый подается некоторое постоянное на- пряжение, эквивалентен некоторому ис- точнику напряжения с последовательно подключенным к нему резистором; напри- мер, делитель напряжения 10 кОм- 10 кОм, на который подается напряжение от идеальной батарейки напряжением 30 В, в точности эквивалентен идеальной батарейке напряжением 15 В с последова- тельно подключенным резистором с со- противлением 5 кОм (рис. 1.11). Подклю- чение резистора в качестве нагрузки вызы- вает падение напряжения на выходе дели-
Основы электроники 19 Рис. 1.11. теля, обусловленное наличием некоторого сопротивления источника (вспомним экви- валентное сопротивление для делителя напряжения, если его выход выступает в качестве источника напряжения). Очень часто это явление нежелательно. Один подход к решению проблемы создания «устойчивого» источника напряжения (на- зываемого «устойчивым» в том смысле, что он не поддается действию нагрузки) состоит в использовании в делителе на- пряжения резисторов с малыми сопротив- лениями. Иногда этот прямой подход оказывается полезным. Однако лучше всего для создания источника напряже- ния, или как его часто называют, источ- ника питания, использовать активные компоненты, такие, как транзисторы или операционные усилители, которыми мы займемся в гл. 2-4. Этот подход позво- ляет создать источник напряжения, внут- реннее сопротивление которого (или эк- вивалентное сопротивление) составит миллиомы (тысячные доли омад при этом не требуются большие токи и не рассеи- вается значительная мощность, что ха- рактерно для низкоомного делителя напряжения с такими же рабочими харак- теристиками Кроме того, в активном источнике питания не представляет труда регулировка выходного напряжения Понятие эквивалентного внутреннего сопротивления применимо ко всем типам источников, а не только к батареям и делителям напряжения Все источники сигналов (например, генераторы синусо- идальных сигналов, усилители и измери- тельные приборы) обладают эквивалент- ным внутренним сопротивлением Под- ключение нагрузки, сопротивление кото- рой меньше или даже сравнимо с внутрен- ним сопротивлением, вызывает значи- тельное уменьшение выходного парамет- ра. Нежелательное уменьшение напряже- ния (или сигнала) разомкнутой цепи за счет подключения нагрузки называется «перегрузкой цепи». В связи с этим сле- дует стремиться к тому, чтобы выполня- лось условие RK » Авнутр, так как высоко- омная нагрузка оказывает небольшое ослабляющее влияние на источник (рис. 1.12); примеры тому вы встретите в по- следующих главах. Условие высокоом- ности является обязательным для таких измерительных приборов, как вольтмет- ры и осциллографы (Есть и исключения из этого общего правила; например, когда речь пойдет о линиях передач на радио- частотах. вы узнаете, что следует «согла- совывать импедансы» для предотвраще- ния отражений и потерь энергии ) Несколько слов о принятых выраже- ниях: часто можно услышать «сопротив- ление со стороны входа делителя напря- жения» или «нагрузка со стороны выхода Сопротивление нагрузки (кратно RBblx) Рис ..12. Сопротивление нагрузки должно быть большим по сравнению с выходным сопротивлением для того, чтобы сигнал источника не ослаблялся ниже значения напряжения при разомкнутой цепи.
20 Глава 1 составляет столько-то ом». Советуем при- нять эти обороты на вооружение, так как они в понятной форме указывают, где. по отношению к схеме, находится резистор. Преобразование энергии. Задумайтесь над таким интересным вопросом каким должно быть сопротивление нагрузки, чтобы при данном сопротивлении источ- ника ей была передана максимальная мощность? (Термины «сопротивление ис- точника». «внутреннее сопротивление» и «эквивалентное сопротивление» относятся к одному и тому же сопротивлению). Нетрудно заметить, что при выполнении условий RH = 0 и RH = сс. переданная мощность равна нулю. Условие RH — 0 означает, что 1/н = 0. а /н = L7H, RH и по- этому RH - С/н/н = 0. Условие RH = ос означает, что Сн = Си и 1Н = 0, поэтому Рн = 0 Максимум заключен, следователь- но, между 0 и ос. Упражнеше 1.10. Докажите, что при выполнении условия RK — Ru мощность в нагрузке максимальна для данного сопротивления источника. Замечание: пропустите это упражнение, если вы не знаете диф- ференциального исчисления, и примите на веру, что приведенное здесь утверждение справедливо. Чтобы приведенный пример не вызвал у вас неправильного впечатления, хотим еще раз подчеркнуть, что обычно схемы проектируют таким образом, чтобы со- противление нагрузки было значительно больше, чем внутреннее сопротивление источника сигнала, работающего на эту нагрузку. 1.06. Динамическое сопротивление Часто приходится иметь дело с электрон- ными устройствами, в которых ток I не пропорционален напряжению U; в подоб- ных случаях нет смысла говорить о со- противлении, так как отношение U/I не является постоянной величиной, независи- мой от U, а. наоборот, зависит от U. Для подобных устройств полезно знать на- клон зависимости U-I (вольт-амперной характеристики). Иными словами, пред- ставляет интерес отношение небольшого изменения приложенного напряжения к соответствующему изменению тока через схему: AU/AI (или dU/di). Это отношение измеряется в единицах сопротивления (в Рис. 1.13. Вольт-амперные характеристики а резис- тор (линейная зависимость); б-зенеровский диод (не- линейная зависимость). омах) и во многих расчетах играет роль сопротивления. Оно называется сопротив- лением для малых сигналов, дифферен- циальным сопротивлением, динамичес- ким или инкрементным сопротивлением. Зенеровские диоды (стабилитроны). В качестве примера рассмотрим зенеров- ский диод (стабилитрон), вольт-амперная характеристика которого приведена на рис. 1.13. Зенеровские диоды используют для получения постоянного напряжения на каком-либо участке схемы. Это дости- гается за счет тока (в грубом приближе- нии постоянного), получаемого от источ- ника большего напряжения в той же схе- ме. Например, зенеровский диод, пред- ставленный на рис. 1.13, преобразует пи- тающий ток, изменяющийся в указанном диапазоне, в соответствующий (но более узкий) диапазон напряжений. Важно по- нять, как будет вести себя соответствую- щее напряжение на зенеровском диоде (зенеровское напряжение пробоя) при из- менении питающего тока, это изменение есть мера влияния изменений питающего тока. Оно характеризуется динамическим
Основы электроники 21 R Рис. 1 14. Регулятор на зенеровском диоде. сопротивлением зенеровского диода, определяемым при заданном токе. (Учти- те, что динамическое сопротивление зе- неровского диода в режиме стабилизации изменяется обратно пропорционально току). Например, динамическое сопротив- ление зенеровского диода, создающего напряжение стабилизации 5 В, может быть равно 10 Ом при токе 10 мА. Вос- пользовавшись определением динамичес- кого сопротивления найдем, чему будет равно изменение напряжения при измене- нии питающего тока на 10%: А17 — = R№n А/ = 10 0,1 0,001 = 10 мВ или MJ/U = 0,002 = 0,2%. Тем самым под- тверждаются высокие стабилизирующие качества зенеровского диода. На практике часто приходится иметь дело с такими схемами, как показанная на рис. 1.14. Здесь ток, протекающий через стабили- трон и резистор, обусловлен имеющимся в той же схеме напряжением, большим чем напряжение стабилизации. При этом I = - UBm)/R и А7 = (Аи„ - А(7ВЫХ//?, тогда ЛЕНЫХ = ЛДИНА/ = (АДИН//?)(А17ВХ - -А17вых) и наконец, А1/Вых = At/BX Аяин/ (R + /?дии). Следовательно, по отношению к изменениям напряжения схема ведет себя как делитель напряжения, в котором зенеровский диод заменен резистором, сопротивление которого равно динами- ческому сопротивлению диода при рабо- чем токе Приведенный пример показы- вает, для чего нужен такой параметр как динамическое сопротивление. Допустим что в рассмотренной нами схеме входное напряжение изменяется в пределах от 15 До 20 В, а для получения стабильного источника напряжения 5.1 В используется зенеровский диод типа 1NA733 (зенеров- ский диод с напряжением 5,1 В и мощ- ностью 1 Вт). Резистор сопротивлением 300 Ом обеспечит максимальный зенеров- ский ток, равный 50 мА. (20—5,1)/300. Оценим изменение выходного напряже- ния, зная, что максимальное сопротивле- ние для выбранного диода составляет 7 Ом при токе 50 мА. В диапазоне измене- ния входного напряжения ток через зе- неровский диод изменяется от 50 мА до 33 мА; изменение тока на 17 мА вызывает изменение напряжения на выходе схемы, равное А17 = 1?ДИНА7, или 0,12 В. Другие примеры использования зенеровских дио- дов вы найдете в разд. 2.04 и 16.14. В реальных условиях зенеровский диод обе- спечивает наивысшую стабильность, если он питается от источника тока, у которого по определению 7?дин = оо (ток не зависит от напряжения). Но источник тока пред- ставляет собой достаточно сложное уст- ройство, и поэтому на практике мы чаще всего удовлетворяемся простым резисто- ром. Туннельные диоды. Еще один интерес- ный пример использования параметра динамического сопротивления связан с туннельным диодом Его вольт-амперная характеристика показана на рис 1.15. В области между точками А и В он обладает отрицательным динамическим сопротив- лением Из этого вытекает важное след- ствие: делитель напряжения, состоящий из резистора и туннельного диода, может работать как усилитель (рис 1.16) Вос- пользуемся уравнением для делителя на- пряжения и для изменяющегося напряже- ния С сигн, получим (7ВЫХ = [_R!(R • -+- rt)j Uсигн, где rt-динамическое сопро- тивление туннельного диода при рабочем Рис 1.16.
22 Глава 1 токе, 17сиги-изменение малого сигнала, которое до настоящего момента мы обоз- начали через ДС/сиги (в дальнейшем мы будем пользоваться этим широко распро- страненным обозначением). Для туннель- ного диода г(дин<0. Значит, ЛС'/Д/< О или uji < 0 для области вольт-амперной характеристики туннельного диода, за- ключенной между точками А и В Если rt дин < О’ то знаменатель становится близ- ким к нулю, и схема начинает работать как усилитель. Напряжение м6ат создает постоянный ток, или смещение, которое смещает рабочую точку в область отрица- тельного сопротивления. (Безусловно, во всяком усилительном приборе необходи- мо иметь источник питания.) И наконец, в двух словах история тун- нельных диодов: они появились в конце 50-х годов, и с ними сразу стали связы- вать пути разрешения множества проблем схемотехники. Их высокое быстродейст- вие дало основание предположить, что они произведут революцию в области вы- числительной техники. К сожалению, оказалось, что эти элементы сложны в ис- пользовании; это обстоятельство, а также успешное развитие транзисторов привело к тому, что туннельные диоды сейчас почти не находят применения. Позже при рассмотрении активных фильтров мы вернемся к явлению отрица- тельного сопротивления Тогда вы позна- комитесь со схемой преобразователя от- рицательного импеданса, которая обеспе- чивает наряд} с другими характеристи- ками настоящее (а не динамическое) отри- цательное сопротивление. СИГНАЛЫ Следующий раздел главы посвящен кон- денсаторам-элементам, свойства кото- рых зависят от того, как изменяются в схеме напряжения и токи Закономерно- сти, с которыми мы познакомили вас при изучении цепей постоянного тока (закон Ома, эквивалентные преобразования схем и др), сохраняют свою силу и в тех случаях, когда напряжения и токи из- меняются по времени Для лучшего пони мания работы цепей переменного тока полезно изучить некоторые распростра- ненные типы сигналов (напряжений, кото- рые определенным образом изменяются во времени). 1.07. Синусоидальные сигналы Синусоидальные сигналы распространены наиболее широко; именно их мы извлека- ем из стенной розетки. Если вы услышите выражение «10 мкВ на частоте 1 МГц», то знайте, что речь идет о синусоидальном сигнале. Математическое выражение, описывающее синусоидальное напряже- ние, имеет вид U = A sin 2 л/1, где Л-амплитуда сигнала, /-частота в циклах в секунду или в герцах. Синусо- идальный сигнал показан на рис. 1.17. Иногда бывает полезно переместить нача- ло координат (Z = 0) в точку, соответству- ющую произвольному моменту времени; в этом случае в выражение для синусо- идального напряжения следует включить фазу U = A sm (2л/t + 0). Можно также воспользоваться поняти- ем угловая частота и переписать выраже- ние для синусоида тьного сигнала в дру- гом виде: U = A sin со Z, где to-угловая частота в радианах в 1 с. Если вы вспомните, что со = 2л/ то все станет на свои места. Основное достоинство синусоидальной функции (а также основная причина столь широкого распространения синусоидаль- ных сигналов) состоит в том, что эта функция является решением целого ряда линейных дифференциальных уравнений Рис. 1.17. Синусоидальная зависимость изменения амплитуды А от частоты f
Основы электроники 23 описывающих как физические явления, так и свойства линейных цепей. Линейная цепь обладает следующим свойством выходной сигнал, порожденный суммой двух входных сигналов, равен сумме двух выходных сигналов, каждый из которых порожден входными сигналами, дейст- вующими не в совокупности, а отдельно: иначе говоря, если Вых. (Л) - выходной сигнал, порожденный сигналом А, то для линейной цепи справедливо следующее равенство: Вых. (А + В) = Вых. (А) + + Вых. (В). Если на входе линейной цепи действует синусоидальный сигнал, то на выходе также получим синусоидальный сигнал, но в общем случае его амплитуда и фаза будут другими. Это утверждение справедливо только для синусоидального сигнала. На практике принято оценивать поведение схемы по ее амплитудно- частотной характеристике, показываю- щей, как изменяется амплитуда синусо- идального сигнала в зависимости от час- тоты. Усилитель звуковой частоты, на- пример, имеет «плоскую» амплитудно- частотную характеристику в диапазоне от 20 Гц до 20 кГц. Частота синусоидальных сигналов, с которыми чаще всего приходится рабо- тать, лежит в диапазоне от нескольких герц до нескольких мегагерц. Для получе- ния очень низких частот, от 0,0001 Гц и ниже, достаточно аккуратно построить нужную схему. Получение более высоких частот, например до 2000 МГц, также не вызывает принципиальных трудностей, но для сигналов такой частоты нужны спе- циальные линии передач и специальные приемы передачи. Кроме того, здесь при- ходится иметь дело с микроволновыми сигналами, для которых не подходят при- вычные схемы, состоящие из отдельных элементов, соединенных между собой проводами, а нужны специальные волно- воды 1-08. Измерение амплитуды сигналов Оказывается, амплитуду синусоидального сигнала, а также любого другого сигнала, можно оценивать не только как абсолют- ное максимальное его значение Иногда пользуются понятием двойная амплитуда (амплитуда от пика до пика сигнала), которая, как нетрудно догадаться, равна удвоенной амплитуде. Иногда употреб- ляют понятие эффективное значение, ко- торое определяется следующим образом: [7эфф = (1/х/2)/1 = 0,707 Л или 2Л/Пэфф = — 2 (это соотношение справедливо только для синусоидальных сигналов: для других видов сигналов отношение двой- ной амплитуды к эффективному значению будет другим). Пусть вас не удивляет, что сигнал часто характеризуется эффектив- ным значением; дело в том, что именно эффективное значение используется для определения мощности. В США напряже- ние в сети имеет эффективное значение 117 В и частоту 60 Гц Амплитуда этого напряжения равна 165 В (двойная ампли- туда составляет 330 В) Изменение амплитуды в децибелах. Как сравнить амплитуды двух сигналов9 Можно, например, сказать, что сигнал X в два раза больше, чем сигнал Y. Во многих случаях именно так и производят сравнение. Но очень часто подобные от- ношения достигают миллионов, и тогда удобнее пользоваться логарифмической зависимостью и измерять отношение в децибелах (децибел составляет одну деся- тую часть бела, но единицей «бел» ни- когда не пользуются). По определению отношение двух сигналов, выраженное в децибелах, это дБ = 201g (Л ^j), где А у и Л 2-амплитуды двух сигналов. Напри- мер, если один сигнал имеет амплитуду вдвое большую, чем другой, то отноше- ние первого сигнала ко второму составля- ет — 6 дБ так как 1g 2 = 0.3010 Если один сигнал в 10 раз больше другого, то от- ношение первого ко второму составляет — 20 дБ, а если один сигнал в 10 раз меньше другого-то — 20 дБ Отношение мощностей двух сигналов определяется так: дБ = lOlg^PJ, где Ру и Р2 - мощности двух сигналов Если оба сигнала имеют одну и ту же форму, т. е. представлены синусоидами то оба способа определения отношения
24 Глава 1 сигналов (через амплитуду и мощность) дают одинаковый результат. Для сравне- ния сигналов разной формы, например, синусоидального и шумового следует ис- пользовать мощность (или эффективные значения). Хотя децибел служит для определения отношения двух сигналов, иногда эту единицу используют для измерения абсо- лютного, а не относительного значения амплитуды. Дело в том, что можно взять некоторую эталонную амплитуду и опре- делять любую другую амплитуду в деци- белах по отношению к эталонной. Из- вестно несколько стандартных значений амплитуды, используемых для такого сравнения (эти значения не указываются, но подразумеваются); приведем некото- рые из них: а) дБВ - эффективное значение 1 В; б) дБВт-напряжение, соответствую- щее мощности 1 мВт на некоторой пред- полагаемой нагрузке, для радиочастот это обычно 50 Ом, для звуковых частот- 600 Ом (напряжение 0 дБВт на этих на- грузках имеет эффективное значение 0,22 В и 0,78 В); в) дБп-небольшой шу- мовой сигнал, генерируемый резистором при комнатной температуре (об этом бо- лее подробно пойдет речь в разд. 7.11). Помимо перечисленных существуют эта- лонные сигналы для измерений в других областях. Например, в акустике уровень звукового давления 0 дБ соответствует сигналу, среднее квадратурное давление которого составляет 0,0002 мкбар (1 бар равен 106 дин на квадратный сантиметр или приблизительно 1 атмосфере); в связи уровни определяются в дБС (относитель- ный шум в полосе частот с весовой функ- цией С). Обращаем ваше внимание на эталонную амплитуду 0 дБ: пользуясь этим значением, не забывайте его огово- рить, например «амплитуда 27 дБ относи- тельно эффективного значения 1 В», или в сокращенной форме «27 дБ относитель- но 1 Вэфф». или пользуйтесь условным обозначением дБВ Упражнение 1.11, Отношение двух сигналов со- ставляет а) 3 дБ. б) 6 дБ, в) 10 дБ. г) 20 дБ Для каждого случая определите отношение напряжений и мощностей сигналов 1.09. Другие типы сигналов Линейно-меняющийся сигнал. Линейно- меняющийся сигнал (показан на рис. 1.18)- это напряжение, возрастающее (или убывающее) с постоянной скоростью. Это напряжение, конечно, не может расти бес- конечно. Поэтому обычно такое напряже- ние имеет вид, показанный на графике рис. 1.19,— напряжение нарастает до конеч- ного значения, или на графике рис. 1.20- пилообразное напряжение. Треугольный сигнал. Треугольный сиг- нал приходится «ближайшим родственни- ком» линейно-меняющемуся сигналу; от- личие состоит в том, что график треуголь- ного сигнала является симметричным (рис. 1.21). Сигналы шумов. Сигналы, о которых пойдет речь, очень часто смешивают с шумами, имея в виду только тепловые случайные шумы. Шумовые напряжения характеризуются частотным спектром (произведение мощности на частоту в гер- цах) и распределением амплитуд. Одним из наиболее распространенных типов шу- мовых сигналов является белый шум с гауссовым распределением в ограниченном спектре частот. Для такого сигнала про- изведение мощности на частоту в герцах сохраняется постоянным в некотором диапазоне частот, а вариации амплитуды для большого числа измерений мгновен- ного значения описываются распределе- нием Гаусса. Шумовой сигнал такого типа генерирует резистор (шум Джонсо- на), и он создает неприятности при все- возможных измерениях, в которых требу- ется высокая чувствительность. На экране осциллографа мы видим шумовой сигнал таким, как он показан на рис. 1.22 Более Рис. 1.18. Напряжение в виде шнейно-меняющегося сигнала. Рис. 1.19. Ограниченный линейно-меняющийся сиг- нал.
Основы электроники 25 рис. 1.20. Пилообразный сигнал. Рис. 1.21. Треугольный сигнал. Рис. 1.22. Шумовой сигнал. подробно шумовые сигналы и способы борьбы с шумовыми помехами будут рас- смотрены в гл. 7. В разд. 9.32-9.35 рас- сматриваются вопросы генерации шумо- вых сигналов. Прямоугольные сигналы. График изме- нения прямоугольного сигнала во време- ни показан на рис. 1.23. Как и синусо- идальный, прямоугольный сигнал харак- теризуется амплитудой и частотой. Если на вход линейной схемы подать прямо- угольный сигнал, то сигнал на выходе вряд ли будет иметь прямоугольную фор- му. Для прямоугольного сигнала эффек- тивное значение равно просто амплитуде. Форма реального прямоугольного сигна- ла отличается от идеального прямоуголь- ника; обычно в электронной схеме время нарастания сигнала zH составляет от не- скольких наносекунд до нескольких микро- секунд. На рис. 1.24 показано, как обычно выглядит скачок прямоугольного сигна- ла. Время нарастания определяется как время, в течение которого сигнал нараста- ет от 10 до 90% своей максимальной амплитуды. Импульсы. Импульсы - это сигналы, показанные на рис. 1.25. Они характеризу- ются амплитудой и длительностью им- пульса Если генерировать периодическую последовательность импульсов, то можно говорить о частоте, или скорости повто- рения импульса, и о «рабочем цикле», равном отношению длительности им- пульса к периоду повторения (рабочий Пикл лежит в пределах от 0 до 100%). Импульсы могут иметь положительную или отрицательную полярность (пьеде- стал), кроме того, они могут быть нара- стающими или спадающими Например второй импульс, показанный на рис. 1.25, является убывающим импульсом положи- тельной полярности (или спадающим им- пульсом с положительным пьедесталом). Сигналы в виде скачков и пиков. Сигна- лы в виде скачков и пиков упоминаются часто, но широкого применения не на- ходят. К их помощи прибегают для описания работы схем. Если попытаться их нарисовать, то они будут выглядеть так, как показано на рис. 1.26. Скачок представляет собой часть прямоугольно- го сигнала, а пик-это два скачка, следую- щие с очень коротким интервалом. Рис. 1.23. Прямоугольные сигналы. Рис. 1.24. Время нарастания скачка прямоугольного сигнала. Рис. 1.25. Нарастающие и убывающие импульсы обо- их полярностей. Скачок Пик Рис. 1.26.
26 Глава 1 1.10. Логические уровни Импульсы и прямоугольные сигналы ши- роко используются в цифровой электро- нике. В цифровой схеме состояние любой точки в любой момент времени определя- ют заранее известные уровни напряжения. Эти уровни называют просто «ВЫСО- КИЙ» и «НИЗКИЙ». Они соответствуют значениям «ложь» (0) и «истина» (1) буле- вой алгебры логики, которая имеет дело с переменными, принимающими эти зна- чения. В цифровой электронике точные значе- ния напряжений не играют роли. Задача состоит в том, чтобы различать только уровни напряжения. В связи с этим для каждого семейства цифровых логических элементов определены допустимые значе- ния высокого и низкого уровня напряже- ния. Например, логическое семейство цифровых элементов «74НС» работает от напряжения + 5 В, при этом выходные уровни составляют 0 В (низкий уровень) и 5 В (высокий уровень), а порог срабаты- вания на входе равен 2,5 В. Реальные значения выходного напряжения могут составлять 1 В относительно «земли» или 4- 5 В, но без учета ложного срабатыва- ния. О логических уровнях речь пойдет дальше, в гл. 8-9. 1.11. Источники сигналов Нередко источник сигнала входит как неотъемлемая часть в саму схему. Но для испытательного режима работы очень удобен отдельный независимый источник сигнала. В качестве такого источника могут выступать три типа приборов гене- раторы (синусоидальных) сигналов, гене- раторы импульсов и генераторы функции (сигналов специальной формы). Генераторы (синусоидальных) сигналов. Генераторами сигналов называют генера- торы синусоидальных колебаний, кото- рые обычно обеспечивают широкий диа- пазон частот (как правило, от 50 кГц до 50 МГц) и приспособлены для «тонкой регулировки амплитуды (для этой цели используется схема резистивного дели- теля, называемого аттенюатором). В не- которых генераторах предусмотрена воз- можность модуляции выходного сигнала (см. гл. 13). Одной из разновидностей генератора сигнала является свип-генера- тор (генератор качающейся частоты)-он может периодически производить раз- вертку выходной частоты в некотором дипазоне частот. Это качество прибора очень полезно при испытаниях схем, свой- ства которых определенным образом за- висят от частоты (например, резонансные схемы или фильтры). В наши дни эти и многие другие приборы выпускаются в исполнении, позволяющем задавать (программировать) частоту, амплитуду и другие параметры с помощью вычисли- тельной машины или другого цифрового устройства. Еще одной разновидностью генерато- ров сигналов является синтезатор час- тот - устройство, которое позволяет про- изводить точную установку частоты гене- рируемых синусоидальных колебаний. Частота задается цифровым способом, часто с точностью до восьми или более знаков после запятой, и синтезируется с помощью точного эталона кварцевого генератора цифровыми методами, о кото- рых речь пойдет позже (в разд. 9.27-9.31). Если перед вами когда-нибудь будет стоять задача получения сигнала с абсо- лютно достоверным, точным значением частоты, то без синтезатора ее не решить Генераторы импульсов. Генераторы им- пульсов всего лишь формируют импуль- сы, но как совершенно они выполняют свою задачу. В них предусмотрена воз- можность регулировки ширины (длитель- ности) импульса, частоты повторения амплитуды, времени нарастания и других параметров. Кроме того, многие генера- торы позволяют генерировать пары им- пульсов с заданными интервалами и час- тотой повторения и даже кодовые после- довательности импульсов. В большинстве современных генераторов импульсов пре- дусмотрены логические выходы, обеспе- чивающие легкое сопряжение с цифровы- ми схемами Как и в генераторах синусои- дальных сигналов, в генераторах импуль- сов часто предусмотрено внешнее про- граммирование.
Основы электроники 27 Генераторы функций (специальных сиг- налов). Во многих отношениях генерато- ры функций являются наиболее гибкими из всех источников сигналов. Они поз- воляют формировать синусоидальные, треугольные, прямоугольные сигналы в очень широком диапазоне частот (от 0,01 Гп до 10 МГц), при этом предусмот- рена возможность регулировки амплиту- ды и смещения по постоянному току (по- стоянное напряжение, добавляемое к сиг- налу). Многие генераторы функций могут производить развертку частоты, причем в нескольких режимах (линейное или логарифмическое изменение частоты во времени). Промышленность выпускает генераторы функций с импульсным вы- ходом (правда, они не обладают гибкостью генераторов импульсов) и возможностью модуляции выходного сигнала. Промышленность выпускает также про- граммируемые и цифровые генераторы функций В цифровых генераторах значе- ние частоты (а иногда и амплитуды) СТЕИтывается в цифровом виде. В послед- ние годы семейство генераторов функции пополнилось синтезирующим генерато- ром функции (генератором-синтезатором функций)-устройством, которое сочетает в себе гибкость генератора функций со стабильностью и точностью синтезатора частот. Примером служит генератор типа HP 8116А, который формирует синусои- дальные, прямоугольные и треугольные сигналы (а также импульсы, линейно- меняющиеся сигналы, сигналы, изменяю- щиеся как функция hav хит. д.), в диапа- зоне частот от 0,001 Гц до 50 МГц. Час- тота и амплитуда (от 10 мВ до 16 В от пита до пика) задаются программно, с по- мощью программы определяется также линейное или логарифмическое изменение частоты во времени Помимо всего про- чего устройство может работать как триг- гер, логическая схема, формировать всплески, производить амплитудную, час- тотную, импульсную моду тяпию. форми- ровать частоту, управляемую напряжени- ем, и одиночные циклы. И последнее: если вам хотелось бы иметь один источник сигналов на все случаи жизни, то для этой Цели лучше подойдет генератор функций КОНДЕНСАТОРЫ И ЦЕПИ ПЕРЕМЕННОГО ТОКА Коль скоро мы начинаем рассматривать изменяющиеся сигналы напряжения и тока, нам необходимо познакомиться с двумя очень занятными элементами, которые не находят применения в цепях постоянного тока,-речь идет о конденса- торах и индуктивностях. Скоро вы убеди- тесь, что эти компоненты вместе с резис- торами являются основными элементами пассивных линейных цепей, составляю- щих основу почти всей схемотехники. Особенно следует подчеркнуть роль кон- денсаторов-без них не обходится почти ни одна схема. Они используются при генерации колебаний, в схемах фильтров, для блокировки и шунтирования сигна- лов. Их используют в интегрирующих и дифференцирующих схемах. На основе конденсаторов и индуктивностей строят схемы формирующих фильтров для вы- деления нужных сигналов из фона. Не- которые примеры подобных схем вы найдете в этой главе, а еще большее число интересных примеров использования кон- денсаторов и индуктивностей встретится вам в последующих главах. Приступим к более детальному изуче- нию конденсаторов. Явления, протекаю- щие в конденсаторе, описываются мате- матическими зависимостями, поэтому читателям, которые имеют недостаточ- ную подготовку в области математики, полезно прочитать приложение Б Не огорчайтесь, если некоторые детали не будут сразу вполне понятны, главное - это общее понимание вопроса. 1.12. Конденсаторы Конденсатор (рис. 1.27)-это устройство, имеющее два вывода и обладающее сле- дующим свойством: Q-CU Конденсатор, имеющий емкость С фарад, к которому приложено напряжение U —II— Рис. 1.27. Конденсатор.
28 Глава 1 вольт, накапливает заряд Q кулон на одной пластине и — @-на другой. В первом приближении конденсаторы - это частотно-зависимые резисторы. Они позволяют создавать, например, частот- но-зависимые делители напряжения. Для решения некоторых задач (шунтирование, связывание контуров) больших знаний о конденсаторе и не требуется, другие зада- чи (построение фильтров, резонансных схем, накопление энергии) требуют более глубоких знаний. Например, конденсато- ры не рассеивают энергию, хотя через них и протекает ток,-дело в том, что ток и напряжение на конденсаторе смещены друг относительно друга по фазе на 90°. Продифференцировав выражение для Q (см. приложение Б), получим I = C(dU/dt). Итак, конденсатор - это более сложный элемент, чем резистор; ток пропорциона- лен не просто напряжению: а скорости изменения напряжения. Если напряжение на конденсаторе, имеющем емкость 1 Ф, изменится на 1 В за 1 с, то получим ток 1 А. И наоборот, протекание тока 1 А через конденсатор емкостью 1 Ф вызыва- ет изменение напряжения на 1 В за 1с. Емкость, равная одной фараде, очень велика, и поэтому чаще имеют дело с микрофарадами (мкФ) или пикофарадами (пФ) Для того чтобы сбить с толку не- посвященных, на принципиальных схемах иногда опускают обозначения единиц измерения. Их приходится угадывать из контекста. Например, если подать ток 1 мА на конденсатор емкостью 1 мкФ, то напряжение за 1 с возрастет на 1000 В Импульс тока продолжительностью 10 мс вызовет увеличение напряжения на кон- денсаторе на 10 В (рис. 1.28) Промышленность выпускает конденса- торы разнообразных форм и размеров, через некоторое время вы познакомитесь с наиболее распространенными предста- вителями этого обширного семейства. Простейший конденсатор состоит из двух проводников, расположенных на неболь- шом расстоянии друг от друга (но не соприкасающихся между собой), настоя- щие простейшие конденсаторы имеют именно такую конструкцию. Чтобы полу- чить большую емкость, нужны большая площадь и меньший зазор между провод- никами, обычно для этого один из про- водников покрывают тонким слоем изо- лирующего материала (называемого ди- электриком), для таких конденсаторов ис- пользуют, например, алитированную (по- крытую алюминием) майларовую пленку. Широкое распространение получили сле- дующие типы конденсаторов: керамичес- кие, электролитические (изготовленные из металлической фольги с оксидной плен- кой в качестве изолятора), слюдяные (изготовленные из металлизированной слюды). Каждому типу конденсаторов присущи свои качества, краткий перечень отличительных особенностей каждого типа конденсаторов приведен мелким шрифтом в разделе «Конденсаторы». В общем можно сказать, что для некритич- ных схем подходят керамические и май- ларовые конденсаторы, в схемах, где тре- буется большая емкость, применяются танталовые конденсаторы, а для фильтра- ции в источниках питания используют электролитические конденсаторы. Параллельное и последовательное сое- динение конденсаторов. Емкость несколь- ких параллельно соединенных конденса- торов равна сумме их емкостей. Нетрудно в этом убедиться приложим напряжение U 10 мА f 10 мс Рис. 1.28. Напряжение на конденсаторе изменяется, когда через него протекает ток.
Основы электроники 29 к параллельному соединению, тогда CU = Q = Qi + 62 + Сз + -•• = = CJJ 4- C2U + C3U + ... - = (Ci 4- С2 + С3 4- ...)U или С=Сг 4- С2 4- С3 4- ... . Для последовательного соединения кон- денсаторов имеем такое же выражение, как для параллельного соединения рези- сторов: 1 С = 1/С, + 1/С2 + 1/С/ В частном случае для двух конденсаторов: С=С1С2/(С1 + С2). Ток, заряжающий конденсатор (/ — = CdU/dt), обладает некоторыми особы- ми свойствами. В отличие от тока, про- текающего через резистор, он пропорцио- нален не напряжению, а скорости измене- ния напряжения (т. е. его производной по времени). Далее, мощность (U умножен- ное на /), которая связана с протекающим через конденсатор током, не обращается в тепло, а сохраняется в виде энергии внутреннего электрического поля в кон- денсаторе. При разряде конденсатора происходит извлечение энергии. Эти за- нятные свойства мы рассмотрим с другой точки зрения, когда будем изучать ре- активность (начиная с разд. 1.18). КОНДЕНСАТОРЫ Промышленностью выпускается много типов конден- саторов. Здесь перечислены основные преимущества и недостатки различных типов Очевидно, что данная оценка имеет несколько субъективный характер (см таблицу). Тип Диапазон емкости Максимальное Точность Температур- Утечка Примечание напряжение ная стабиль- ность Слюдяной 1 пФ-0,01 мкФ 100-600 Хорошая Малая Очень хорошие: рекомен- Цилиндриче- 0,5 пФ-100 пФ ский кера- мический Керамический 10 пФ 1 мкФ 100-600 50-30000 Варьирует Низкая Низкая Средняя дуются для радиоча- стот Несколько значений тем- пературного коэффи- циента, включая 0 Малые габариты, недоро- Полиэфирные 0,001 мкФ-50 мкФ 50-600 Хорошая Низкая Малая ги, широко использу- ются Хорошие, недорогие, ши- (майларо- вые) Полистироле- 10 пФ-2.7 мкФ 100-600 Отличная Высокая Очень роко используются Высококачестенные, круп- вые Поликарбо- 100 пФ 30 мкФ 50-800 Отличная Отличная малая Малая ногабаритные, рекомен дуются для фильтров Высококачественные > ватные Полипропиле 100 пФ 50 мкФ 100-800 Отличная Высокая Очень имеют малые габариты Высококачественные низ- новые Тефлоновые 100 пФ 2 мкФ 50-200 Отличная Отличная малая Самая кое диэлектрическое поглощение Высококачественные, са- Стеклянные 10 пФ 1000 мкФ 100-600 Хорошая малая Очень мое низкое диэлектри- ческое поглощение Стабильны при длитель- Фарфоровые 100 пФ -0,1 мкФ 50-400 Хорошая Высокая малая Малая ной эксплуатации Хорошие: стабильные при Танталовые 0.1 мкФ 500 мкФ 6-100 Низкая Низкая длительной эксплуата- ции Большая емкость; поля- ризованные. малогаба- ритные; небольшая индуктивность
30 Глава 1 Продолжение табл. Тип Диапазон емкости Максимальное Точность Температур- Утечка Примечание напряжение ная стабиль- ность Электролите- 0,1 мкФ-1,6 Ф ческие 3 600 Хуже не Хуже не Ужасная Фильтры источников пи- бывает бывает тания; поляризованные; короткий срок службы С двойным 0,1 Ф-10 Ф слоем диэлектрика Масляные 0,1 мкФ-20 мкФ Вакуумные 1 пФ-5000 пФ 1,5-6 Низкая Низкая Малая Поддержка памяти; высо- кое последовательное сопротивление 200-10000 Малая Высоковольтные фильт- ры; крупногабаритные, длительный срок служ- бы 2000-36000 Очень Передатчики малая Упражнение 1.12. Получите выражение для емкости двух последовательно соединенных конденсаторов. Подсказка: так как точка соединения конденсаторов не имеет внешних подключений, то заряд, накоплен- ный двумя конденсаторами, должен быть одинаков. 1.13. /?С-цепи: изменения во времени напряжения и тока Для анализа цепей переменного тока (или в общем случае схем, работающих с из- меняющимися напряжениями и токами) можно использовать характеристики двух типов. Во-первых, можно рассматривать изменения напряжения U и тока I во времени, а во-вторых - изменение ампли- туды при изменении частоты сигнала. И те, и другие характеристики имеют свои преимущества, и в каждом практическом случае приходится выбирать наиболее подходящие. Мы начнем изучение цепей переменного тока с временных зависимо- стей, а в разд. 1.18 перейдем к частотным характеристикам. Каковы же свойства схем в состав которых входят конденсаторы? Для того чтобы ответить на этот вопрос, рассмот- рим простейшую АС-цепь (рис. 1.29). Вос- пользуемся полученным ранее выражени- ем для емкости: C(dU/dt) = I = -UR. Это выражение представляет собой диф- ференциальное уравнение, решение кото- рого имеет вид U = Aet,RC. Отсюда следует, что если заряженный конденсатор подключить к резистору, то он будет разряжаться так. как показано на рис. 1.30. Постоянная времени. Произведение RC называют постоянной времени цепи. Если R измерять в омах, а С-в фарадах, то произведение RC будет измеряться в секундах. Для конденсатора емкостью 1 мкФ, подключенного к резистору сопро- тивлением ] кОм постоянная времени составляет 1 мс, если конденсатор был предварительно заряжен и напряжение на нем составляет 1 В, то при подключении резистора в цепи появится ток. равный 1 мА Рис. 1.29. Рис. 1.30. Сигнал разряда АС-цепи
Основы электроники 31 На рис. 1.31 показана несколько иная схема. В момент времени 1 = 0 схема под- ключается к батарее. Уравнение, описыва- ющее работу такой схемы, выглядит сле- дующим образом: l=C(dU/dt) = (Vn-U)/R Более низкая частота Рис. 1.33. Напряжение, снимаемое с конденсатора (верхние сигналы), при условии, что на него через резистор подается прямоугольный сигнал. и имеет решение U = Uax + Ае~ tlRC. Не пугайтесь, если не поняли, как вы- полнено математическое преобразование. Важно запомнить полученный результат. В дальнейшем мы будем многократно его использовать, не прибегая к математи- ческим выкладкам. Постоянная величина А определяется из начальных условий (рис. 1.32): <7 = 0 при t = 0, откуда А = = -UmhU=L'bx(1-C"rc). Установление равновесия. При условии t»RC напряжение достигает значения (Советуем запомнить хорошее прак- тическое правило, называемое правилом пяти RC. Оно гласит: за время, равное пяти постоянным времени, конденсатор заряжается или разряжается на 99%.) Если затем изменить входное напряжение Un (сделать его равным, например, нулю), то напряжение на конденсаторе U будет убывать, стремясь к новому значению по экспоненциальному закону е~ tlR Напри- мер, если на вход подать прямоугольный сигнал Свх, то сигнал на выходе U будет иметь форму, показанную на рис. 1.33. Упражнение 1.13. Докажите, что время нарастания сигнаца (время, в течение которого сигнал изменяется от 10 до 90% своего максимального значения) состав- ляет 2.2 RC. У вас, наверное, возник вопрос: каков закон изменения для произвольного <7вх(г)? Для того чтобы ответить на него, нужно решить неоднородное дифферен- циальное уравнение (стандартные методы решения таких уравнений здесь не рас- сматриваются) В результате получим U(D = -^. I Согласно полученному выражению, RC- цепь усредняет входное напряжение с коэффициентом пропорциональности Лг/кс, где Аг = т — г. На практике, одна- ко, такой вопрос возникает редко. Чаше всего рассматриваются частотные харак- теристики и определяют, какие изменения претерпевает каждая частотная составляю- щая входного сигнала. Скоро (разд. 1.18) мы также перейдем к этому немаловаж- ную вопросу. А пока рассмотрим несколь- ко интересных схем, для анализа которых достаточно временных зависимостей. У прощение с помощью эквивалентного преобразования Тевенина. Можно было бы приступить к анализу более сложных схем, пользуясь, как и раньше, методом решения дифференциальных уравнений. Однако чаше всего не стоит прибегать к решению дифференциальных уравнений. Большинство схем можно свести к RC- схеме. показанной на рис. 1.34. Пользуясь эквивалентным преобразованием для де-
32 Глава 1 лителя напряжения, образованного рези- сторами Rv и R2, можно определить U (/) для скачка входного напряжения Свх. Упражнение 1.14. Для схемы, показанной на рис. 1.34, Rt — R2 = 10 кОм и С = 0,1 мкФ. Определи- те [/(/) и изобразите полученную зависимость в виде графика. Пример: схема задержки. Мы уже упо- минали логические уровни - напряжения, определяющие работу цифровых схем. На рис. 1.35 показано, как с помощью кон- денсаторов можно получить задержанный импульс. В виде треугольников изобра- жены КМОП-буферные усилители. Они дают высокий уровень на выходе (более половины величины напряжения питания постоянного тока) и наоборот. Первый буферный усилитель воспроизводит вход- ной сигнал и обеспечивает небольшое вы- ходное сопротивление, предотвращая тем самым воздействие на источник сигнала .RC-цепи (вопрос о нагрузке схемы мы рассмотрели в разд. 1.05). Согласно А - вход------1 I I В -RC С - выход "П С "' 10 мкс 10 мкс Рис. 1.35. Использование /?С-пепи для формирования задержанного цифрового сигнала. характеристике КС-цепи, выходной сиг- нал для нее задерживается относительно входного, поэтому выходной буферный усилитель переключается на 10 мкс позже скачка напряжения на входе (напряжение на выходе КС-цепи достигает 50% своего максимального значения через 0,7 RC) На практике приходится принимать во внимание отклонение входного порога буфера от величины, равной половине напряжения питания, так как это отклоне- ние изменяет задержку и ширину выход- ного импульса. Иногда подобную схему используют для того, чтобы задержать импульс на время, в течение которого может произойти какое-либо событие. При проектировании схем лучше не при- бегать к подобным трюкам, но иногда они бывают полезны. 1.14. Дифференцирующие цепи Рассмотрим схему, изображенную на рис. 1.36. Напряжение на конденсаторе С равно UBX — U, поэтому I = Cd(Um-U)/dt=U/R. Если резистор и конденсатор выбрать так, чтобы сопротивление К и емкость С были достаточно малыми и выполнялось усло- вие dU/dt « dUKJdt, то C(dUJdt) = U/К или U(t) = = RC[_dUn(t)/dQ. Таким образом, мы получили, что выход- ное напряжение пропорционально скоро- сти изменения входного сигнала Для того чтобы выполнялось условие dU/dt « dUmidt, произведение КС должно быть небольшим, но при этом сопротив- ление К не должно быть слишком малым, чтобы не «нагружать» вход (при скачке напряжения на входе изменение напряже- ния на конденсаторе равно нулю и К пред- С Рис. 1.36.
Основы электроники 33 Рис. 1-37. Выходной сигнал (верхний), снимаемый с дифференциатора, на вход которого подается прямо- угольный сигнал. ставляет собой нагрузку со стороны входа схемы). Более точный критерий выбора для R и С мы получим, когда изучим частотные характеристики. Если на вход схемы подать прямоугольный сигнал, то сигнал на выходе будет иметь вид, пред- ставленный на рис. 1.37. Дифференцирующие цепи удобно ис- пользовать для выделения переднего и заднего фронтов импульсных сигналов, и в цифровых схемах можно иногда встре- тить цепи, подобные той, которая показа- на на рис. 1.38. Дифференцирующая RC- цепь генерирует импульсы в виде корот- ких пиков в моменты переключения вход- ного сигнала, а выходной буферный уси- литель преобразует эти импульсы в ко- роткие прямоугольные импульсы В реаль- ных схемах отрицательный пик бывает небольшим благодаря встроенному в бу- фер диоду (речь об этом элементе пойдет в разд. 1.25). С-выход ₽Ис. 1-38 Выделение переднего фронта импульса. Рис. 1.39. Паразитная емкостная связь. Иногда схема неожиданно начинает проявлять дифференцирующие свойства, причем в ситуациях, где они совершенно нежела- тельны. При этом можно наблюдать сиг- налы, подобные показанным на рис. 1.39. Первый сигнал (а точнее, импульсная по- меха) может возникнуть при наличии емкостной связи между рассматриваемой линией и схемой, в которой присутствует прямоугольный сигнал; причиной появле- ния подобной помехи может служить от- сутствие оконечного резистора в линии Если же резистор есть, то следует либо уменьшить сопротивление источника сиг- налов для линии, либо найти способ ослабления емкостной связи с источником сигналов прямоугольной формы. Сигнал второго типа можно наблюдать в цепи, по которой должен проходить сигнал прямоугольной формы, при наличии де- фекта в контакте с этой цепью, например, в щупе осциллографа. Небольшая емкость, возникающая при плохом контакте, и входное сопротивление осциллографа об- разуют дифференцирующую цепь. Если вы обнаружили, что ваша схема «что-то» дифференцирует, то сказанное может по- мочь вам найти причину неисправности и устранить ее. 1.15. Интегрирующие цепи Рассмотрим схему, изображенную на рис. 1.40. Напряжение на резисторе R рав- 17™ DA i Рис. 1.40. 2-626
34 Глава 1 но Um — U, следовательно, I — C(dU/dt) = — (U№ — U)/R. Если обеспечить выполне- ние условия U « Uвх за счет большого значения произведения RC, то получим C(dU/dt) s UJR или 1 г U (г) = — j Um (/) dt + константа. /?С 0 Мы получили, что схема интегрирует входной сигнал во времени! Рассмотрим, каким образом эта схема обеспечивает аппроксимацию интегрирования в случае входного сигнала прямоугольной формы U (г) представляет собой знакомый уже нам график экспоненциальной зависи- мости, определяющей заряд конденсатора (рис. 1.41). Первый участок экспоненты (интеграл от почти постоянной величи- ны)-прямая с постоянным углом накло- на; при увеличении постоянной времени RC используется все меньший участок экспоненты, тем самым обеспечивается лучшая аппроксимация идеального пило- образного сигнала. Отметим, что условие U « Свх равно- сильно тому, что ток пропорционален напряжению Свх. Если бы в качестве входного сигнала выступал ток 1р), а не напряжение, то мы получили бы идеаль- ный интегратор. Источником тока может служить резистор с большим сопротивле- нием и с большим падением напряжения на нем, и на практике часто пользуются этим приближением. В дальнейшем, когда мы познакомим вас с операционными усилителями и об- ратной связью, вы узнаете, как построить Рис. 1.42. Источник постоянного тока, заряжающий конденсатор, генерирует напряжение в виде линейно- меняющегося сигнала. интегратор, не прибегая к условию С'вых« UBX. Такой интегратор работает в широком диапазоне частот и напряже- ний с пренебрежимо малой ошибкой. Интегрирующие цепи находят широкое применение в аналоговой технике. Их ис- пользуют в управляющих системах, схе- мах с обратной связью, при аналого-циф- ровом преобразовании и генерации коле- баний Генераторы пилообразного сигнала. Те- перь вы без труда разберетесь в том, как работает генератор пилообразного сигна- ла. Эта схема хорошо зарекомендовала себя и нашла очень широкое применение ее используют во время-задающих схе- мах, в генераторах синусоидальных и дру- гих типов колебаний, в схемах развертки осциллографов, в аналого-цифровых пре- образователях. Схема использует посто- янный ток для заряда конденсатора (рис 1.42) Из уравнения для тока, проте- кающего через конденсатор, I — С (dU/dt) получим U (t) = (I/C) t. Выходной сигнал изображен на рис 1.43. Линейное нарас- тание сигнала прекращается тогда, когда «иссякает» напряжение источника тока, т. е. достигается его предельное значение Кривая для простой /?С-пепи с резисто- ром, подключенным к источнику напря- жения. ведет себя аналогично случаю достижения предела источником тока. На рис. 1.43 эта вторая кривая показана для случая, когда R выбрано так, чтобы ток Рис. 1.43.
Основы электроники 35 при нулевом выходном напряжении был равен току источника тока; при этом вто- рая кривая стремится к тому же пределу, что и ломаная (В реальных источниках тока выходное напряжение ограничено напряжением используемых в них источ- ников питания, так что такое поведение вполне правдоподобно.) В следующей главе, посвященной транзисторам, мы построим простые схемы источников то- ка, а в главах, где рассматриваются операционные усилители и полевые тран- зисторы,-их усовершенствованные типы. Вот как много интересных вопросов ожи- дает нас впереди. Ущмжнение 1.15. Ток 1 мА заряжает конденсатор емкостью 1 мкФ. Через какое время напряжение достигнет 10 В? ИНДУКТИВНОСТИ и ТРАНСФОРМАТОРЫ 1.16. Индуктивности Если вы поняли, что такое конденсатор, то вы поймете и что такое индуктивность (рис. 1.44). Сравним индуктивность и конденсатор между собой: в индуктив- ности скорость изменения тока зависит от приложенного напряжения а в конден- саторе скорость изменения напряжения зависит от протекающего тока. Уравне- ние индуктивности имеет следующий вид: U = L(dl/dt\ где L-индуктивность в генри (или мГн. мкГн и т д.) Напряжение, приложенное к индуктивности, вызывает нарастание протекающего через нее тока, причем из- менение тока происходит по линейному закону (если пропустить ток через конден- сатор то это приведет к нарастанию на- пряжения на нем причем изменение на пряжения будет происходить по линей- ному закону); напряжение величиной 1 В приложенное к индуктивности 1 Гн. при- водит к нарастанию тока через индуктив- ность со скоростью 1 А в 1с Ток, протекающий через индуктив- Рис. 1.44 Индуктивность ность, также как и ток, протекающий через конденсатор, не просто пропорцио- нален напряжению Более того, в отличие от резистора мощность, связанная с то- ком через индуктивность (произведение U на /), не преобразуется в тепло, а сохра- няется в виде энергии магнитного поля индуктивности. Эту энергию можно из- влечь, если прервать ток через индуктив- ность. Условно индуктивность изображают в виде нескольких витков провода-такую конструкцию имеет простейшая индук- тивность. Другие, более совершенные конструкции включают сердечник, на ко- торый наматывается провод. Материа- лом для сердечника чаще всего служит железо (пластинки, прокатанные из спла- вов железа или изготовленные методами порошковой металлургии) или феррит, представляюший собой хрупкий непрово- дящий магнитный материал черного цвета. Сердечник позволяет увеличить индуктивность катушки за счет магнит- ных свойств материала сердечника Сер- дечник может быть изготовлен в виде бруска, тора или может иметь какую- нибудь более причудливую форму, на- пример «горшка» (описать его словами не так-то просто- представьте себе форму для выпечки пончиков, которая разнима- ется пополам). Индуктивности находят наибольшее применение в радиочастотных схемах, где они используются в качестве радиочас- тотных дросселей, и в резонансных схемах (см. гл. 13). Пара связанных индуктив- ностей образует такой интересный эле- мент. как трансформатор О нем мы поговорим в следующем разделе. По сути дела индуктивность - это про- тивоположность конденсатора Последу- ющие разделы этой главы, в которых вводится такое важное понятие, как пол- ное сопротивление, или импенданс, пока- жут вам, в чем эта противоположность проявляется. 1.17. Трансформаторы Трансформатор-это устройство, состоя- щее из двух связанных катушек индуктив- 2*
36 Глава 1 Рис. 1.45. Трансформатор. ности (называемых первичной и вторич- ной обмотками) Напряжение, снимаемое со вторичной обмотки, иное по сравне- нию с напряжением переменного тока, поданным на первичную обмотку, причем коэффициент изменения (трансформации) напряжения прямопропорционален отно- шению числа витков обмоток трансфор- матора, а коэффициент изменения тока обратно пропорционален. Мощность со- храняется неизменной. На рис. 1.45 пока- зано условное обозначение трансформа- тора с пластинчатым сердечником (трансформаторы такого типа использу- ются для преобразования напряжения переменного тока с частотой 60 Гц). Трансформатор обладает весьма высо- ким коэффициентом полезного действия (мощность на его выходе почти равна мощности на входе); в связи с этим по- вышающий трансформатор обеспечивает рост напряжения при уменьшении тока Немного забегая вперед, отметим, что трансформатор с отношением числа вит- ков обмоток, равным п. изменяет полное сопротивление в п раз. Если вторичная обмотка не нагружена, то в первичной протекает очень небольшой ток. В электронных приборах трансформа- торы выполняют две важные функции: во-первых, они преобразуют напряжение переменного тока сети к нужному, обычно более низкому значению, которое можно использовать в схеме, и, во-вторых, они «изолируют» электронную схему от не- посредственного контакта с силовой сетью, так как обмотки трансформатора электрически изолированы одна от дру- гой. Выпускаемые промышленностью си- ловые трансформаторы (предназначенные для работы с напряжением силовых сетей, равным ПО. 127 или 220 В) обеспечивают разнообразные значения вторичных на- пряжений и токов: диапазон напряжений включает значения от 1 В до нескольких тысяч вольт, диапазон тока-от несколь- ких миллиампер до сотен ампер. Транс- форматоры, используемые обычно в электронных приборах, обеспечивают диапазон вторичного напряжения от 10 до 50 В, диапазон тока-от 0,1 до 5 А Промышленность выпускает также трансформаторы, предназначенные для работы в диапазоне звуковых частот, иногда используют резонансные транс- форматоры. Интерес представляют трансформаторы для линий передач, о которых мы немного поговорим в гл. 13 в разд. 13.10. Для сердечников высоко- частотных трансформаторов используют специальные материалы или прибегают к специальным конструкциям для того, чтобы уменьшить потери энергии в сер- дечнике; что же касается сердечников низкочастотных (т. е. силовых) трансфор- маторов, то их делают тяжелыми или крупногабаритными. Трансформаторы для высоких и низких частот, вообще говоря, не взаимозаменяемы. ПОЛНОЕ И РЕАКТИВНОЕ СОПРОТИВЛЕНИЕ Замечание: Этот раздел содержит много математических выкладок; при желании их можно пропустить, но ни в коем случае не упускайте из внимания результаты Схемы с конденсаторами и индуктивнос- тями сложнее, чем рассмотренные ранее резистивные схемы,- их работа зависит от частоты входного сигнала: «делитель напряжения» с конденсатором или индук- тивностью будет обладать частотно-зави- симым коэффициентом деления Кроме того, схемы, в состав которых входят эти компоненты (их, кстати, относят к классу пассивных), искажают такие входные сиг- налы. как, например, прямоугольные колебания-в этом мы только что убе- дились. Однако и конденсаторы, и индуктив- ности являются линейными элементами. Это означает, что амплитуда выходного сигнала, независимо от его формы, строго пропорциональна амплитуде входного сигнала. Линейностью обусловлены мно- гие закономерности поведения схем, и важнейшая состоит в следующем: Если ни вход линейной схемы подан синусоидальный
Основы электроники 37 Частота (лог. масштаб) Звуковые частоты Ультразвук, частоты Инфразвук частоты Рис. 1.46 Пример частотного анализа выравнивание для громкоговорителя. сигнал с частотой f, то на выходе будет получен также синусоидальный сигнал с такой же частотой, но, возможно, с дру- гой амплитудой и фазой. Помня об этом замечательном свойстве при анализе схем, содержащих резисторы, конденсаторы и индуктивности, вы всегда должны ответить на вопрос как зависит выходное напряжение (его амплитуда и фаза) от входного напряжения в виде синусоидального сигнала определенной частоты Этот вопрос важен и тогда, когда схема предназначена для другого режима работы. График результирующей амплитудно-частотной характеристики отражающей отношение выходного сиг- нала к входному для каждого значения частоты синусоиды, полезен при анализе работы схемы со многими видами сиг- налов. Амплитудно-частотная характе- ристика (АЧХ), представленная на рис. 1.46, может принадлежать, например, репродуктору какого-нибудь «говорящего ящика» Под выходным сигналом в дан- ном случае понимается звуковое давле- ние, а не напряжение. Желательно, чтобы АЧХ репродуктора была «плоской», т. е. чтобы отношение звукового давления к частоте было постоянной величиной в диапазоне звуковых частот В этом случае недостатки репродуктора можно ском- пенсировать за счет пассивного фильтра с инверсной АЧХ (как показано на графи- ке). включенного в усилитель радио- приемника. Как мы увидим в дальнейшем, можно обобщить закон Ома, заменив понятие «сопротивление» понятием «полное сопротивление», или «импеданс», тогда он будет справедлив для любой схемы, в состав которой входят линейные пас- сивные элементы (резисторы, конденсато- ры, индуктивности). Итак, понятия «им- педанс» и «реактивное сопротивление» летают закон Ома справедливым для схем, содержащих конденсаторы и индук- тивности. Уточним терминологию. Им- педанс-это обобщенное или полное сопротивление, индуктивности и конден- саторы обладают реактивным сопротив- лением (можно сказать, что они реаги- руют на воздействие); резисторы обла- дают сопротивлением (по аналогии они оказывают сопротивление воздействию). Иными словами, импеданс = сопротивле- ние + реактивное сопротивление (более
38 Глава 1 подробно поговорим об этом позже). Од- нако можно встретить, например, такое выражение, «импеданс конденсатора на данной частоте составляет...». Дело в том, что в импеданс входит реактивное сопротивление, и поэтому не обязательно говорить «реактивное сопротивление конденсатора», можно сказать и «импе- данс конденсатора». На самом деле слово «импеданс» часто употребляют и тогда, когда известно, что речь идет о сопротив- лении; например, говорят «импеданс ис- точника» или «выходной импеданс», имея в виду эквивалентное сопротивление не- которого источника. То же самое отно- сится и к «входному импедансу». В дальнейшем речь пойдет о схемах, для питания которых используется синусоидальный сигнал с определенной частотой. Анализ схем, работающих с сигналами другой формы, требует боль- шей тщательности и предполагает исполь- зование уже известных нам методов (на- пример, метода дифференциальных урав- нений или метода преобразования Фурье, при котором сигнал представляют в виде ряда синусоид). На практике эти методы редко используются 1.18. Частотный анализ реактивных схем Для начала рассмотрим конденсатор, на который подается синусоидальное напря- жение источника питания (рис. 1.47). Ток в схеме определяется следующим обра- зом: I (г) = С (dUjdt) = С to U0 cos cor. Из этого уравнения следует, что ток имеет амплитуду I и опережает входное напря- жение по фазе на 90 Если не принимать во внимание соотношение фаз. то I = L//(l/coC) U(t)= Uo sin wt Рис. 1.47. (Напомним, что со — 2nf ) Конденсатор ведет себя как резистор, сопротивление которого зависит от частоты и определя- ется выражением R = 1 /соС, и, кроме того, ток, протекающий через конденсатор, сдвинут по фазе на 90 ° относительно напряжения (рис. 1.48). Например, через конденсатор емкостью 1 мкФ, подклю- ченный к силовой сети с напряжением 110 В (эффективное значение) и частотой 60 Гц, будет протекать ток, эффективная амплитуда которого определяется сле- дующим образом: I = 110/[1/(2л 60 х х 10“6)] =41,5 мА (эффективное значе- ние). Замечание: сейчас нам необходимо воспользоваться комплексными перемен- ными; при желании вы можете пропус- тить математические выкладки, приводи- мые в последующих разделах, и принять на веру полученные результаты (они вы- делены в тексте). Не думайте, что по- дробные алгебраические преобразования, приводимые в этих разделах, необходимы для понимания всего остального матери- ала книги. Это не так-глубокое знание математики похвально, но совсем не обя- зательно. Следующий раздел, пожалуй, наиболее труден для тех. у кого нет достаточной математической подготовки Но пусть это вас не огорчает. Определение напряжения и тока с по- мощью комплексных чисел. Только что вы убедились в том. что в цепи переменного тока, работающей с синусоидальным сиг- налом некоторой частоты, возможен сдвиг по фазе между напряжением и током. Тем не менее если схема содержит только линейные элементы (резисторы, конденсаторы, индуктивности), то ампли-
Основы электроники 39 туда токов на всех участках схемы про- порциональна амплитуде питающего на- пряжения. В связи с этим можно попы- таться найти некоторые общие выраже- ния тока, напряжения и сопротивления и обобщить тем самым закон Ома. Оче- видно, что для того, чтобы определить ток в какой-либо точке схемы, недоста- точно задать одно значение-дело в том, что ток характеризуется как амплитудой, так и сдвигом фазы. Конечно, можно определять амплитуды и фазовые сдвиги напряжений и токов явно, например U (г) = 23,7sin(377r + 0,38), но оказывается, что проще это делать с помощью комплексных чисел. Вместо того чтобы тратить время и силы на сложение и вычитание синусоидальных функций, можно легко и просто склады- вать и вычитать комплексные числа. Так- как действующие значения напряжения и тока представляют собой реальные количественные величины, изменяющиеся во времени, следует вывести правило для перевода реальных количественных вели- чин в комплексное представление и на- оборот. Напомним еще раз, что мы имеем дело с частотой синусоидального колеба- ния со, и сформулируем следующие пра- вила: 1. Напряжение и ток представляются комплексными величинами U и I. Напря- жение Uo cos (cor + ср) представляется комплексным числом Uoejtp. Напомним что ei6 = cos 6 + j sin 6, где j = v/ — 1. 2. Для того чтобы получить выражение для действующего напряжения и тока, нужно умножить соответствующие комп- лексные представления на и выделить действительную часть. Это записывается следующим образом U (t) = Re (Ur70’'). I(t) = Re(I^£) Иначе говоря. Напряжение в схеме как функция Комплексное времени _____________представление Uо cos (ы + <р) —* = а + jb умножить на е* и взять действи- тельную часть (В электронике символ j используется вместо принятого в алгебре для комп- лексной переменной символа z, с тем чтобы избежать путаницы с током, кото- рый также обозначают символом z). Итак, в общем случае действующие напряжения и токи определяются следующим обра- зом: L7 (Г) = Re(Ue/“‘) = Re (U) cos cat - — Im (U) sin cor, / (r) = Reflc70’1) = Re (I) cos cor — — Im (I) sin cor. Например, комплексному напряжению U = 5j соответствует реальное напряже- ние U (z) = Re[5jcoscor + 5j(/)sincor] = 5sincorB Реактивное сопротивление конденсато- ров и индуктивностей. Принятое соглаше- ние позволяет применять закон Ома для схем, содержащих как резисторы, так и конденсаторы, и индуктивности. Опреде- лим реактивное сопротивление конденса- тора и индуктивности. Нам известно, что U(r) = Re(L/oejtot). Так как в случае конденсатора справедливо выражение I = C(dU/dt\ получим I (г) = — Uо Со sin cor = = ReCU^/l-y/roC)] = Re(U0^/Xc), т. e. для конденсатора Хс = -j/aC, Хс-это реактивное сопротивление кон- денсатора на частоте со. Конденсатор ем- костью 1 мкФ например, имеет реактив- ное сопротивление — 2653/ Ом на частоте 60 Гц и —0,16/ Ом на частоте 1 МГц. Для постоянного тока реактивное сопротив- ление равно бесконечности Аналогичные рассуждения для индук- тивности дают следующий результат: XL =j<aL. Схема, содержащая только конденсаторы и индуктивности, всегда обладает мни- мым импедансом: это значит, что напря- жение и ток всегда сдвинуты по фазе друг относительно друга на 90 °-схема абсо- лютно реактивна Если в схеме присутст- вуют резисторы, то импеданс имеет и действительную часть. Под реактивным
Глава 1 сопротивлением подразумевается при этом только мнимая часть импеданса. Обобщенный закон Ома. Соглашения, принятые для представления напряжений и токов, позволяют записать закон Ома в следующей простой форме: I = (J/Z, и = IZ, означающей, что напряжение U, прило- женное к схеме с импедансом Z. порожда- ет ток I. Импеданс последовательно и параллельно соединенных элементов определяется по гем же правилам, что и сопротивление последовательно и параллельно соединенных резисторов: Z — Zj + Z2 4~ Z( + ... (для последовательного соединения), 1 Z = —-------—------7----- 1/Z, + 1 Z2 + l/Zj + ... (для параллельного соединения). И в заключение приведем формулы для определения импеданса резисторов, кон- денсаторов и индуктивностей: ZR = R (резистор), Zr — —/7<оС (конденсатор), ZL = jwL (индуктивность). Полученные зависимости позволяют ана- лизировать любые схемы переменного тока с помощью методов, принятых для схем постоянного тока, а именно с по- мощью закона Ома и формул для после- довательного и параллельного соедине- ния элементов. Результаты, которые мы получили при анализе таких схем. как. например, делитель напряжения, сохра- няют почти такой же вид. Так же как и для схем постоянно!о тока, для слож- ных разветвленных схем переменного тока справедливы законы Кирхгофа; от- личие состоит в том. что вместо токов I и напряжений С здесь следует исполь- зовать их комплексные представления, сумма падений напряжения (комплексно- го) в замкнутом контуре равна нулю; сумма токов (комплексных), втекающих в узел, равна сумме токов (комплексных), вытекающих из нею. Из последнего пра- вила, как и в случае с цепями постоян- ного тока, вытекает, что ток (комплекс- ный) в последовательной цепи всюду одинаков Упражнение 1.16. Используя формулы для импе- данса параллельного и Поспелова тельного соединения элементов, выведите формулы (разд. 1.12) для ем- кости двух конденсаторов, соединенных (а) парал- лельно. (6) последовательно. Подсказка: допустим, что в каждом случае конденсаторы имеют емкость С и С,. Запишите выражение для импеданса парал- лельно и Поспеловаiельно соединенных элементов и приравняйте его импедансу конденсатора с ем- костью С Найдите С Попробуем воспользоваться рекомен- дованным методом для анализа простей- шей цепи переменного тока, которая состоит из конденсатора, к которому приложено напряжение перхтенного тока. После этого кратко остановимся на вопросе о мощности в реактивных схемах (это будет последний кирпич в фунда- менте наших знаний) и рассмотрим прос- тую, но очень полезную схему АС- фильтра. Представим себе, что к силовой сети с напряжением 110 В (эффективное значе- ние) и частотой 60 Гц подключен конден- сатор емкостью 1 мкФ. Какой ток про- текает при этом через конденсатор? Вос- пользуемся обобщенным законом Ома: Z = —j/toC. Следовательно, ток можно определить следующим образом. 1 = U/Z Фаза напряжения произвольна, допустим I1 — А, т. е. 17(Т) = /1 cos од, где амплитуда А — НО у 2 ~ 156 В, тогда I = jtuCA ~ ~ 0,059 sin шт. Искомый ток имеет ампли- туду 59 мА (эффективное значение составляет 41,5 мА) и опережает напря- жение по фазе на 90 Результат соот- ветствует полученным ранее выводам Отметим, что если бы нас интересовала только амплитуда тока, то можно было бы не прибегать к комплексным числам если А = В С. то А = В С. где А. В С амплитуды комплексных чисел. То же самое справедливо и для произведения (см. упражнение 1.17). Для нашего случая I = L Z = ыСи. Иногда этот прием очень полезен. Как ни странно, конденсатор в нашем примере мощность не рассеивает. Его подключение к сети не приводит к увели-
Основы электроники 41 Рис. 1.49 При использовании синусоидального сигна- ла ток через конденсатор опережает напряжение по фазе на 90'. нению показаний счетчика электроэнер- гии. Разгадку этой «тайны» вы узнаете, прочитав следующий раздел. А затем мы продолжим анализ схем, содержащих резисторы и конденсаторы, с помощью обобщенного закона Ома. Упражнение 1.17. Докажите, что ели А = ВС то А = ВС, где А. В С-амплитуды комплексных чисел. Подсказка: представьте каждое комплексное число в форме А - Ае$. Мощность в реактивных схемах. Мгно- венное значение мощности, потребляемой любым элементом схемы, определяется произведением Р = UI. Однако в реак- тивных схемах, где напряжение U и ток I связаны между собой не простой про- порциональной зависимостью, просто перемножить их нельзя. Дело в том, что могут возникать странные явления, на- пример знак произведения может изме- няться в течение одного периода сигнала переменного тока. Такой пример показан на рис. 1.49. На интервалах А и С на конденсатор поступает некоторая мощ- ность (правда, скорость ее изменения переменна), и благодаря этому он заряжа- ется: накапливаемая конденсатором энер- гия уветичивается (мощность - это ско- рость изменения энергии). На интервалах PhD потребляемая мощность имеет отрицательный знак - конденсатор разря- жается Средняя мощность за период для нашего примера равна нулю; этим свойством обладают все реактивные элементы (индуктивности, конденсаторы и всевозможные их комбинации). Если вы знакомы с интегралами от тригоно- метрических функций, то следующее упражнение поможет вам доказать это свойство. Упражнение 1.18. (дополнительное). Докажите, что схема в среднем за полный период не потребляет мощности, если протекающий через нее ток сдвинут по фазе относительно питающего напряжения на 90 Как определить среднюю потребляе- мую мощность для произвольной схемы? В общем случае можно просуммировать произведения UI и разделить сумму на длительность истекшего интервала вре- мени. Иными словами. р= и (1)1(1) л, 1 о где Т- полный период времени. Практи- чески так мощность почти никогда не определяют. Нетрудно доказать, что средняя мощность определяется следую- щим выражением Р = Re(U*I) = Re(UI*), где LJ и I - эффективные комплексные значения напряжения и тока. Рассмотрим пример. Допустим, что в предыдущей схеме конденсатор питается синусоидальным напряжением, эффектив- ное значение которого равно 1 В. Для простоты будем выполнять все преобра- зования с эффективными значениями. Итак: U = 1, I = U/O’/coC), Р = Re [UI*] = = Re (/соС) = 0 Мы получили, что средняя мощность, как и утверждалось, равна нулю. А теперь рассмотрим схему, показан- ную на рис. 1.50. Выполним ряд преобра- зований: Z = R — j/oC, I = и Z = и0/[Л - (//©О] = UG [R - + + (1/ш2С 2)]. Р = Re(Ll*) = I' I R/[R2 + (1/ш2 С 2|]. Рис. 1.50.
42 Глава 1 В третьей строке преобразований при определении тока I мы умножили числи- тель и знаменатель на комплексное число, сопряженное знаменателю, для того что- бы получить в знаменателе действитель- ное число. Полученная величина меньше, чем произведение амплитуд U и I; ее отношение к этому произведению назы- вают коэффициентом мощности: |U||I| = L/g/[7?2 + (l/co2C2)]1/2, мощность коэффициент IU | |1| мощности R = [R2 + (1/со2С 2)]1/2 Коэффициент мощности-это косинус уг- ла, определяющего сдвиг фаз напряжения и тока, он лежит в диапазоне от 0 (для реактивной схемы) до 1 (для резистивной схемы). Если коэффициент мошности меньше 1, то это значит, что в схеме присутствует реактивный элемент. Упражнение 1.19. Докажите, что вся средняя мощ- ность предыдущей схемы рассеивается на резисторе. Для того, чтобы решить эту задачу, нужно определить величину отношения U 2r/R. Определите, чему будет равна эта мощность в ваттах, если цепь, состоящая из последовательно соединенных конденсатора ем- костью 1 мкФ и резистора сопротивлением 1 кОм, подключена к силовой сети с эффективным напряже- нием 110 В (частота 60 Гц). Коэффициент мощности играет не- маловажную роль в распределении боль- ших мощностей, так как реактивные токи не передают нагрузке никакой полезной мощности, зато вызывают нагрев в сопротивлениях проводов генераторов и трансформаторов (температура нагрева пропорциональна I2R) Бытовые потре- бители электроэнергии платят только за «действительную» потребляемую мощ- ность [Re(UI*)J, а промышленные потре- бители-с учетом коэффициента мощ- ности. Вот почему большие предприятия для погашения влияния индуктивных реактивных сопротивлений производст- венного оборудования (моторов) соору- жают специальные конденсаторные блоки. Упражнение 1.20. Покажите, что последовательное подключение конденсатора емкостью С = l/w2L к Рис. 1.51. Обобщенная схема делителя напряжения: пара электрических цепей с произвольным импедан- сом. последовательной ЛЬцепи делает коэффициент мощ- ности этой цепи равным единице. Затем рассмотрите параллельную цепь и параллельно подключенный конденсатор. Делители напряжения: обобщение. Прос- тейший делитель напряжения (рис. 1.5) состоит из пары последовательно соеди- ненных резисторов. Входное напряжение измеряется в верхней точке относительно земли, а выходное-в точке соединения резисторов относительно земли. От прос- тейшего резистивного делителя перейдем к более общей схеме делителя, если один или оба резистора заменим на конден- сатор или индуктивность, как на рис. 1.51 (в более сложной схеме присутствуют и R, и L. и С). Вообще говоря, в таком дели- теле отношение UBblJUBX не является постоянной величиной, а зависит от час- тоты. Анализ схемы выполняется без вся- ких хитроумных приемов I Евх/^ПОЛН' Z-ПОЛЯ — Zj + Z2, UBMX = Z2 = UBX[Z2/(Z1^Z2)]. Не будем сосредоточивать внимание на полученном результате, рассмотрим луч- ше некоторые простые, но очень важные примеры. 1.19. /?С-фильтры Благодаря тому что импеданс конденса- тора. равный Zc — —j/d)C, зависит от час- тоты, с помощью конденсаторов и ре- зисторов можно строить частотно-зави- симые делители напряжения, которые бу-
Основы электроники 43 С Рис. 1-52. Фильтр высоких частот дут пропускать только сигналы нужной частоты, а все остальные подавлять. В этом разделе вы познакомитесь с приме- рами простейших 7?С-фильтров, к кото- рым мы будем неоднократно обращаться в дальнейшем. В гл. 5 и приложении 3 описаны более сложные фильтры. фильтры высоких частот. На рис. 1.52 показан делитель напряжения, состоящий из конденсатора и резистора. Согласно закону Ома для комплексных величин. UM = UBX ЦВх[/? + (//соС)] Z^,. R - (//o>Q R2 + 1/о>2С2 (Окончательный результат получен после умножения числителя и знаменателя на комплексное число, сопряженное знамена- телю.) Итак, напряжение на резисторе R равно = IZR = IR - UBX [7? + (у'/соС)] 7? R2 + (Pco2C2) Чаще всего нас интересует не фаза, а амплитуда Свых: ^»ых = (ивыхив*ых)1/2 = /?/[/?2 + (1/(о2С 2)]1/2. Сравните полученный результат с выра- жением для резистивного делителя: ^«х= Пвх7?1/(7?1 + Т?2). Векторное представление импеданса ЯС-пепи (рис. 1.53) показано на рис. 1.54 2 поли ” jlUC 0=arctg [(-1/wc)/Rl Рис. 1.54. Рис. 1.55. Частотная характеристика фильтра высо- ких частот. Итак, если не принимать во внимание сдвиг фаз, а рассматривать только мо- дули комплексных амплитуд, то «отклик» схемы будет определяться следующим образом: t/вых = свх R/[R2 + (1/со2 С 2)]1/2 = Свх2тг/АС/[1 + (2л/7?С)2]1,2. График этой зависимости представлен на рис. 1.55 Такой же результат мы бы получили, если бы определили отношение модулей импедансов как в упражнении 1.17 и в примере перед этим упражнением; числитель представляет собой модуль импеданса нижнего плеча делителя R, а знаменатель - модуль импеданса последо- вательного соединения R и С. Как вы видите, на высоких частотах выходное напряжение приблизительно равно входному (со 1/7?С), а на низких частотах выходное напряжение уменьша- ется до нуля. Мы пришли к важному результату, запомните его. Подобная схема, по понятным причинам, называ- ется фильтром высоких частот. На прак- тике ее используют очень широко. На- пример, в осциллографе предусмотрена возможность связи по переменному току между исследуемой схемой и входом ос- циллографа. Эта связь обеспечивается с помощью фильтра высоких частот, име- ющего перегиб характеристики в области 10 Гц (связь по переменному току исполь- зуют для того, чтобы рассмотреть не- большой сигнал на фоне большого напряжения постоянного тока). Инжене- ры часто пользуются понятием «точки излома» —3 дБ для фильтра (или любой другой схемы, которая ведет себя как фильтр)! В случае простого 7?С-фильтра высоких частот точка излома —3 дБ
44 Глава 1 Рис. 1.57. Рис. 1.58. Фильтр низких частот. Рис. 1.56. а-Изменение реактивного сопротивления индуктивностей и конденсаторов в зависимости от частоты. Все декады одинаковы и отличаются лишь масштабом. б-Увеличенное изображение одной де- кады из графика А. график построен для стандартных компонентов, имеющих точность 20%. определяется выражением: /3дБ = 1/2л/?С. Обратите внимание, что конденсатор не пропускает ток (f = 0). Самый распрост- раненный пример использования конден- сатора-это использование его в качестве блокирующего конденсатора постоянного тока. Если возникает необходимость обеспечить связь между усилителями, то почти всегда прибегают к помощи кон- денсатора. Например, у любого усили- теля звуковой частоты высокого класса все входы имеют емкостную связь, так как заранее не известно, какой уровень постоянного тока будут иметь входные сигналы. Для обеспечения связи необхо- димо подобрать R и С таким образом. 0,01 мкФ R чтобы все нужные частоты (в данном случае 20 Гц-20 кГц) поступали на вход без потерь (без деления на входе). Часто, например при конструировании фильтров, возникает необходимость определить импеданс конденсатора на некоторой частоте. На рис. 1.56 представ- лен очень полезный график, охватываю- щий большой диапазон емкостей и частот для зависимости | Z | = 1 /2л/ С. В качестве примера рассмотрим фильтр, показанный на рис. 1.57. Это фильтр высоких частот с точкой перегиба 3 дБ на частоте 15,9 кГц. Импеданс на- грузки. подключаемой к фильтру, должен быть значительно больше 1 кОм. иначе нагрузка будет искажать выходное напряжение фильтра. Источник сигнала должен обеспечивать возможность под- ключения нагрузки 1 кОм без значитель- ной аттенюации (потери амплитуды сиг- нала). иначе фильтр будет искажать выход источника сигнала. Фильтры низких частот. Если поменять местами R и С (рис. 1.58), то фильтр будет вести себя противоположным обра- зом в отношении частоты. Можно пока- зать. что Бвых = [1/(1 + со2Я2С2)1/2] 6/вх. График этой зависимости представлен на рис. 1.59 Такой фильтр называют фильт- ром низких частот. Точка —3 дБ на ха- рактеристике фильтра находится на час- Рис. 1.59 Частотная характеристика фильтра низких частот.
Основы электроники 45 готе/= 1/2л/?С. Фильтры низких частот находят очень широкое применение. На- пример, их используют для устранения влияния близлежащих радио- и телевизи- онных станций (550 кГц-800 МГц), на работу усилителей звуковых частот и дру- гих чувствительных электронных прибо- ров. Упражнение 1.21. Докажите справедливость выра- жения для выходного напряжения фильтра низких частот Выход фильтра низких частот можно рассматривать в качестве самостоятель- ного источника сигналов. При использо- вании идеального источника напряжения переменного тока (с нулевым импедан- сом) фильтр со стороны выхода низких частот имеет сопротивление R (при рас- четах полных сопротивлений идеальный источник сигналов можно заменить ко- ротким замыканием, т. е. его нулевым импедансом для малого сигнала). В вы- ходном импедансе фильтра преобладает емкостная составляющая, и на высоких частотах он становится равным нулю. Для входного сигнала фильтр представ- ляет собой нагрузку, состоящую на низ- ких частотах из сопротивления R и сопро- тивления нагрузки, а на высоких часто- тах-нагрузку, равную просто сопротив- лению R На рис. 1.60 изображена также частот- ная характеристика фильтра низких час- тот, но в более общепринятом виде-для вертикальной и горизонтальной осей ис- пользован логарифмический масштаб. Можно считать, что по вертикальной оси откладываются децибелы, а по горизон- тальной-октавы (или декады). На таком графике равные расстояния соответст- вуют равным отношениям величин. В ви- де графика изображен также фазовый сдвиг, при этом для вертикальной оси (градусы) использован линейный мас- штаб, а для оси частот-логарифми- ческий. Такой график удобен для анализа частотной характеристики даже в случае значительной аттенюации (справа): целый ряд таких графиков представлен в гл. 5, посвященной изучению активных фильт- ров Отметим, что при значительной ат- тенюации изображенная на графике кри- Рис. 1.60. Фазочастотная и амплитудно-частотная ха- рактеристики фильтра низких частот, изображенные в логарифмическом масштабе. В точке 3 дБ фазовый сдвиг составляет 45: и в пределах декады изменения частоты лежит в пределах 6' от асимптотическою значения. вая вырождается в прямую линию с на- клоном — 20 дБ/декада (инженеры пред- почитают выражение « — 6 дБ/октава»), Отметим также, что фазовый сдвиг плав- но изменяется от 0е (на частотах ниже точки перегиба) до 90 (на частотах су- щественно выше точки перегиба), а в точ- ке — 3 дБ составляет 45 . Практическое правило для односекционных /?С-фильт- ров говорит о том. что фазовый сдвиг составляет ~6° от асимптот в точках 0'1/здБ и Ю/здБ. Упражнение 1.22. Докажите последнее утвержде- ние Возникает интересный вопрос: можно ли сделать фильтр с какой-либо другой заданной амплитудной характеристикой и какой-либо другой заданной фазовой характеристикой. Пусть вас это не удив- ляет. но ответить можно только отрица- тельно - нельзя Фазовая и амплитудная характеристики для всех возможных фильтров подчиняются законам причин- ной связи (т.е. характеристика является
46 Глава 1 следствием определенных свойств, но не их причиной). Частотные характеристики дифференци- рующих и интегрирующих /?Г-цепей. Схе- ма дифференцирующей 7?С-цепи, которую мы рассмотрели в разд. 1.14, имеет такой же вид, как и схема фильтра высоких частот, приведенная в настоящем разделе. Чем же считать такую схему, зависит от того, что вас больше интересует: преобра- зование сигналов во времени или частот- ная характеристика. Полученное ранее временное условие правильной работы схемы (Свых« L BX) можно сформулиро- вать иначе, применительно к частотной характеристике: для того чтобы выходной сигнал был небольшим по сравнению с входным, частота должна быть значи- тельно ниже, чем в точке — 3 дБ. В этом легко убедиться. Допустим, что входной сигнал равен Евх = sin сот Воспользуемся уравнением, которое мы получили ранее для выхода дифференциатора: d = RC—sm сое = соДС cos со/. dt Отсюда Бвых « С7ВХ, если со/?С«1, т. е. RC « 1/со. Если входной сигнал содержит некоторый диапазон частот, то условие должно выполняться для самых высоких частот входного диапазона. Схема интегрирующей /?С-цепи (разд. 1.15) имеет такой же вид, как и схема фильтра низких частот: аналогично в хорошем интеграторе самые низкие частоты входного сигнала должны су- щественно превышать частоту в точке -3 дБ. Индуктивности и конденсаторы. Индук- тивности, также как и конденсаторы, в сочетании с резисторами образуют схемы фильтров низких (или высоких) частот. Однако на практике RL -фильтры низких и высоких часто! встречаются редко. Это связано с тем, что индуктивности более громоздки и дороги, а работают хуже, чем конденсаторы (их характеристики более существенно отличаются от иде- альных). Если есть возможность выбора, то предпочтение лучше отдать конденса- тору. Исключением из этой общей реко- мендации являются ферритовые бусины (маленькие торроидальные сердечники) и дроссели в высокочастотных схемах. Несколько бусин нанизывают на провод, благодаря этому соединение, выполнен- ное с помощью провода, становится в некоторой степени индуктивным; импе- данс на высоких частотах увеличивается и предотвращает «колебания» в схеме, при этом в отличие от /?С-фильтра актив- ное сопротивление схемы не увеличива- ется. Радиочастотный дроссель-это ка- тушка, состоящая из нескольких витков провода и ферритового сердечника и ис- пользуемая с той же целью в радио- частотных схемах. 1.20. Векторные диаграммы Для анализа реактивных схем очень удо- бен один графический метод. В качестве примера рассмотрим тот факт, что ЯС-фильтр на частоте f — l/2nRC обес- печивает ослабление на 3 дБ. Этот ре- зультат мы получили в разд. 1.19. Он справедлив как для фильтров высоких частот, так и для фильтров низких частот. На первый взгляд этот факт может пока- заться странным, так как на этой частоте реактивное сопротивление конденсатора равно сопротивлению резистора и можно предположить, что ослабление должно составлять 6 дБ К такому же результату вы придете, если замените конденсатор резистором с таким же, как у конденса- тора. импедансом (напомним, что ослаб- ление 6 дБ означает уменьшение напря- жения вдвое). Дело в том, что нужно учитывать реактивность конденсатора и в этом как раз может помочь векторная диаграмма (рис. 1.61). Вдоль осей откла- дываются действительная (активная или резистивная) и мнимая (реактивная или
Основы электроники 47 емкостная) компоненты импеданса. На такой же плоскости можно изображать напряжение (комплексное) в последова- тельных цепях подобного типа, так как ток в такой цепи во всех точках одинаков. Итак, в нашей схеме (будем рассматри- вать ее в качестве АС-делителя напряже- ния) входное напряжение (приложенное к последовательному соединению резис- тора 2? и конденсатора С) пропорцио- нально длине гипотенузы, а выходное напряжение (снимаемое с резистора R)- длине стороны R треугольника. Диа- грамма соответствует такой частоте, при которой модуль реактивного сопро- тивления конденсатора равен R, т. е. f — l/2nRC. Из диаграммы видно, что отношение выходного напряжения ко входному составляет 1/^2, т.е. -3 дБ. Угол между векторами определяет фа- зовый сдвиг между входным и выходным напряжением. Например, в точке 3 дБ выходная амплитуда равна входной, по- деленной на у/2, а сам выходной сигнал опережает входной по фазе на 45°. Гра- фический метод дает наглядное представ- ление о величинах амплитуд и соотноше- нии фаз в ALC-цепях. Например, с по- мощью этого метода можно определить характеристику фильтра высоких частот, которую мы уже получили раньше с по- мощью алгебраических преобразований. Упражнение 1.23. Пользуясь методом векторной диаграммы, получите характеристику АС-фильтра высоких частот' R U ------------------и “х [я2 + (1/©2с2)Г'2 Унрашенне 1.24. На какой частоте ослабление ЯС-фильтра низких частот будет равно 6 дБ (выход- ное напряжение равно половине входного)? Чему равен фазовый сдвиг на этой частоте? Упражнение 1.25. Пользуясь методом векторной Диаграммы, получите характеристику фильтра низких частот, выведенную выше алгебраическим путем. В следующей главе (разд. 2.08) приво- дится интересный пример использования векторной диаграммы для построения фазосдвигающей схемы, дающей посто- янную амплитуду. 1.21. «Полюсы» и наклон в пределах октавы Еще раз рассмотрим характеристику АС-фильтра низких частот (рис. 1.59). Вправо от точки перегиба графика выход- ная амплитуда убывает пропорционально \/f В пределах одной октавы (одна окта- ва, как в музыке, соответствует измене- нию частоты вдвое) выходная амплитуда уменьшается вдвое, т.е. ослабление сос- тавляет — 6 дБ; следовательно, простой АС-фильтр обеспечивает ослабление 6 дБ/октаву. Можно конструировать фильтры, состоящие из нескольких RC- секций: тогда получим значения спада 12 дБ/октава (для двух АС-секций), 18 дБ/октава (для трех секций) и т. д. Так обычно описывают поведение фильтра на частотах, лежащих за пределами полосы пропускания. Если фильтр состоит, на- пример, из трех АС-секций, то его часто называют «трехполюсным». (Слово «по- люс» связано с методом анализа схем, который не рассматривается в этой книге. В нем используется комплексная переда- точная функция на комплексной частот- ной плоскости, которую инженеры назы- вают 5-ПЛОСКОСТЬЮ.) При работе с многокаскадными фильт- рами следует учитывать одну особен- ность. Каждый новый каскад существенно нагружает предыдущий (так как они иден- тичны между собой), и это приводит к тому, что результирующая характеристи- ка не является простой совокупностью характеристик составляющих каскадов. Напомним, что при выводе характерис- тики простого АС-фильтра мы услови- лись. что источник имеет нулевой импе- данс, а нагрузка - бесконечный Один из способов устранения влияния каскадов друг на друга состоит в том, чтобы каж- дый последующий каскад имел значи- тельно больший импеданс, чем предыду- щий. Еше эффективнее использовать в ка- честве межкаскадных буферов активные схемы на транзисторах или операционных усилителях (ОУ), т.е. строить активные фильтры. Этим вопросам посвящены гл. 2-5.
48 Глава 1 1.22. Резонансные схемы и активные фильтры Конденсаторы, которые используются в специальных схемах, называемых актив- ными фильтрами, а также в сочетании с индуктивностями, позволяют «заост- рять» частотную характеристику схемы (по сравнению с пологой характеристикой /?С-фильтра характеристика такой схемы на некоторой частоте имеет большой рез- кий всплеск). Подобные схемы находят применение в устройствах, работающих в диапазоне звуковых частот и радио- частот. Итак, познакомимся с LC-цепями (подробному анализу этих цепей и актив- ных фильтров посвящены гл. 5 и прило- жение 3). Начнем со схемы, представленной на рис. 1.62. На частоте / реактивное сопро- тивление LC-контура равно 1 _ 1 1 _ 1 соС Zc j / 1 \ = Д(оС------ I, \ соь/ или ZLC = j/[(7/coL) — соС]. LC-контур в сочетании с резистором R образует делитель напряжения, в связи с тем, что индуктивность и конденсатор противоположным образом реагируют на изменение частоты, импеданс параллель- Рис. 1.62. Резонансная LC-схема: широкополосный фильтр. Рис. 1.63. Рис. 1.64 Узкополосный режекторный LC-фильтр («ловушка»). ной LC-цепи на резонансной частоте /0 = l/2it(LC)1/2 стремится к бесконечнос- ти-на характеристике при этом значении частоты должен наблюдаться резкий всплеск. Г рафик такой характеристики представлен на рис. 1.63. В действительности пик характеристики сглажен за счет потерь в индуктивности и конденсаторе, однако если схема скон- струирована хорошо, то эти потери очень невелики. Если же хотят специально сгла- дить характеристику, то в схему вклю- чают дополнительный резистор, ухудша- ющий добротность контура Q. Такая схе- ма называется параллельным резонанс- ным LC-контуром или избирательной схемой. Она широко используется в радиотехнике для выделения из всего час- тотного диапазона сигналов некоторой частоты усиления (L или С могут быть переменными, и с их помощью можно настраивать резонансный контур на опре- деленную частоту). Чем выше импеданс источника, тем острее пик характеристи- ки; как вы вскоре убедитесь, в качест- ве источника принято использовать устройство типа источника тока Коэф- фициент добротности Q позволяет оцени- вать характеристику контура: чем больше добротность, тем острее характеристика Добротность равна резонансной частоте, поделенной на ширину пика, определен- ную по точкам —3 дБ. Для параллельной L ЕС-схемы Q = a^RC. Другой разновидностью LC-схем является последовательная LC-схема (рис. 1.64). Используя выражение для импеданса, можно показать, что импеданс последовательной LC-схемы стремится к нулю на частоте /0 = 1/2ti(LC)1/2; такая схема на резонансной частоте или вблизи
Основы электроники 49 нее как бы «захватывает» сигнал и зазем- ляет его. Эта схема, так же как и предыду- щая, применяется в основном в радио- технике. На рис. 1.65 изображена ее характеристика. Для последовательной RLC-схемы Q = cooL/7? Упражнение 1.26. Выведите выражение для характеристики (определяющей зависимость отноше- ния от частоты) схемы с последовательным LC-контуром, показанной на рис. 1.64 1.23. Другие примеры использования конденсаторов Конденсаторы являются необходимым компонентом не только для фильтров, резонансных, дифференцирующих и ин- тегрирующих схем, но и для ряда других немаловажных схем Более подробно мы поговорим об этих схемах позже, а сейчас просто ознакомимся с ними Шунтирование. Импенданс конденсато- ра уменьшается с увеличением частоты. На этом основано использование конден- сатора в качестве шунта. Бывают такие случаи, что на некоторых участках схемы должно присутствовать только напряже- ние постоянного или медленно меняюще- гося тока. Если к тому участку схемы (обычно резистору) параллельно подклю- чить конденсатор, то все сигналы пере- менного тока на резисторе будут устране- ны. Конденсатор выбирают так чтобы его импеданс был малым для шунтируе- мого сигнала. В последующих главах вы встретите множество примеров шунтиро- вания сигналов с помощью конденсатора. Фильтрация в источниках питания. Обычно, говоря о фильтрации в источни- ках питания, имеют в виду накопление энергии. Практически при фильтрации происходит шунтирование сигналов. В электронных схемах обычно используют напряжение постоянного тока, которое получают путем выпрямления напряжения переменного тока сети (процесс выпрям- ления мы рассмотрим дальше в этой гла- ве). Часть составляющих входного напря- жения, которое имело частоту 60 (50) Гц, остается и в выпрямленном напряжении, от них можно избавиться, если преду- смотреть шунтирование с помощью больших конденсаторов. Шунтирующие конденсаторы-это как раз те круглые блестящие элементы, которые можно увидеть внутри большинства электронных приборов. О том, как конструировать ис- точники питания, мы поговорим позже в этой главе, а затем в гл. 6 еще раз вернемся к этому вопросу. Синхронизация и генерация сигналов. Если через конденсатор протекает посто- янный ток. то при заряде конденсатора формируется линейно нарастающий сиг- нал. Это явление используют в генера- торах линейно-изменяющихся и пилооб- разных сигналов, в генераторах функций, схемах развертки осциллографов, в ана- лого-цифровых преобразователях и схе- мах задержки. Для синхронизации ис- пользуют также 7?С-цепи, и на их основе строят цифровые схемы задержки (жду- щие мультивибраторы). Во многих облас- тях электроники используют конденсато- ры для синхронизации и генерации сигна- лов, и именно об этих применениях кон- денсаторов вы более подробно узнаете из гл. 3, 5, 8 и 9. L24. Обобщенная теорема Тевеннна об эквивалентном преобразовании (эквива- лентном генераторе) Для схем, включающих конденсаторы и индуктивности, теорема об эквивалент- ном преобразовании должна быть сфор- мулирована следующим образом: всякая схема, имеющая два вывода и содержа- щая резисторы, конденсаторы, индуктив- ности и источники сигналов, эквивалентна цепи, представляющей собой последова- тельное соединение одного комплексного импеданса и одного источника сигнала. Как и прежде, эквивалентный импеданс и источник определяют по выходному напряжению разомкнутой цепи и по току короткого замыкания.
50 Глава 1 ДИОДЫ И ДИОДНЫЕ СХЕМЫ 1.25. Диоды Элементы, которые мы рассматривали до сих пор, относятся к линейным. Это зна- чит, что удвоение приложенного сигнала (скажем, напряжения) вызывает удвоение отклика (скажем, тока). Этим свойством обладают даже реактивные элементы, конденсаторы и индуктивности. Рассмот- ренные элементы являются также пассив- ными, т. е. они не имеют встроенного источника энергии. И, кроме того, все эти элементы имеют по два вывода. Диод (рис. 1.66) представляет собой пассивный нелинейный элемент с двумя выводами. Вольт-амперная характерис- тика диода показана на рис. 1.67. (При- держиваясь принятого нами подхода, не будем объяснять физику явлений, опреде- ляющих функционирование этого эле- мента.) На условном обозначении направление стрелки диода (так обозначают анод эле- мента) совпадает с направлением тока Например, если через диод в направлении от анода к катоду протекает ток величи- ной 10 мА, то анод на 0,5 В более поло- жителен, чем катод; эта разница напряже- ний называется «прямым напряжением диода». Обратный ток для диодов общего назначения измеряется в наноамперах (обратите внимание на разный масштаб измерений по оси абсцисс для прямого и обратного тока), и его, как правило, можно не принимать во внимание до тех пор, пока напряжение на диоде не достиг- нет значения напряжения пробоя (это напряжение называют также пиковым об- ратным напряжением). Для диодов обще- го назначения типа 1N914 напряжение пробоя составляет обычно 75 В. (Как пра- вило, на диод подают такое напряжение, которое не может вызвать пробой, исклю чение составляет упомянутый ранее зе- неровский диод.) Чаще всего падение напряжения на диоде, обусловленное Анод Катод Рис. 1.66. Диод. Рис. 1.67 Вольт-амперная характеристика диода. прямым током через него, составляет от 0,5 до 0,8 В. Таким падением напряжения можно пренебречь, и тогда диод можно рассматривать как проводник, пропуска- ющий ток только в одном направлении К другим важнейшим характеристикам, отличающим существующие типы диодов друг от друга, относят: максимальный прямой ток, емкость, ток утечки и время восстановления обратного сопротивления (см. табл. 1.1, в которой приведены характеристики некоторых типов диодов). Прежде чем начинать рассматривать схемы, содержащие диоды, отметим два момента 1) диод не обладает сопротив- лением в указанном выше смысле (не подчиняется закону Ома); 2) схему, со- держащую диоды, нельзя заменить экви- валентной. 1.26. Выпрямление Выпрямитель преобразует переменный ток в постоянный: выпрямительные схе- мы являются самыми простыми и наибо- лее полезными в практическом отноше- нии диодными схемами (иногда диоды даже называют выпрямителями). Прос- тейшая выпрямительная схема показана на рис 1.68. Символ «Перем.» использу- ется для обозначения источника перемен- ного напряжения; в электронных схемах он обычно используется с трансформато- ром, питающимся от силовой линии пере менного тока. Для синусоидального входного напряжения значительно пре-
Средние значения за Время восста- неог раниченный новления интервал времени Пиковые значения обратного со- -------------------------------- противления, а) (7иЛр (макс.) шачение донущимою периодическою пиковою напряжения при 25 С и токе утечки 10 мкА б> 1^р (макс.) максимальный обратный ток при напряжении (макс.) и гемпершуре окружающей среды 100'С в) Мощные диоды 1N1183A и 1N1183RA «реверсированы» конструктивно-у одного на корпусе « + », у другою « —». Прим ред
52 Глава 1 Рис. 1.68. Однополупериодный выпрямитель. витающего прямое напряжение диода (обычно в выпрямителях используют кремниевые диоды, для которых прямое напряжение составляет 0,6 В), выходное напряжение будет иметь вид, показанный на рис. 1 69. Если вы вспомните, что диод-это проводник, пропускающий ток только в одном направлении, то нетрудно понять, как работает схема выпрямителя. Представленная схема называется одно- полупериодным выпрямителем, так как она использует только половину входного сигнала (половину периода). На рис. 1.70 представлена схема двух- полупериодного выпрямителя, а на рис. 1.71 показан ее выходной сигнал. Из графика видно, что входной сигнал ис- пользуется при выпрямлении полностью На графике выходного напряжения наблюдаются интервалы с нулевым зна- чением напряжения, они обусловлены Рис. 1.70. Двухполупериодный мостовой выпрями- тель. Рис. 1.71. прямым напряжением диодов. В рассмат- риваемой схеме два диода всегда подклю- чены последовательно к входу; об этом следует помнить при разработке низко- вольтных источников питания 1.27. Фильтрация в источниках питания Выпрямленные сигналы, полученные в предыдущем разделе, еще не могут быть использованы как сигналы постоянного тока. Дело в том, что их можно считать сигналами постоянного тока только в том отношении, что они не изменяют свою полярность. На самом деле в них при- сутствует большое количество «пульса- ций» (периодических колебаний напряже- ния относительно постоянного значения), которые необходимо сгладить для того, чтобы получить настоящее напряжение постоянного тока Для этого схему выпрямителя нужно дополнить фильтром низких частот (рис. 1.72). Вообще говоря, последовательный резистор здесь не ну- жен, и его, как правило, не включают в схему (если же резистор присутствует, то он имеет очень маленькое сопротивле- ние и служит для ограничения пикового тока выпрямителя). Дело в том, что диоды предотвращают протекание тока разряда конденсаторов, и последние слу- жат скорее как накопители энергии, а не как элементы классического фильтра низ- ких частот. Энергия, накопленная конден- сатором. определяется выражением W= 1/2CU 2. Если емкость С измеряется в фарадах, а напряжение 17-в вольтах, то энергия W будет измеряться в джоулях (в ваттах в 1 с). Конденсатор подбирают так чтобы выполнялось условие RBC» (где /- частота пульсации, в нашем случае 120 Гц). При этом происходит ослабление Рис. 1.72. ---------- R Перем + —[----1 Двухполу- период- ный мост —о Нагрузка —о Перем. -
Основы электроники 53 Двойная амплиту- да пульсаций I Выходной сигнал, к фильтру подклю- —у X \ чена нагрузка / \ / \ Выходной сигнал / \ / \ /в отсутствие I ________\-L---------. конденса- I тора Рис. 1.73. Определение напряжения пульсаций источ- ника. пульсаций за счет того, что постоянная времени для разрядки конденсатора су- щественно превышает время между пере- загрузками В следующем разделе мы поясним это утверждение Определение напряжения пульсаций. Приблизительно определить напряжение пульсаций нетрудно, особенно если оно невелико по сравнению с напряжением постоянного тока (рис. 1.73). Нагрузка вызывает разряд конденсатора, который происходит в промежутке между циклами (или половинами циклов для двухполу- периодного выпрямления) выходного сигнала. Если предположить, что ток через нагрузку остается постоянным (это справедливо для небольших пульсаций), то АС/ = (I/O) А? (напомним, что / = = C(dU/dt). Подставим значение 1// (или 1/2/ для двухполупериодного выпрямле- ния) вместо Az (такая замена допустима, так как конденсатор начинает снова заря- жаться меньше, чем через половину цикла). Получим W = /нагр//с (однополупериодное выпрямление), W = /нагр/2/С лвухполупериодное выпрямление). (Наш преподавательский опыт говорит о том, что студенты любят заучивать эти Уравнения! Неофициальный опрос, прове- денный авторами показал, что из каждых Двух опрошенных инженеров два не пом- нят эти уравнения Так что не трудитесь напрасно над бесполезным заучиванием а лучше научитесь выводить эти зависи- мости ) Если воспользоваться экспоненциаль- ной функцией, определяющей изменение напряжения на конденсаторе при его раз- ряде, то результат получим неправиль- ным по следующим причинам: 1. Разряд конденсатора описывается экс- поненциальной зависимостью только в том случае, если нагрузка резистивна; в большинстве случаев это не так. Часто на выходе выпрямителя устанавливают ста- билизатор напряжения, который обеспе- чивает постоянство выпрямленного напряжения - он выступает в роли нагруз- ки, через которую протекает постоянный ток. 2. Для источников питания используют, как правило, конденсаторы с точностью 20% и более. При разработке схем сле- дует учитывать разброс параметров ком- понентов и для страховки производить расчет для наиболее неблагоприятного сочетания их значении. В таком случае, если считать, что в начальный момент разряд конденсаторов происходит по линейному закону, прибли- жение будет весьма точным, особенно если пульсации невелики. Неточности приближения приводят лишь к некоторой перестраховке - они проявляются в завы- шении расчетного напряжения пульсаций по сравнению с его истинным значением Упражнение 1.27. Разработайте схему двухполу- периодного выпрямителя, обеспечивающего на выхо- де напряжение постоянного тока с амплитудой 10 В Напряжение пульсаций не должно превышать 0.1 В (двойной амплитуды). Ток в нагрузке составляет 10 мА Выберите соответствующее входное напряже- ние переменного тока учитывая что падение напря жения на диоде составляет 0,6 В. При расчете пра- вильно задайте частоту пульсаций. 1. 28. Схемы выпрямителей для источников питания Двухполупериодная мостовая схема. На рис. 1.74 показана схема источника пита- ния постоянного тока с мостовым выпря- мителем, который мы только что рас- смотрели Промышленность изготавли- вает мостовые схемы в виде функцио- нальных модулей. Маленькие мостовые модули рассчитаны на предельный ток 1 А и напряжение пробоя от 100 до 600 В. а иногда до 1000 В. Для больших мосто- вых выпрямителей предельный ток равен 25 А и выше. В табл. 6.4 приведены пара- метры нескольких типов таких модулей.
54 Глава 1 Рис. 1.74. Схема мостового выпрямителя. Значок по- лярности и электрод в виде дуги служат для обозначе- ния поляризованного конденсатора, заряжать его с другой полярностью недопустимо. Двухполупериодный однофазный вы- прямитель. Схема двухполупериодного однофазного выпрямителя приведена на рис. 1.75. Выходное напряжение здесь в 2 раза меньше, чем в схеме мостового выпрямителя. Схема двухполупериодного однофазного выпрямителя не является эффективной с точки зрения использова- ния трансформатора, так как каждая по- ловина вторичной обмотки используется только в одном полупериоде. В связи с этим ток в обмотке за этот интервал времени в 2 раза больше, чем в простой двухполупериодной схеме. Согласно за- кону Ома, температура нагрева обмотки пропорциональна произведению I2R, зна- чит, за время в 2 раза меньшее нагрев будет в 4 раза больше или в среднем больше по сравнению с эквивалентной двухполупериодной схемой. Трансформа- тор для этой схемы следует выбирать так, чтобы его предельный ток был в 1,4 (в у/1) раз больше, чем у трансформатора мостовой схемы, в противном случае та- кой выпрямитель будет более дорогим и более громоздким, чем мостовой Рис. 1.75. Двухполупериодный выпрямитель на осно- ве трансформатора со средней точкой. Упражнение 1.28. Это упражнение поможет вам разобраться в механизме нагрева обмотки, пропорци- онального I2R, и понять, в чем проявляется недоста- ток однофазного выпрямителя. На какое предельное минимальное значение тока должен быть расчитан плавкий предохранитель, чтобы в цепи мог протекать ток, изменяющийся согласно графику, показанному на рис. 1.76, и имеющий среднюю амплитуду 1 А? Подсказка: предохранитель «перегорает», когда в цепи начинает протекать ток, превышающий предель- ное значение тока предохранителя. При этом в предо- хранителе расплавляется металлический проводник (температура его нагрева пропорциональна I2R). Допустим, что и в нашем случае температурная постоянная времени для плавкого предохранителя значительно больше, чем период прямоугольных колебаний, т. е. предохранитель реагирует на значение I2, осредненное за несколько периодов входного сигнала. Расщепление напряжения питания. Широко распространена мостовая одно- фазная двухполупериодная схема выпря- мителя, показанная на рис. 1.77. Она позволяет рсщеплять напряжение питания (получать на выходе одинаковые напря- жения положительной и отрицательной полярности). Эта схема эффективна, так как в каждом полупериоде входного сиг- Рис. 1.77. Формирование двухполярного (расщеплен- ного) напряжения пи ания Рис. 1.78. Удвоитель напряжения.
Основы электроники 55 Рис. 1.79. Схемы умножения напряжения; наличие источника с плавающим напряжением в представленных схемах не обязательно. нала используются обе половины вторич- ной обмотки. Выпрямители с умножением напряжения. Схема, показанная на рис. 1.78, называ- ется удвоителем напряжения. Для того чтобы понять, как работает эта схема, представьте, что она состоит из двух последовательно соединенных выпрями- телей. Фактически эта схема является двухполупериодным выпрямителем, так как она работает в каждом полупериоде входного сигнала - частота пульсаций в 2 раза превышает частоту колебаний питающей сети (для сети с частотой 60 Гц, как в США, частота пульсаций составляет 120 Гц). Разновидности этой схемы позволяют увеличивать напряжение в 3, 4 и более раз. На рис. 1.79 показаны схемы выпрями- телей, обеспечивающие увеличение напря- жения в 2, 3 и 4 раза, в которых один конец обмотки трансформатора заземлен. 1. 29. Стабилизаторы напряжения Путем увеличения емкости конденсатора можно уменьшить пульсации напряжения ло требуемого уровня. Такой способ борьбы с пульсациями имеет два недос- татка: Г Конденсаторы нужной емкости могут оказаться недопустимо громоздкими и Дорогими 2. Даже в том случае, когда пульсации уменьшены до пренебрежимо малого Уровня, наблюдаются колебания выход- ного напряжения, обусловленные уже Другими причинами, например, измене- ния входного напряжения сети ведут к флуктуациям выходного напряжения постоянного тока. Кроме того, изменение выходного напряжения может быть выз- вано изменением тока нагрузки, так как трансформатор, диод и другие элементы обладают конечным внутренним сопро- тивлением. Иначе говоря, для эквива- лентной схемы источника питания посто- янного тока справедливо соотношение R>0. Более правильный подход к разработке источника питания состоит в том, чтобы с помощью конденсатора уменьшить пульсации до некоторого уровня (чтобы они составляли, например, 10% от напряжения постоянного тока), а затем, для устранения остатков пульсаций, ис- пользовать схему с обратной связью Такая схема содержит управляемый ре- зистор (транзистор), подключаемый последовательно к выходу схемы, за счет которого уровень выходного напряжения поддерживается постоянным (рис. 1.80). Подобные стабилизаторы напряжения используют почти повсеместно в качестве источников питания для электронных схем. В настояшее время промышлен- ность выпускает стабилизаторы напряже- ния в виде законченных, готовых к ис- Рис. 1.80. Стабилизатор напряжения постоянного тока.
56 Глава 1 пользованию модулей. На основе стаби- лизатора напряжения можно построить удобный для работы источник питания, которому не страшны никакие опасности (короткие замыкания, перегрев и т.п.) и характеристики которого удовлетворяют самым высоким требованиям, предъявля- емым к источнику напряжения (например, внутреннее сопротивление такого источ- ника измеряется в миллиомах). Источни- ки питания постоянного тока со стабили- заторами напряжения мы рассмотрим в гл. 6. 1.30. Примеры использования диодов Выпрямление сигналов. Бывают такие случаи, помимо тех, что мы рассмотрели выше, когда сигнал должен иметь только одну полярность. Если входной сигнал не является синусоидальным, то говорить о его выпрямлении не принято, хотя про- цесс выпрямления применим и к нему. Например, требуется получить последо- вательность импульсов, совпадающих с моментами нарастания прямоугольного сигнала. Проще всего продифференциро- вать прямоугольный сигнал, а затем выпрямить его (рис. 1.81). Следует всегда иметь в виду, что прямое напряжение диода составляет приблизительно 0,6 В. На выходе нашей схемы, например, сиг- нал будет получен лишь в том случае, когда двойная амплитуда прямоуголь- ного входного сигнала будет не меньше 0,6 В. Это условие накладывает опреде- ленные ограничения на разработку схемы, но известны приемы, с помощью которых их можно преодолеть. Например, можно воспользоваться диодом. Шоттки, для ко- торого прямое напряжение составляет около 0,25 В (можно также использовать так называемый обращенный диод с нуле- Рис. 1.81. Рис. 1.82. Компенсация прямого напряжения на диоде в схеме диодного ограничителя сигналов. вым прямым напряжением, но его приме- нение ограничено из-за того, что он имеет малое напряжение пробоя). Можно также воспользоваться схемой, показанной на рис. 1.82. Прямое напряжение на диоде Д2 компенсируется за счет диода Дг, обеспе- чивающего смещение величиной 0,6 В. Это смещение определяет порог прово- димости для Д2. Формирование смещения с помощью диода Дг (а не с помощью, например, делителя напряжения) имеет следующие преимущества: нет необходи- мости проводить регулировку уровня смешения, так как схема обеспечивает почти идеальную компенсацию; измене- ние прямого напряжения диодов (связан- ное, например, с изменением температу- ры) компенсируется и не сказывается на работе схемы. В дальнейшем мы еще не раз встретим компенсации изменений пря- мого напряжения с помощью согласован- ной пары диодов, транзисторов и полевых транзисторов: этот прием очень эффек- тивен и прост в исполнении. Диодные вентили. Еше одна область применения диодов основана на их спо- собности пропускать большее из двух на- пряжений, не оказывая влияния на мень- шее. Схемы, в которых используется это свойство, объединены в семейство логи- ческих схем. Рассмотрим схему с резерв- ной батареей питания -она используется в устройствах, которые должны работать непрерывно даже при отключениях пи- тания (например, точные электронные ча- сы). Схема, показанная на рис. 1.83, включает как раз такую батарею. В от-
Основы электроники 57 Рис. 1.83. Диодный вентиль ИЛИ с резервной бата- реей питания. сутствие сбоев питания батарея не рабо- тает, при возникновении сбоя питание на схему начинает поступать от батареи, при этом перерыва в подаче питания не происходит. Упражнение 1.29. Измените схему так, чтобы бата- рея заряжалась от источника постоянного тока (в том случае, разумеется, когда питание есть) током 10 мА (такая схема нужна для того, чтобы поддерживать заряд батареи). Диодные ограничители. В тех случаях, когда необходимо ограничить диапазон изменения сигнала, например напряже- ния, можно воспользоваться схемой, по- казанной на рис. 1.84. Благодаря диоду выходное напряжение не может превы- шать значения +5,6 В, при этом наличие диода никак не сказывается на меньших значениях напряжения (в том числе и на отрицательных); единственное условие состоит в том, что отрицательное входное напряжение не должно достигать значе- ния напряжения пробоя (например, для диода типа 1N914 это значение составляет — 70 В). Во всех схемах семейства цифро- вых логических КМОП-схем используют- ся входные диодные ограничители. Они предохраняют эти чувствительные схемы от разрушения под действием разрядов статического электричества Рис. 1.85. Рис. 1.86. Упражнение 1.30. Разработайте схему симметрич- ного ограничителя, задающего диапазон изменения сигнала от — 5,6 до + 5,6 В. Эталонное опорное напряжение можно подавать на ограничитель от делителя напряжения (рис. 1.85). Если делитель напряжения заменить его эквивалентной схемой, то исходная схема преобразуется к виду, представленному на рис. 1.86. Анализируя преобразованную схему, можно заключить, что импеданс со сто- роны выхода делителя напряжения (7?дел) должен быть мал по сравнению с сопро- тивлением R. Когда диод открыт (вход- ное напряжение превышает напряжения ограничения), выходное напряжение сов- падает с напряжением, снимаемым с де- лителя, при этом нижнее плечо делителя представлено эквивалентным сопротив- лением (рис. 1.87). Следовательно, для указанных параметров схемы выходное напряжение для треугольного входного сигнала будет иметь вид, показанный на рис. 1.88. Затруднение здесь возникает в связи с тем. что делитель напряжения не обеспечивает жесткофиксированного зна- 1,0 кОм Вход—,----j—।-------Выход ilN914 +5 В Рис. 1.84. Диодный ограничитель напряжения. 1,0 кОм Вход—| |—f------------Выход [^667 Ом + 5.6 В Рис. 1.87.
58 Глава 1 Основы электроники 59 чения эталонного напряжения Хорошо зафиксированный опорный эталонный сигнал не «плывет», а это значит, что источник такого напряжения обладает не- большим импедансом (имеется в виду эквивалентный импеданс). На рис. 1.85 показан простой способ, с помощью которого можно «зафиксиро- вать» схему ограничителя по крайней мере для высокочастотных сигналов-для этого к резистору 1 кОм нужно подклю- чить шунтирующий конденсатор. Напри- мер, конденсатор емкостью 15 мкФ с од- ним заземленным выводом на частотах выше 1 кГц уменьшает импеданс со сто- роны входа делителя до значения ниже 10 Ом (Аналогично можно подключить конденсатор к Ди как показано на рис 1 82). Само собой разумеется, эффек- тивность этого приема тем ниже, чем ниже частота, а для постоянного тока этот прием просто бесполезен. На практике малое значение импеданса эталонного источника обеспечивается за счет использования транзистора или операционного усилителя Такой способ, конечно, лучше, чем использование ре- зисторов с очень малым сопротивлением, так как он не приводит к потреблению больших токов и обеспечивает значения импеданса порядка нескольких ом и ниже Следует отметить, что известны и другие схемы ограничения, в которых использу- Рис. 1.89. Восстановление сигнала по постоянному току. ются операционные усилители. Об этих схемах мы поговорим в гл. 4. Интересным примером является ис- пользование ограничителя для восстанов- ления сигнала по постоянному току в слу- чае емкостной связи по переменному то- ку. Смысл сказанного поясняет рис. 1.89. Подобные приемы необходимо использо- вать в схемах, входы которых работают аналогично диодам (например, это могут быть транзисторы с заземленным эмит- тером), в противном случае при наличии емкостной связи сигнал просто пропа- дает. Двусторонний ограничитель. Еще один ограничитель показан на рис. 1.90. Эта схема ограничивает «размах» выходного сигнала и делает его равным падению напряжения на диоде, т.е. приблизитель- но 0,6 В Может показаться, что это- очень малое значение, но если следующим каскадом схемы является усилитель с большим коэффициентом усиления по на- пряжению, то входной сигнал для него всегда должен быть немногим больше чем 0 В, иначе усилитель попадет в режим «насыщения» (например, если коэффици- ент усиления каскада равен 1000, а питающее напряжение составляет ±15 В, то входной сигнал не должен превышать диапазон ±15 мВ). Описанная схема час- то используется в качестве защиты на входе усилителя с большим коэффициен- том усиления. Диоды как нелинейные элементы. Мы получим достаточно хорошее приближе- ние, если будем считать, что ток через диод пропорционален экспоненциальной функции от напряжения на нем при дан- ной температуре (точная зависимость между током и напряжением дается в разд. 2.10). В связи с этим диод можно использовать для получения выходного Вход—{ |---♦-------Выход Рис. 1.90. Диодный ограничитель. Рис. 1.91. Логарифмический преобразователь: идея схемы основана на нелинейной вольт-амперной харак- теристике диода. напряжения пропорционального лога- рифму тока (рис 1.91). Поскольку напря- жение U лишь незначительно отклоняется от значения 0,6 В (под воздействием ко- лебаний входного тока), входной ток можно задавать с помощью резистора при условии что входное напряжение зна- чительно превышает падение напряжения на диоде (рис. 1 92). На практике иногда желательно, чтобы в выходном напряжении присутствовало смещение 0,6 В, обусловленное падением напряжения на диоде. Кроме того, жела- тельно, чтобы схема не реагировала на изменения температуры. Эти требования позволяет удовлетворить метод диодной компенсации (рис 1.93). Резистор R, от- крывает диод Д2 и создает в точке А напряжение равное —0,6 В. Потенциал точки В близок к потенциалу земли (при этом ток 1т строго пропорционален напряжению С/Вх) Если два одинаковых диода находятся в одинаковых темпера- турных условиях то напряжения на них полностью компенсируют друг друга, за исключением конечно, той разницы, ко- торая обусловлена входным током, про- текающим через диод Дъ и которая опре- деляет выходное напряжение. Для этой схемы резистор R следует выбирать та- ким чтобы ток через диод Д2 был значи- тельно больше максимального входного (»0,6 В —1=3—f-------- т * I Рис. 1.92. Рис. 1.93. Компенсация падения напряжения на диоде в логарифмическом преобразователе. тока. При этом условии диод Д2 будет открыт. В главе, посвященной операционным усилителям, мы рассмотрим более со- вершенные схемы логарифмических пре- образователей и более точные методы температурной компенсации. Они позво- ляют обеспечивать высокую точность преобразования - ошибка достигает всего нескольких процентов для шести и более декад изменения входного тока. Но для того, чтобы заняться такими схемами, необходимо сначала изучить характерис- тики диодов, транзисторов и операцион- ных усилителей Настоящий раздел слу- жит лишь предисловием к такому изучению. 1.31. Индуктивные нагрузки и диодная защита Что произойдет, если разомкнуть пере- ключатель, управляющий током через индуктивность? Индуктивность, как из- вестно, характеризуется следующим свойством: U = L(dl[df), а из этого следу- ет, что ток нельзя выключить моменталь- но, так как при этом на индуктивности появилось бы бесконечное напряжение На самом деле напряжение на индуктив- ности резко возрастает и продолжает увеличиваться до тех пор, пока не поя- вится ток. Электронные устройства, ко- торые управляют индуктивными нагруз- ками, могут не выдержать такого роста напряжения, особенно это относится к компонентам, в которых при некоторых значениях напряжения наступает «про- бой». Рассмотрим схему, представленную
60 Глава 1 +20 В Рис. 1.94. Индуктивный «бросок». на рис. 1.94. В исходном состоянии пере- ключатель замкнут и через индуктивность (в качестве которой может выступать, например, обмотка реле) протекает ток. Когда переключатель разомкнут, индук- тивность «стремится» обеспечить ток между точками А и В, протекающий в том же направлении, что и при замкнутом переключателе. Это значит, что потенци- ал точки В становится более положитель- ным, чем потенциал точки А. В нашем случае разница потенциалов может достичь 1000 В, прежде чем в переключа- теле возникнет электрическая дуга, кото- рая и замкнет цепь. При этом укорачива- ется срок службы переключателя и возни- кают импульсные наводки, которые мо- гут оказывать влияние на работу близ- лежащих схем. Если представить себе, что в качестве переключателя используется транзистор, то срок службы такого пере- ключателя не укорачивается, а просто становится равным нулю! Чтобы избежать подобных неприятнос- тей лучше всего подключить к индуктив- ности диод, как показано на рис. 1.95. Когда переключатель замкнут, диод сме- I (при раз- мыкании) Рис. 1.95. Блокирование индуктивного броска. щен в обратном направлении (за счет падения напряжения постоянного тока на обмотке катушки индуктивности). При размыкании переключателя диод откры- вается и потенциал контакта переключа- теля становится выше потенциала поло- жительного питающего напряжения на величину падения напряжения на диоде. Диод нужно подобрать так, чтобы он выдерживал начальный ток, равный току, протекающему в установившемся режиме через индуктивность; подойдет, например диод типа 1N4004. Единственным недостатком описанной схемы является то, что она затягивает затухание тока, протекающего через ка- тушку, так как скорость изменения этого тока пропорциональна напряжению на индуктивности. В тех случаях, когда ток должен затухать быстро (например, быстродействующие контактные печа- тающие устройства, быстродействующие реле и т.д.), лучший результат можно получить, если к катушке индуктивности подключить резистор, подобрав его так, чтобы величина U„ + IR не превышала максимального допустимого напряжения на переключателе. (Самое быстрое затухание для данного максимального напряжения можно получить, если под- ключить к индуктивности зенеровский диод, который обеспечивает затухание по линейному, а не по экспоненциальному закону.) Диодную защиту нельзя использовать для схем переменного тока, содержаших индуктивности (трансформаторы, реле переменного тока), так как диод будет открыт на тех полупериодах сигнала, когда переключатель замкнут. В подоб- ных случаях рекомендуется использовать так называемую 7?С-демпфирующую це- почку (рис. 1.96). Приведенные на схеме значения R и С являются типовыми для небольших индуктивных нагрузок, под- ключаемых к силовым линиям перемен- ного тока. Демпфер такого типа следует предусматривать во всех приборах, рабо- тающих от напряжений силовых линий переменного тока, так как трансформатор представляет собой индуктивную нагруз- ку Для защиты можно также использо-
Основы электроники 61 Рис 1.96. /?С-«демпфер> для подавления индуктивно- го броска вать такой элемент, как металлоксидный варистор. Он представляет собой недоро- гой элемент, похожий по внешнему виду на керамический конденсатор, а по элек- трическим характеристикам - на дву- направленный зенеровский диод. Его можно использовать в диапазоне напря- жений от 10 до 1000 В для значений токов достигающих тысяч ампер (см. разд. 6 11 и табл. 6.2). Подключение варистора к внешним выводам схемы позволяет не только предотвратить индуктивные на- водки на близлежащие приборы, но также погасить большие всплески сигнала, возникающие иногда в силовой линии и представляющие серьезную угрозу для оборудования ДРУГИЕ ПАССИВНЫЕ КОМПОНЕНТЫ В последующих разделах представлены разнообразные компоненты, находящие широкое применение при разработке электронных схем Те, кто уже имеет опыт разработок, могут безболезненно перейти к следующей главе. 1.32. Электромеханические элементы Переключатели. Переключатели имеются в схемах почти всегда. Несмотря на свою простоту, они играют существенную роль в электронной технике и вполне заслужи- вают того, чтобы им было посвящено Однополюсный ОДНОПОЗИЦИОН- ный Двухполюсный двухпозицмон- ный Рис 1.98. Основные типы переключателей. несколько параграфов в нашей книге. На рис. 1.97 показано несколько распростра- ненных типов переключателей. Тумблеры. В зависимости от числа полюсов или подвижных контактов тумб- леры бывают нескольких типов. Наиболее распространены одно- и двухпозиционные тумблеры, показанные на рис. 1.98. Про- мышленность выпускает также трехпози- ционные тумблеры, среднее положение которых соответствует состоянию «выключено»; они могут переключать одновременно до четырех контактных групп Тумблерные переключатели рабо- тают по принципу «разомкнут-замкнут», это значит, что подвижный контакт ни- когда не замыкает оба вывода переклю- чателя одновременно. Кнопочные переключатели. Кнопочные переключатели полезны в тех случаях, когда требуется обеспечить мгновенный контакт; их схематическое изображение представлено на рис. 1.99 (кнопочные выключатели бывают двух типов: нор- мально разомкнутые (HP) и нормально замкнутые (НЗ)) В двухпозиционном переключателе выводы обозначают HP и НЗ, в однопозиционном переключателе двойное обозначение излишне. Кнопоч- ные переключатели всегда работают по принципу «разомкнут-замкнут» Электро- техническая промышленность маркирует выключатели символами А, В и С, кото- рые соответствуют однополюсному одно- Тумблер Кнопочный переключатель Рис. 1.97. Панельные тумблеры Поворотный переключатель НР НЗ Рис. 1.99. Кнопочные выключатели (мгновенный кон- такт).
62 Глава 1 Основы электроники 63 позиционному переключателю типа HP, однополюсному однопозиционному пере- ключателю типа НЗ и однополюсному двухпозиционному переключателю соот- ветственно. Поворотные переключатели. Существу- ющие поворотные переключатели очень разнообразны по своей конструкции; они имеют различное число полюсов (ламе- лей) и рассчитаны на большое число позиций. Поворотные переключатели мо- гут быть замыкающими (работают по принципу «замкнут-разомкнут») и неза- мыкающими (работают по принципу «разомкнут-замкнут»), причем очень час- то эти два типа сочетаются в одном пере- ключателе. Замыкающие переключатели используют в тех случаях когда схема в промежуточном положении переключа- теля должна представлять собой замкну- тый контур, при наличии разомкнутых входов состояние схемы непредсказуемо. Незамыкающие переключатели использу- ют, например, для подключения несколь- ких шин к одной обшей, при этом не допускается соединения отдельных шин между собой. Другие типы переключателей. Помимо основных типов переключателей, пере- численных выше, промь тленность вы- пускает и некоторые специальные пере- ключатели, например переключатели, принцип действия которых основан на эффекте Холла, магнитоуправляемые ре- ле, язычковые переключатели и др. Все переключатели характеризуются предель- ными значениями токов и напряжений; для небольшого тумблерного переключа- теля предельное значение напряжения составляет 150 В. а предельное значение тока — 5 А. Если переключатель работает с индуктивной нагрузкой, то его срок службы резко сокрашается в связи с тем, что в момент отклонения нагрузки в выключателе возникает дуговой разряд. Примеры схем с переключателями. Рас- смотрим такую задачу; предупредитель- ный звонок должен включаться при усло- вии, что водитель сел за руль машины, а одна из дверц открыта. К дверцам машины и к сиденью водителя подключе- ны переключатели типа HP. На рис. 1.100 +12 В Рис. 1.100. показана схема, с помощью которой можно решить поставленную задачу Ес- ли открыта одна ИЛИ другая дверца И замкнут переключатель, связанный с сиденьем, то включается звонок. Союзы ИЛИ, И имеют здесь смысл операций булевой логики. К этому примеру мы еще вернемся в гл. 2 и в гл. 8, когда будем рассматривать транзисторы и цифровые логические схемы. На рис. 1 101 показана классическая схема с выключателем, которая исполь- зуется для включения и выключения света в комнате с помощью выключателей, установленных у двух дверей комнаты Упражнение 1.31. Не всякий разработчик электрон- ных схем знает то. что известно любому электиро- монтеру-кгк сделать такое приспособление, чтобы можно было включать и выключать свет с помощью N выключателей, где N- произвольное число. Поду- майте, как соответствующим образом обобщить схе- му, представленную на рис. 1.101. Для решения зада- чи потребуется два однополюсных двухпозиционных переключателя и N — 2 двухполюсных двухпозицион- ных. (Подсказка, сначала придумайте, как с помощью двухполюсного двухпозиционного переключателя замкнуть пару проводов). Рис. 1.101 «Строенный» переключатель профессио- нального электромонтера. Реле. Реле-это управляемые переклю- чатели Простейшее реле состоит из ка- тушки и сердечника-катушка втягивает сердечник, когда по ней протекает ток достаточной величины Промышленность выпускает различные по конструкции ти- пы реле, среди них можно выделить реле «защелки» и реле «ступенчатого» типа (шаговые искатели). Последние послужи- ли основой создания телефонных станций а сейчас они широко используются в иг- ральных автоматах Промышленность выпускает реле постоянного и перемен- ного тока и для значении напряжения на катушке от 5 до НОВ Для быстро- действующих схем (1 мс) предназначены ртутные и язычковые ре те, специальные мощные реле используются в электро- питании; они работают с токами дости- гающими нескольких тысяч ампер. Там, где раньше использовали реле, теперь часто прибегают к помощи полевых тран- зисторов, а для напряжении переменного тока используют так называемые реле на твердом теле. Основное назначение реле состоит в дистанционном переключении электрических цепей и в переключении высоковольтных линий. В связи с тем, что электрические схемы должны быть изоли- рованы от линий питающего напряжения переменного тока, реле используют для переключения питающих напряжений переменного тока, при этом управляющие сигналы должны быть изолированы. Разъемы. Разъем представляет собой неотъемлемую (и, как правило, самую ненадежную) часть любого электронного аппарата. Функции разъема состоят в подаче сигналов на вход прибора и пере- даче их с его выходов на другие схемы, в передаче сигналов и питания постоян- ного тока между различными узлами схе- мы прибора. Благодаря разъемам можно заменять в приборах отдельные печатные платы и целые модули, обеспечивая тем самым гибкость схемной реализации электронного оборудования. Промыш- ленность выпускает самые разнообразные разъемы, различающиеся по форме и размерам Штеккерные резъемы. Простейший разъем (однополюсная вилка с гнездом) представляет собой штырьевой или плос- кий («банановый») контакт и использу- ется в универсальных измерительных приборах, источниках питания и т. п Такие разъемы легко достать, они недо- роги, но, пожалуй, не так полезны на практике, как коаксиальные резъемы для экранированного кабеля или многокон- тактные разъемы. Разновидностью прос- тейшего разъема является зажим («кроко- дил»), который известен в основном тем, что им неудобно пользоваться. Разъемы для экранированных кабелей. Для предотвращения емкостной связи, а также по ряду других причин, о которых речь пойдет в гл. 13, желательно осущест- влять передачу сигнала от одного при- бора к другому по экранированному коаксиальному кабелю Наиболее рас- пространены цилиндрические разъемы (типа BNC), которые устанавливают на передней панели приборов. Сочленение частей разъема осуществляется при по- мощи резьбового соединения путем пово- рота на 90°, при этом замыкается как экранирующая цепь (земля), так и цепь сигнала. Этот разъем, как и всякий дру- гой, служит для подключения к прибору кабеля, поэтому он состоит из двух сочле- няющихся частей, одна из которых уста- навливается на панели прибора, а другая присоединяется к кабелю (рис. 1.102). К этому семейству разъемов для коак- сиальных кабелей относятся: разъемы ти- па TNC (ближайший родственник разъема типа BNC, но с резьбой на корпусе), хороший, но громоздкий разъем типа N, Рис. 1.102. Для экранированного (коаксиального) ка- беля чаще всего используют разъемы типа BNC. Слева направо: гнездо разъема, соединенное с кабе- лем; стандартная вилка, которая устанавливается на панели прибора; две вилки с изолирующей вставкой; Т-образный разъем типа BNC (очень удобная вещь).
64 Глава 1 Основы электроники 65 миниатюрный разъем типа SMA, субми- ниатюрный разъем типа LEMO и SMC, и разъем типа MHV, представляющий собой разновидность разъема типа BNC, предназначенную для высоковольтных цепей. Так называемый граммофонный разъем, используемый в схемах звуковых частот, представляет собой яркий пример плохой конструкции - при соединении частей разъема сигнальная цепь замыка- ется раньше, чем экранирующая; более того, конструкция разъема такова, что и экранирующая, и центральная части разъема, как правило, обеспечивают пло- хой контакт. А результат плохого кон- такта вы без сомнения слышали! Чтобы не отстать, телевизионная промышлен- ность выпустила свой собственный пло- хой стандарт, «коаксиальный разъем» типа F-в нем на штырь разъема, соеди- няемого с кабелем, выводится внешний провод коаксиального кабеля, и очень некачественно сделан экран на той части, которая устанавливается на панели при- бора. Многоконтактные разъемы. Для элек- тронных приборов очень часто нужны многожильные кабели и соответственно многоконтактные разъемы. Промышлен- ность выпускает десятки типов таких разъемов. Простейшим является разъем для 3-жильного провода. К числу наибо- лее распространенных относятся также субминиатюрные разъемы типа D из се- рии разъемов Winchester MRA, уже давно испытанные и заслужившие доверие разъемы типа MS. а также разъемы для гибкого кабеля (рис. 1.103). Имейте в виду, что некоторые разъемы требуют осторожного обращения, например мини- атюрные шестиугольные разъемы, кото- рые нельзя ронять на пол, а в некоторых нет никакого приспособления фиксиру- ющего взаимное положение частей разъема (это относится, например, к разъемам серии Jones 300). Ториевые разъемы для печатных плат. Для печатных плат чаще всего использу- ются торцевые разъемы, состоящие из гнезда и витки с позолоченными штырье- выми контактами устанавливаемой на торце платы. Выпускаемые промышлен- Рис. 1.103. Некоторые многоконтактные разъемы. Слева направо: малогабаритный D-образный разъем выпускаемый как для кабелей, так и для установки на панелях приборов; количество контактов: 9, 15, 25, 37 или 50; старый, заслуженный разъем типа MS, вы- пускается с разнообразным количеством контактов и в различном конструктивном оформлении, некото- рые разновидности предназначены для коаксиальных кабелей; миниатюрный разъем (типа Winchester MRA с фиксирующими винтами, выпускается несколько разновидностей, различающихся габаритными разме- рами; разъем для печатных плат, гнездо предназна- чено для гибкого кабеля. ностью торцевые разъемы имеют от 15 до 100 контактов и различное конструктив- ное оформление. Разъемы можно уста- навливать на специальной плате, печат- ный монтаж которой обеспечивает соеди- нение отдельных печатных плат устройст- ва. В схемах, состоящих всего из несколь- ких печатных плат, могут потребоваться вилки разъемов для печатных плат и гнезда кабельных разъемов (в гл. 12 при- ведены некоторые фотографии, на кото- рых видны примеры использования разъемов) 1.33. Индикаторы Измерительные приборы. Значения напря- жения или тока можно определять с по- мощью стрелочных показывающих при- боров или по цифровым индикаторам. Последние, конечно, более дороги, но они имеют и более высокую точность. Про- мышленность выпускает измерительные приборы и первого, и второго типа как для токов, так и для напряжений. Выпус- каются также уникальные датчики для приборных досок, которые позволяют опредетять значения уровня громкости (по децибельной шкале звука), большие значения напряжения переменного тока (от 105 до 130 В), значения температуры (с помощью термопары), процентной на- грузки мотора, частоты и т д. В цифро- вых датчиках часто предусмотрены логи- ческие выходы, которые позволяют ис- пользовать показания датчика для ввода в какой-либо другой прибор. Лампы и светодиоды. Представим себе картинку из научно-фантастического фильма - вспышки света, экраны, запол- ненные таинственными и непонятными цифрами и символами, жуткие звуки ... И все эти эффекты, за исключением звуковых, создаются с помощью ламп и дисплеев (см. разд. 9.10). В качестве индикаторов для лицевых панелей при- боров долгое время использовали не- большие лампы накаливания, теперь им на смену пришли светодиоды Они ведут себя как обычные диоды, но прямое напряжение для них составляет от 1,5 до 2,5 В. Когда светодиод открыт, через него протекает ток и он излучает свет. Для получения достаточной яркости света обычно требуется ток от 5 до 20 мА. Светодиоды дешевле, чем лампы накали- вания, никогда не перегорают и бывают трех цветов (красные, желтые и зеленые). Поступают в продажу в удобном для использования виде. Светодиоды используются также в цифровых дисплеях, например, в кальку- ляторах находит применение 7-сегмент- ный цифровой дисплей. Для отображения и букв, и цифр (алфавитно-цифровой дисплей) можно использовать 16-сег- ментный или матричный дисплей. Для маломощных схем или схем, предназна- ченных для работы на улице, лучше всего использовать дисплей на жидких кристаллах 1.34. Переменные компоненты Резисторы. Переменные резисторы или потенциометры используют для регули- рования в схемах, их ручки часто выводят на панели приборов. Наиболее распрост- раненным является потенциометр типа АВ, рассчитанный на мощность до 2 Вт; этот потенциометр изготовлен из того же материала, что и постоянный композит - 3-626 Против По часовой часовой , стрелке стрелки ’—т-* Рис. 1.104. Потенциометр (переменный резистор с тремя выводами). ный резистор, и имеет скользящий кон- такт. Потенциометры других типов из- готовляют из керамических материалов и пластиков; они обладают улучшенными характеристиками. Более высоким разре- шением и более высокой линейностью обладают многооборотные потенциомет- ры (3,5 или 10 оборотов). В отраниченном количестве промышленность выпускает также сблокированные потенциометры (несколько независимых секций, собран- ных на одной оси) для тех областей при- менения где нужны именно такие потен- циометры. Потенциометры, о которых шла речь, устанавливают чаще всего на лицевых панелях приборов, внутри же приборов устанавливают подстроечные потенцио- метры, которые также бывают одно- и многооборотными и могут быть установ- лены на платах с печатным монтажом. Они используются, например, при калиб- ровке прибора, которая выполняется «раз и навсегда». Полезный совет: не подда- вайтесь соблазну установить в схеме по- больше потенциометров Лучше потра- тить больше сил на разработку, чем на регулировку. На рис. 1.104 показано условное обо- значение потенциометра. Обозначения «по часовой стрелке» и «против часовой стрелки» указывают направление враще- ния И еще один совет по работе с перемен- ными резисторами: не стремитесь к тому, чтобы заменить потенциометром резис- тор с определенным сопротивлением. Соблазн, конечно, велик-ведь с по- мощью потенциометра можно установить такое значение сопротивления, какое хо- чется. Вся беда в том что стабильность потенциометра ниже чем стабильность хорошего (1%) резистора и, кроме того, потенциометры не дают хорошего разре- шения (т.е. с их помощью нельзя точно
66 Глава 1 Основы электроники 67 установить значение сопротивления). Ес- ли на каком-либо участке схемы нужно установить точное значение сопротивле- ния, воспользуйтесь сочетанием прецизи- онного резистора (1% и выше) и потенци- ометра, причем большая часть сопротив- ления должна определяться постоянным резистором. Например, если нужно полу- чить сопротивление 23.4 кОм, восполь- зуйтесь последовательным соединением постоянного резистора с сопротивлением 22,6 кОм (точность 1%) и подстроечного потенциометра с сопротивлением 2 кОм. Можно также использовать последова- тельное соединение нескольких прецизи- онных резисторов, в котором самый ма- ленький по величине резистор дополняет полное сопротивление до нужного точ- ного значения. В дальнейшем вы узнаете, что в не- которых случаях в качестве переменных резисторов, управляемых напряжением, можно использовать полевые транзисто- ры. Транзисторы можно использовать в качестве усилителей с переменным коэф- фициентом усиления, управляемым на- пряжением. Все эти идеи могут сослужить вам добрую службу в будущем, не остав- ляйте их без внимания. Конденсаторы. Переменные конденса- торы имеют, как правило, небольшие ем- кости (до 100 пФ) и используются в радиочастотных схемах. Подстроечные конденсаторы бывают двух типов-для внутрисхемных и внешних регулировок. На рис. 1.105 показано условное обозна- чение переменного конденсатора. Диоды, к которым приложено обратное напряжение, можно использовать в ка- честве переменных конденсаторов, управ- тяемых напряжением; такие диоды назы- вают варикапами, варакторами или пара- метрическими диодами. Наиболее широ- ко они используются на радиочастотах, особенно при автоматической регулиров- ке частоты, в модуляторах и параметри- ческих усилителях. Индуктивности. Переменная индуктив- ность представляет собой катушку, в ко- Рис. 1.105. Переменный конденсатор. торой перемещается сердечник. Такие ка- тушки обычно имеют индуктивность от нескольких микрогенри до нескольких генри и диапазон настройки 2:1. Про- мышленность выпускает также поворот- ный индуктор (состоит из катушки без сердечника и вращающегося скользящего контакта). Трансформаторы. Переменные транс- форматоры очень полезны для практи- ческого применения, особенно те из них, которые работают от силовой сети с напряжением 115 В переменного тока. Их называют автотрансформаторами, и они состоят из одной обмотки и скользящего контакта. Их называют еще варнаками, а выпускают их такие фирмы, как Techni- power, Superior Electric и др. Формируе- мое ими выходное напряжение перемен- ного тока меняется от 0 до 135 В при входном напряжении 115 В, ток нагрузки 1 - 20 А и выше. Автотрансформаторы нужны для измерительных приборов, на которые могут влиять колебания в пита- ющем напряжении. Замечание: учтите, что выход автотрансформатора не изолиро- ван электрически от силовой линии, как в случае с трансформатором. ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ (1) Для делителя напряжения, изображенного на рис. 1.106, составьте эквивалентную схем^ (источник тока и параллельный резистор). Покажите, что вы- ходное напряжение эквивалентной схемы равно вы- ходному напряжению реальной схемы при подключе- нии в качестве нагрузки резистора сопротивлением 5 кОм. (2) Для схемы, изображенной на рис. 1.107, составьте эквивалентную. Совпадают ли значения эквивалент- Рис. 1.106. Рис. 1.107. ных параметров в этом примере и в схеме на рис. 1.106? (3) Разработайте схему фильтра звуковых частот, который бы подавлял «грохот». Он должен пропус- кать частоты выше 20 Гц (точка — 3 дБ должна соот- ветствовать частоте 10 Гц). Считайте, что источник имеет нулевой импеданс (идеальный источник напря- жения), а нагрузка (минимальная) имеет сопротивле- ние 10 кОм (принимая во внимание это условие, можно выбрать значения А и С таким образом, чтобы нагрузка не оказывала существенного влияния на работу фильтра). (4) Разработайте схему фильтра звуковых частот, который бы ослаблял шипение иглы (точке —3 дБ соответствует частота 10 кГц). Источник и импеданс имеют те же параметры, что в упражнении 3. (5) Как из резисторов и конденсаторов собрать фильтр, чтобы он имел такую характеристику, как показанная на рис. 1.108? (6) Разработайте схему широкополосного АС-филь- тра (рис. 1.109): частоты^ и f2 соответствуют спаду — 3 дБ. Подберите полные сопротивления так, чтобы второй каскад не оказывал существенного влияния как нагрузка на первый каскад. (7) Изобразите график выходного напряжения для схемы, представленной на рис. 1.110. Усилитель вертикального р I Ш Вход осциллографа (8) Разработайте схему щупа осциллографа с мас- штабным коэффициентом 1:10 (см. приложение А). Входной импеданс осциллографа определяется сопро- тивлением 1 МОм и параллельной емкостью 20 пФ. Допустим, что кабель щупа вносит дополнительную емкость 100 пФ, а все элементы установлены на конце щупа (а не на том конце кабеля, который соединяется с осциллографом) (рис. 1.111). Схема должна давать ослабление 20 дБ (10) на всех частотах, включая сигналы постоянного тока. Щуп с масштабным коэф- фициентом 1:10 позволяет увеличить полное сопро- тивление нагрузки, подключаемой к испытуемой схе- ме, тем самым удается уменьшить влияние нагрузки на эту схему. Каким входным импедансом (парал- лельное соединение А и С) обладает щуп по отноше- нию к тестируемой схеме? 3*
Транзисторы 69 ГЛАВА 2 ТРАНЗИСТОРЫ ВВЕДЕНИЕ Транзистор - это один из основных «ак- тивных» компонентов. Он представляет собой устройство, которое может усили- вать входной сигнал по мощности. Уве- личение мощности сигнала происходит за счет внешнего источника питания. Отме- тим, что увеличение амплитуды сигнала не является в данном случае определяю- щим. Так, например, повышающий транс- форматор - «пассивный» компонент, та- кой же, как резистор или конденсатор, обеспечивает усиление по напряжению, но не может усилить сигнал по мощности. Устройства, которые обладают свойст- вом усиления по мощности, характеризу- ются способностью к генерации, обуслов- ленной передачей выходного сигнала об- ратно на вход. Изобретателей транзистора когда-то заинтересовала именно способность уст- ройства усиливать сигнал по мощности Для начала они соорудили с помощью транзистора усилитель звуковых частот для громкоговорителя и убедились, что на выходе сигнал больше, чем на входе. Транзистор является неотъемлемой частью всякой электронной схемы начи- ная от простейшего усилителя или гене- ратора до сложнейшей цифровой вычис- тите чьной машины. Интегральные схемы (ИС), которые в основном заменили схе- мы собранные из дискретных транзисто- ров, представляют собой совокупности транзисторов или других компонентов, построенные на едином кристалле полу- проводникового материала. Обязательно следует разобраться в том, как работает транзистор, даже если вам придется пользоваться в основном интегральными схемами. Дело в том, что. для того чтобы собрать электронное устройство из интегральных схем и под- ключить его к внешним цепям, необходи- мо знать входные и выходные характе- ристики каждой используемой ИС. Кроме того, транзистор служит основой постро- ения межсоединений, как внутренних (между ИС), так и внешних. И наконец, иногда (и даже довольно часто) случается, что подходящей ИС промышленность не выпускает и приходится прибегать к схе- мам, собранным из дискретных компо- нентов. Как вы сами вскоре убедитесь, транзисторы сами по себе очень интерес- ны, и ознакомление с их работой доставит вам удовольствие. Мы будем рассматривать транзисторы совершенно не так, как авторы других книг. Обычно изучая транзистор, поль- зуются его эквивалентной схемой и //-па- ра мелрами. На наш взгляд, такой подход сложен и надуман И дело не только в том, что, глядя на мудреные уравнения, вы едва ли поймете, как работает схема, скорее всего вы будете иметь смутное представление о параметрах транзистора, их значениях и самое главное диапазонах изменения. Мы предлагаем вам другой подход. В этой главе мы построим простую мо- дель транзистора и с ее помощью созда- дим несколько схем. Как только начнут проявляться ограничения модели, допол- ним ее с учетом уравнений Эберса-Мол- ла. Полученная таким образом модель даст правильное представление о работе транзистора; с ее помощью вы сможете создавать самые хорошие схемы, не при- бегая к большим расчетам. Кроме того, характеристики ваших схем не будут серь- езно зависеть от таких неуправляемых параметров транзистора как. например, коэффициент усиления по току. И наконец, несколько слоь о принятых в инженерной практике условностях Напряжение на выводе транзистора, взя- тое по отношению к потенциалу земли, обозначается буквенным индексом (К. Б или Э): например, UK-это напряжение на коллекторе Напряжение между выводами обозначается двойным индексом, напри- мер С/бэ-это напряжение между базой и эмиттером. Если индекс образован дву- мя одинаковыми буквами, то это-напря- жение источника питания: С7Кк-это напряжение питания (обычно положи- тельное) коллектора. £7ЭЭ - напряжение питания (обычно отрицательное) эмит- тера. 2.01. Первая модель транзистора: усилитель тока Итак, начнем. Транзистор - это электрон- ный прибор, имеющий три вывода (рис 2.1). Различают транзисторы п-р-п- и р-и-р-типа Транзисторы н-р-и-типа подчиняются следующим правилам (для транзисторов р-и-р-типа правила сохра- няются, но следует учесть, что поляр- ности напряжении должны быть измене- ны на противоположные): 1 Коллектор имеет более положитель- ный потенциал, чем эмиттер. 2 Цепи база-эмиттер и база-коллек- тор работают как диоды (рис. 2.2). Обыч- но диод база-эмиттер открыт, а диод база-коллектор смещен в обратном на- правлении, т. е. приложенное напряжение препятствует протеканию тока через него. 3. Каждый транзистор характеризуется максимальными значениями 1К, 1В, и UK3. За превышение этих значений приходится расплачиваться новым транзистором (ти- База- Коллектор Эмигптер прп транзистора и ма- Рис. 2. . Условные обозначения ,енькие транзисторные модули. Рис. 2.2. Выводы транзистора с точки зрения ом- метра. пичные значения приведены в табл. 2.1). Следует помнить и о предельных значе- ниях других параметров, например рассе- иваемой мощности (1КЭ Uю), температу- ры, и£Э и др. 4. Если правила 1-3 соблюдены, то ток 1К прямо пропорционален току 1В и мож- но записать следующее соотношение: Л< ~ ^21Э^Б = Р^Б- где h2ij-коэффициент усиления по току (обозначаемый также 0), обычно состав- ляет около 100. Токи 1К и 1Э втекают в эмиттер Замечание: коллекторный ток не связан с прямой проводимостью диода база-коллектор; этот диод смещен в об- ратном направлении. Будем просто счи- тать, что «транзистор так работает». Правило 4 определяет основное свойст- во транзистора: небольшой ток базы уп- равляет большим током коллектора Запомните: параметр й21Э нельзя назвать «удобным»; для различных тран- зисторов одного и того же типа его вели- чина может изменяться от 50 до 250. Он зависит также от тока коллектора, напря- жения между коллектором и эмиттером, и температуры. Схему можно считать плохой, если на ее характеристики влияет величина параметра h213. Рассмотрим правило 2. Из него следует что напряжение между базой и эмиттером нельзя увеличивать неограниченно, так как если потенциал базы будет превышать потенциал эмиттера более чем на 0.6 0,8 В (прямое напряжение диода), то возникнет очень большой ток Следова- тельно, в работающем транзисторе напряжения на базе и эмиттере связаны следующим соотношением: UB = U3 + + 0,6 В (UR = U3 + иБЭ). Еще раз уточ- ним, что полярности напряжений указаны для транзисторов п -р-н-типа, их следует
70 Глава 2 Транзисторы 71 изменить на противоположные для тран- зисторов р-и-^-типа. Обращаем ваше внимание на то, что, как уже отмечалось, ток коллектора не связан с проводимостью диода. Дело в том, что обычно к диоду коллектор-база приложено обратное напряжение. Более того, ток коллектора очень мало зависит от напряжения на коллекторе (этот диод подобен небольшому источнику тока), в то время как прямой ток, а следователь- но, и проводимость диода резко увеличи- ваются при увеличении приложенного напряжения. НЕКОТОРЫЕ ОСНОВНЫЕ ТРАНЗИСТОРНЫЕ СХЕМЫ 2.02. Транзисторный переключатель Рассмотрим схему, изображенную на рис. 2.3. Эта схема, которая с помощью небольшого управляющего тока может создавать в другой схеме ток значительно большей величины, называется транзис- торным переключателем Его работу по- могают понять правила, приведенные в предыдущем разделе Когда контакт переключателя разомкнут, ток базы от- сутствует. Значит, как следует из правила 4, отсутствует и ток коллектора. Лампа не горит. Когда переключатель замкнут, напря- жение на базе составляет 0,6 В (диод база-эмиттер открыт). Падение напряже- ния на резисторе базы составляет 9,4 В, следовательно, ток базы равен 9,4 мА. Если, не подумав, воспользоваться пра- вилом 4, то можно получить неправиль- ный результат: 1К = 940 мА (для типич- Механи - ческий переклю- чатель 1,0 кОм но В Рис. 2.3. Пример транзисторного переключателя. ного значения Р = 100). В чем же ошибка? Дело в том, что правило 4 действует лишь в том случае, если соблюдено правило 1; если ток коллектора достиг 100 мА, то падение напряжения на лампе составляет 10 В. Для того чтобы ток был еще боль- ше, нужно чтобы потенциал коллектора был меньше потенциала земли. Но тран- зистор не может перейти в такое состоя- ние. Когда потенциал коллектора- приближается к потенциалу земли тран- зистор переходит в режим насыщения (типичные значения напряжения насыще- ния лежат в диапазоне 0,05-0,2 В, см. приложение Ж) и изменение потенциала коллектора прекращается. В нашем слу- чае лампа загорается, когда падение напряжения на ней составляет 10 В Если на базу подается избыточный сиг- нал (мы использовали ток 9,4 мА, хотя достаточно было бы иметь 1,0 мА), то схема не тратит этот избыток; в нашем случае это очень выгодно, так как через лампу протекает большой ток, когда она находится в холодном состоянии (сопро- тивление лампы в холодном состоянии в 5-10 раз меньше чем при протекании рабочего тока) Кроме того, при неболь- ших напряжениях между коллектором и базой уменьшается коэффициент Р, а зна- чит, для того чтобы перевести транзистор в режим насыщения, нужен дополнитель- ный ток базы (см. приложение Ж). Иногда к базе подключают резистор (с сопротив- лением, например, 10 кОм), для того что- бы при разомкнутом переключателе по- тенциал базы наверняка был равен потен- циалу земли. Этот резистор не влияет на работу схе- мы при замкнутом переключателе, так как через него протекает тишь малая доля тока (0,06 мА). При разработке транзисторных пере- ключателей вам пригодятся следующие рекомендации: 1. Сопротивление резистора в цепи базы лучше брать поменьше, тогда избыточ- ный базовый ток будет больше Эта ре- комендация особенно полезна для схем, управляющих включением ламп; так как при низком значении L’KJ уменьшается и коэффициент р. О ней следует помнить Рис. 2 4 При подключении индуктивной нагрузки следует всегда использовать подавляющий диод и при разработке быстродействующих переключателей, так как на очень высоких частотах (порядка мегагерц) проявляются емкостные эффекты и уменьшается значе- ние коэфициента р. Для увеличения быстродействия к базовому резистору параллельно подключают конденсатор. 2. Если потенциал нагрузки по какой- либо причине меньше потенциала земли (например, если на нагрузке действует напряжение переменного тока или она индуктивна), то параллельно коллектор- ному переходу следует подключить диод (можно также использовать диод, вклю- ченный в обратном направлении по от- ношению к положительному потенциалу питания), тогда цепь коллектор-база не будет проводить ток при отрицательном напряжении на нагрузке. 3. При использовании индуктивных нагрузок транзистор следует предохра- нять с помощью диода, подключенного к нагрузке, как показано на рис. 2.4 Если переключатель разомкнут, то в отсутст- вие диода на коллекторе будет действо- вать остылое положительное напряже- ние, скорее всего превышающее значение напряжения пробоя для цепи коллектор- эмиттер Это связано с тем, что индук- тивность стремится сохранить ток вклю- ченного состояния протекающий от ис- точника UKK к коллектору (вспомните свойства индуктивностей в разд. 1.31). Транзисторные переключатели позво- ляют производить переключение очень оыстро, время переключения измеряется ООЫЧНО долями микросекунд. С ИХ ПО- МОЩЬЮ можно переключать несколько схем одним управляющим сигналом. Еще одно достоинство транзисторных пере- ключателей состоит в том, что они дают возможность производить дистанционное «холодное» переключение, при котором на переключатели поступают только уп- равляющие сигналы постоянного тока (Если «гонять» сами переключаемые мощные сигналы, то при передаче их по кабелям могут возникать емкостные выбросы, а сигналы могут сильно ослабляться) Транзистор в образе человека. Рис. 2 5 дает представление о некоторых ограничениях, свойственных транзис- тору. Представим себе, что задача человека на рис. 2.5 состоит в том, чтобы обеспечивать выполнение соотношения 1К = ^21э^б, ПРИ этом он может управлять только переменным резистором. Итак, он может создать короткое замыкание в схе- ме (режим насыщения), или разомкнуть ее (транзистор в выключенном состоянии), или создать какое-то промежуточное состояние; он не имеет права использо- вать батареи, источники тока и т.п. Не следует, однако, думать, что коллектор транзистора на самом деле похож на ре- зистор. Это не так. Человек старается сделать так чтобы через него все время Рис. 2.5. «Транзисторный человек» следит за током базы и регулирует выходной реостат для того, чтобы выходной ток был в Л21Э больше тока базы.
72 Глава 2 Транзисторы 73 протекал постоянный неизменный ток (величина этого тока зависит от прило- женного к базе напряжения). Следует помнить, что в любой задан- ный момент времени транзистор может: а) быть в режиме отсечки, т. е. выклю- читься (отсутствует ток коллектора); б) находиться в активном режиме (не- большой ток коллектора, напряжение на коллекторе выше, чем на эмиттере); в) перейти в режим насыщения (напряже- ние на коллекторе приблизительно равно напряжению на эмиттере). Более подроб- но режим насыщения транзистора описан в приложении Ж 2.03. Эмиттерныи повторитель На рис. 2.6 показан эмиттерный повтори- тель. Он назван так потому, что выходной сигнал снимается с эмиттера, напряжение на котором равно напряжению на входе (на базе) минус падение напряжения на диоде (на переходе база-эмиттер): С7Э = 17 Б — 0,6 В Выходной сигнал по форме повторяет входной, но уровень его напряжения на 0,6-0,7 В ниже. Для при- веденной схемы входное напряжение UBX должно составлять по крайней мере 0,6 В, иначе выходное напряжение будет равно потенциалу земли Если к эмиттер- ному резистору подключить источник от- рицательного напряжения, то входной сигнал может быть отрицательным. Об- ратите внимание, что в эмиттерном повторителе отсутствует резистор в кол- лекторной цепи. На первый взгляд эта схема может показаться бесполезной, но дело в том, что ее входной импеданс значительно Рис. 2.6. Эмиттерный повторитель больше, чем выходной. Из этого следует, что источник входного сигнала будет от- давать меньшую мощность, если нагрузку подключить к нему не непосредственно, а через эмиттерный повторитель. Поэто- му обладающий внутренним импедансом источник (имеется в виду его эквивалент- ная схема) может через повторитель ра- ботать на нагрузку, которая обладает сравнимым или даже более низким им- педансом, без потери амплитуды сигнала (эта потеря неизбежна при прямом вклю- чении из-за эффекта делителя напряже- ния). Иными словами, эмиттерный повто- ритель обеспечивает усиление по току, хотя и не дает усиления по напряжению. Он также обеспечивает усиление по мощ- ности Как видите, усиление по напряже- нию-это еще не все1 Импеданс источника и нагрузки. Последнее замечание очень важно, по- этому задержим на нем свое внимание, прежде чем приступить к вычислениям, связанным со свойствами эмиттерных повторителей. При анализе электронных схем всегда стремятся связать выходную величину с какой-либо входной, как на- пример на рис. 2.7. В качестве источника сигнала может выступать выход усили- тельного каскада (с эквивалентным последовательным импедансом ZBbIX), к которому подключен еще один каскад или нагрузка (обладающая входным импедан- сом ZBX). Вообще говоря, нагрузочный эффект следующего каскада проявляется в ослаблении сигнала, о чем шла речь ранее в разд. 1.05. В связи с этим обычно стремятся к тому, чтобы выполнялось условие ZBbIX « ZBX (практическое правило рекомендует использовать коэффициент 10, что на самом деле весьма удобно). В некоторых случаях вполне можно пренебречь этим общим требованием для обеспечения стабильности источника по отношению к нагрузке. В частности, если нагрузка подключена всегда (например, входит в состав схемы) и если она пред- ставляет собой известную и постоянную величину ZBX, то нет ничего опасного в том, что она «нагружает» источник. Тем не менее хуже не будет, если уровень сигнала не изменяется при подключении нагрузки. Кроме того, если ZBX изменяется при изменении уровня сигнала, то ста- бильный источник (ZBbIX « ZBX) обеспечи- вает линейность, а делитель напряжения дает искажение линейной зависимости Наконец, в двух случаях условие ZBbIX«ZBX соблюдать просто нельзя: в радиочастотных схемах импедансы обычно выравнивают (ZBbIX = ZBX) по при- чине, которую мы объясним в гл. 14 Вто- рое исключение относится к случаю, ког- да передаваемым сигналом является не напряжение, а ток В этом случае ситуа- ция меняется на противоположную, и нужно стремиться к выполнению условия ZBX« ZBbIX (для источника тока ZBbIX = оо). Входной импеданс и импеданс эмиттер- ного повторителя. Итак, эмиттерный повторитель обладает способностью согласовывать импедансы источников сигналов и нагрузок В этом и состоит его назначение Давайте подсчитаем входной и выход- ной импеданс эмиттерного повторителя. Предположим, что в приведенной схеме в качестве нагрузки выступает резистор 7? (на практике иногда так и бывает, в других случаях нагрузку подключают параллельно резистору R. но при парал- лельном соединении преобладает сопро- тивление /?). Пусть напряжение на базе изменилось на величину АС7Б; соответст- вующее напряжение на эмиттере составит Д(7Э = А <УБ Определим изменение тока эмиттера АПЭ = AU6/R, равное А/б = = [1/(Л21Э+ 1)] А/, = АСЛ,Я(Л21э- 1) (с учетом того, что /э = /к + 7б). Входное сопротивление схемы равно следовательно. гвх = (h21Э + 1) R. Коэффициент р (Лы) обычно имеет значение около 100, поэтому подключение нагрузки с небольшим импедансом при- водит к тому, что импеданс со стороны базы становится очень большим, с такой нагрузкой схеме легко работать В выполненном только что преобразо- вании, как и в гл. 1, мы использовали для обозначения некоторых величин строчные буквы, например h2i3, тем самым мы указали, что имеем дело с приращениями (малыми сигналами). Чаще всего нас ин- тересует изменение напряжения (или тока) в схеме, а не постоянные значения (или значения по постоянному току) этих вели- чин. Очень часто эти изменения малых сигналов и представляют собой реальный сигнал, например в усилителе звуковых частот, который имеет устойчивое «сме- щение» по постоянному току (см. разд. 2.05). Различие между коэффициен- том усиления по постоянному току (й21э) и коэффициентом усиления по току для малого сигнала Л21э не всегда очевидно, и для того, и для другого случая исполь- зуют понятие коэффициента усиления р. Если учесть, что А21Э = Л21Э (за исключе- нием очень высоких частот) и в большин- стве случаев интерес представляет не точ- ное, а приблизительное значение этого коэффициента, то использование коэффи- циента Р вполне допустимо. В полученном соотношении фигуриру- ют активные сопротивления, однако его можно обобщить и распространить на комплексные импедансы, если перемен- ные А17б, А/б и др. заменить их комплекс- ными представлениями В результате получим правило преобразования импе- дансов для эмиттерного повторителя: Z Tz2i3 -*- DZHarp. Проделав аналогичные преобразова- ния. найдем выходной импеданс эмиттер- ного повторителя ZBbIX (импеданс со сто- роны эмиттера) при использовании ис- точника сигнала с внутренним импедан- сом ZHCT: ZBbix Zhct/(A213 -г 1). Строго говоря, в выходной импеданс схе- мы надо включить и сопротивление
74 Глава 2 Транзисторы 75 параллельного резистора R, но ZBBIX (импеданс со стороны эмиттера) играет основную роль. Упражнение 2.1. Покажите, что приведенное выше соотношение справедливо. Подсказка: найдите изме- нение выходного тока при фиксированном напряже- нии источника и заданном изменении выходного напряжения. Учтите, что напряжение источника подается на базу через его последовательно включен- ное внутреннее сопротивление. Благодаря таким полезным свойствам эмиттерные повторители находят широ- кое практическое применение, например при создании внутри схем (или на их выходе) источников сигналов с низким импедансом, при получении стабильных эталонных напряжений на основе эталон- ных источников с высоким импедансом (сформированных, скажем, с помощью делителей напряжения) и для изоляции источников сигналов от влияния после- дующих каскадов Упражнение 2.2. На основе эмиттерного повтори- теля, к базе которого подключен делитель напряже- ния, создайте схему источника напряжения +5 В при условии, что используется стабилизированный источ- ник напряжения питания +15 В Ток нагрузки (мак симальный) равен 25 мА. Сопротивление резисторов следует выбрать так, чтобы при подключении полной нагрузки напряжение на выходе изменялось не более чем на 5%. Некоторые замечания по поводу эмиттер- ных повторителей. 1. Отметим (разд. 2 01, правило 4), что транзистор и-р-и-типа в эмиттерном повторителе может только отдавать ток. Например, для схемы, по- казанной на рис. 2.8, выходное напряже- ние в положительной полуплоскости из- +10 В -10 В Рис. 2.8. Из эмиттерного повторителя п-р-и-типа может вытекать большой ток, который будет проте- кать через транзистор, втекать же может ограни- ченное количество тока и лишь через эмиттерный резистор. Рис. 2.9. Эмиттерный повторитель и-р-п-типа как схема формирования асимметричного токового сиг- нала. меняется в пределах напряжения насыще- ния транзистора [7КК (что составляет + 9,9 В), в отрицательной полуплоскости оно ограничено значением — 5 В Это свя- зано с тем, что при увеличении отрица- тельного напряжения на входе транзистор в определенный момент просто выклю- чается. напряжение на входе составляет при этом — 4,4 В, а не выходе — 5 В. Дальнейшее увеличение отрицательного напряжения на входе приводит лишь к обратному смещению перехода база- эмиттер. но на выходе это никак не про- является. Выходной сигнал для входного синусоидального напряжения с амплиту- дой 10 В показан на рис. 2.9 Можно также рассматривать поведение эмиттерного повторителя, исходя из того, что он обладает небольшим выходным импедансом для малого сигнала (динами- ческий импеданс). Его выходной импеданс для большого сигнала может быть зна- чительно больше (равен Аэ). Изменение импеданса от первого значения ко вто- рому происходит в тот момент, когда транзистор выходит из активного режима (в нашем примере при напряжении на выходе —5 В). Иначе говоря, небольшой выходной импеданс для малого сигнала не означает еще что схема может созда- вать большой сигнал на низкоомной на- грузке. Если схема имеет небольшой вы- ходной импеданс для малого сигнала то из этого не следует, что она обладает способностью передавать в нагрузку большой ток. Для того чтобы преодолеть ограниче- ние, присущее схеме эмиттерного повто- рителя, можно, например, в эмиттерной цепи использовать резистор с меньшим сопротивлением (тогда на резисторе и транзисторе будет рассеиваться большая Рис. 2.10. Диод предохраняет переход база-эмиттер от пробоя. мощность), или использовать двухтакт- ную схему, в которой два транзистора (и-р-и-типа и р-и-р-типа) взаимно до- полняют друг друга (разд. 2.15). Пробле- мы такого рода возникают также в тех случаях, когда нагрузка эмиттерного повторителя имеет внутри собственный источник напряжения или тока. Приме- ром такой схемы служит стабилизиро- ванный источник питания (на выходе которого стоит обычно эмиттерный повторитель), работающий на схему содержащую собственный источник пита- ния. 2. Не забывайте, что напряжение пробоя перехода база-эмиттер для кремниевых транзисторов невелико и часто составляет всего 6 В Входные сигналы имеющие достаточно большую амплитуду для того, чтобы вывести транзистор из состо- яния проводимости могут вызвать про- бой перехода (и последующее уменьшение значения коэффициента Л21Э). Для предо- хранения от пробоя можно использовать диод (рис. 2.10). 3. Коэффициент усиления по напряжению для эмиттерного повторителя имеет зна- чение чуть меньше 1,0, так как падение напряжения на переходе база-эмиттер фактически не является постоянным, а немного зависит от коллекторного тока. Далее в этой главе мы вернемся к этому вопросу когда будем рассматривать уравнение Эберса-Молла 2.04. Использование эмиттерных повторителей в качестве стабилизаторов напряжения Простейшим стабилизатором напряжения служит обычный зенеровский диод-стаби- литрон (рис. 2.11). Через него должен Цзх °---1--- (несгпабил. R напряжение с небольшими пульсациями) °^вых( ^сгпаб^ Рис. 2.11. Простой стабилизатор напряжения на осно- ве зенеровского диода. протекать некоторый ток, поэтому нужно обеспечить выполнение следующего усло- вия: (Свх Uвых)/R > /вых (макс). Так как напряжение С1!Х не стабилизиро- вано, то в формулу нужно поставить наименьшее возможное значение 17вх. Это пример того, как следует проектировать схему для жестких условий работы. На практике учитывают также допуски на параметры компонентов, предельные зна- чения напряжения в сети и т. п., стремясь предусмотреть наихудшее возможное сочетание всех значений. На стабилитроне рассеивается мощ- ность: •^стаб 1ЖХ Б вых) R ^вых] С*вЫХ. Для того чтобы предусмотреть работу в жестких условиях, при расчете Рста6 также следует использовать значения 17вх (макс.), /?(мин.) и /вь1х(мин.)_ Упражнение 2.3. Разработайте стабилизированный источник напряжения + 10 В для токов нагрузки вели- чиной от 0 до 100 мА; входное напряжение изменяется в пределах от 20 до 25 В. В любых условиях (в том числе и в самых жестких) через стабилитрон должен протекать ток 10 мА На какую предельную мощ- ность должен быть рассчитан стабилитрон? Стабилизированный источник с зене- ровским диодом, как правило, использу- ют в некритичных схемах или в схемах, где потребляемый ток невелик. Ограниче ния такой схемы проявляются в следую- щем 1. Напряжение Евых нельзя отрегулиро- вать или установить на заданное значе- ние. 2. Стабилитроны имеют конечное дина- мическое сопротивление, а в связи с этим они не всегда достаточно сильно сглажи-
76 Глава 2 Транзисторы 77 Рис. 2.12. Стабилитрон в сочетании с повторителем обеспечивает увеличение выходного тока. вают пульсации входного напряжения и влияние изменения нагрузки. 3. При широком диапазоне изменения токов нагрузки приходится выбирать стабилитрон с большой мощностью рас- сеяния, так как при малом токе нагрузки он должен рассеять на себе значительную мощность, равную максимальной мощ- ности в нагрузке. На рис. 2.12 представлена улучшенная схема, в которой зенеровский диод отде- лен от нагрузки эмиттерным повторите- лем. В такой схеме дела обстоят лучше. Ток стабилитрона теперь относительно независим от тока нагрузки, так как по цепи базы транзистора протекает не- большой ток и мощность, рассеиваемая на стабилитроне, значительно меньше (уменьшение в /121Э раз). Резистор RK можно добавить в схему для того, чтобы он предохранил транзистор от выхода из строя при кратковременном коротком замыкании выхода за счет ограничения тока, и, хотя эмиттерный повторитель нормально работает и без этого резисто- ра, его присутствие в схеме вполне обос- нованно. Резистор R* следует выбирать так. чтобы при максимальном токе на- грузки падение напряжения на нем было меньше, чем на резисторе R. Упражнение 2.4 Разработайте источник напряже- ния +10 В, который имел бы такие же параметры, как источник в упражнении 2.3. Используйте в схеме стабилитрон и эмиттерный повторитель. Рассчитайте, какую мощность рассеивают транзистор и стабилит- рон в наихудшем случае. Каково процентное измене- ние тока стабилитрона при переходе от ненагружен- ного состояния к нагруженному0 Сравните эти ре- зультаты с результатами предыдущего упражнения. В ряде вариантов рассмотренной схемы предусматривают меры для снижения Рис. 2.13. Снижение пульсаций в стабилитроне. пульсаций тока в стабилитроне (протека- ющего через резистор R). В частности, может быть использован источник тока для питания стабилитрона Этот случай мы рассмотрим в разд 2.06. Другой ме- тод основан на использовании в цепи питания стабилитрона фильтра низких частот (рис. 2.13). Резистор R выби- рают так. чтобы обеспечить необходимый ток в стабилитроне Конденсатор С должен иметь емкость, достаточно боль- шую для того, чтобы выполнялось усло- вие RC » 1/f (В одном из вариантов этой схемы верхний резистор заменен диодом). В дальнейшем вы познакомитесь с более совершенными стабилизаторами, в которых выходное напряжение можно легко и плавно настраивать благодаря обратной связи. Вместе с тем они пред- ставляют собой гораздо лучшие источни- ки напряжения, выходные импедансы ко- торых измеряются в миллиомах, темпе- ратурные коэффициенты-в миллионных долях на °C и т. д. 2.05. Смещение в эмиттерном повторителе Если на эмиттерный повторитель должен поступать сигнал с предшествующего каскада схемы, то лучше всего подклю- чить его непосредственно к выходу пре- дыдущего каскада, как показано на рис. 2.14. Так как сигнал на коллекторе транзистора Т\ изменяется в пределах диапазона, ограниченного значениями напряжения источников питания, то по- тенциал базы Т2 всегда заключен между напряжением L/'KK и потенциалом земли, а следовательно, Т2 находится в активной области (не насыщен и не в отсечке). При этом переход база-эмиттер открыт, а потенциал коллектора, по крайней мере на несколько десятых долей вольта боль- ше, чем потенциал эмиттера В некоторых случаях вход эмиттерного повторителя и напряжение питания неудачно соотно- сятся друг с другом, и тогда может возникнуть необходимость в емкостной связи (или связи по переменному току) с внешним источником сигнала (напри- мер, это относится к сигнальному входу высококачественного усилителя низкой звуковой частоты). В этом случае среднее напряжение сигнала равно нулю и не- посредственная связь с эмиттерным повторителем приведет к тому, что сигнал на выходе будет изменяться относительно входа, как показано на рис 2 15. В эмиттерном повторителе (а фактичес- ки в любом транзисторном усилителе) необходимо создать смешение для того, чтобы коллекторный ток протекал в тече- ние полного периода сигнала. Проше все- Рйс. 2.15. Транзисторный усилитель с положитель- ным источником питания не может генерировать на выходе импульсы отрицательной полярности. Рис. 2.16. Эмиттерный повторитель со связью по пе- ременному току. Обратите внимание на делитель напряжения в цепи смещения базы. го воспользоваться для этого делителем напряжения (рис. 2.16). Резисторы Rx и R2 выбраны так, что в отсутствие входного сигнала потенциал базы равен половине разности между напряжением источника Пкк и потенциалом земли т.е. сопротив- ления Rx и R2 равны Процесс выбора рабочих напряжений в схеме в отсутствие поданных на ее вход сигналов называется установкой рабочей точки или точки по- коя. Для этой схемы, как и в большинстве случаев, точку покоя устанавливают так. чтобы на выходе формировался макси- мальный симметричный сигнал (без огра- ничений или срезов) Какими должны быть при этом сопротивления резисторов Rr и Я2? Применяя общий подход (разд. 1.05), допустим что импеданс ис- точника смещения по постоянному току (импеданс со стороны выхода делителя) мал по сравнению с импедансом нагрузки (импеданс по постоянному току со сторо- ны базы повторителя). Тогда I i <<С ^213 *3 Из этого соотношения следует, что ток. протекающий через делитель напряжения, должен быть больше, чем ток, протекаю- щий по цепи базы. Пример разработки схемы эмиттерного повторителя. В качестве примера разра- ботаем схему эмиттерного повторителя для сигналов звуковой частоты (от 20 Гц до 20 кГц) Напряжение С7КК составляет +15 В, ток покоя равен 1 мА. Шаг 1. Выбор напряжения U3. Для полу-
78 Глава 2 Транзисторы 79 нения симметричного сигнала без срезов необходимо, чтобы выполнялось условие U3 — 0,5 UKKi или +7,5 В. Шаг 2. Выбор резистора R3. Ток покоя должен составлять 1 мА, поэтому Аэ - 7,5 кОм. Шаг 3. Выбор резисторов Rr и R2. Напряжение 1/к -это сумма U3 + 0,6 В, или 8,1 В. Из этого следует, что сопро- тивления резисторов Ri и R2 относятся друг к другу как 1:1,17. Учитывая извест- ный уже нам критерий выбора нагрузки, мы должны подобрать резисторы Rx и Т?2 так, чтобы сопротивление их параллель- ного соединения составляло приблизи- тельно 75 кОм или меньше (0,1 от произ- ведения 7,5 кОм на Л21э). Выберем сле- дующие стандартные значения сопротив- лений: Rr - 130 кОм, R2 = 150 кОм. Шаг 4. Выбор конденсатора Конден- сатор С\ и сопротивление нагрузки ис- точника образуют фильтр высоких час- тот. Сопротивление нагрузки источника есть параллельное соединение входного сопротивления транзистора со стороны базы и сопротивления делителя напряже- ния базы. Предположим, что нагрузка схемы велика по сравнению с эмиттерным резистором, тогда входное сопротивление транзистора со стороны базы равно hn3R3, т.е. составляет 750 кОм. Экви- валентное сопротивление делителя равно 70 кОм. Тогда нагрузка для конденсатора составляет 63 кОм и емкость конденса- тора должна быть равна по крайней мере 0,15 мкФ. В этом случае точке — 3 дБ будет соответствовать частота, меньшая чем 20 Гц. Шаг 5. Выбор конденсатора С2. Конден- сатор С2 и неизвестный импеданс нагруз- ки образуют фильтр высоких частот. Мы не ошибемся, если предположим, что им- педанс нагрузки не будет меньше R3. Тогда для того, чтобы точке —3 дБ соот- ветствовало значение частоты, меньшее чем 20 Гц, емкость конденсатора С2 дол- жна быть равна по крайней мере 1.0 мкФ. Так как мы получили двухкаскадный фильтр высоких частот, то для предот- вращения снижения амплитуды сигнала на самой низкой из интересующих нас частот емкости следует взять немного +(7КК Гх П Сигнал (близок к потенци- алу земли) Выход (близок к потенциалу земли) ‘ ~ ^ээ побольше. Вполне подойдут следующие значения: = 0,5 и С2 = 3,3 мкФ. Эмиттерные повторители с расщеплен- ными источниками. В связи с тем что сигналы часто находятся «возле земли», удобно использовать симметричное пита- ние повторителей-с положительным и отрицательным напряжением. В такой схеме легче обеспечить смещение, и для нее не нужны развязывающие конденса- торы (рис. 2.17). Замечание: в схеме обязательно должна быть предусмотрена цепь постоянного тока для тока базы, даже если этот ток течет просто «на землю». В схеме на рис. 2.17 эту роль играет источник сигна- ла, соединенный с землей по постоянному току. Если же это не так (например, име- ется емкостная связь с источником), то следует предусмотреть связь базы с зем- лей через резистор (рис. 2.18). Как и прежде, сопротивление /?к должно состав- лять приблизительно 0,1 от произведения ^21э /?э- +укк ^ээ Рис. 2.18. Рис. 2.17. Эмиттерный повторитель со связью по постоянному току с расщепленным источником пи- тания. Упражнение 2.5. Разработайте эмиттерный повто- ритель с источником напряжения ± 15 В для диапазо- на звуковых частот (20 Гп-20 кГц). Ток покоя равен 5 мА, на входе имеется емкостная связь. Пример плохого смешения. К сожале- нию, иногда встречаются такие неудачные схемы, как на рис. 2.19. При выборе резистора R^ для этой схемы предположи- ли, что коэффициент А21э имеет опреде- ленное значение (100), оценили величину тока базы и предположили, что падение напряжения на составит 7 В. Расчет схемы выполнен плохо; коэффициент Л21э не следует брать за основу расчета, так как его значение может существенно изменяться. Если напряжение смешения задать с помощью делителя напряжения, как в рассмотренном выше примере, то точка покоя будет нечувствительна к из- менениям коэффициента [3. Например, в предыдущей схеме напряжение на эмитте- ре увеличи ся всего на 0,35 В (5%), если вместо номинальной величины h2\3= 100 будем иметь величину /г21э = 200. На примере эмиттерного повторителя мы показали вам как можно попасть в ло- вушку и разработать никуда не годную схему Такие ошибки возможны и в схе- мах с другим включением транзисторов (например, дальше в этой главе будет представлена схема с общим эмиттером) 2.0б. Транзисторный источник тока Хотя источники тока не столь известны, они не менее полезны и важны, чем ис- Рис. 2.20. точники напряжения. Источники тока представляют собой прекрасное средство для обеспечения смещения транзисторов, и кроме того, незаменимы в качестве ак- тивной нагрузки для усилительных каска- дов с большим коэффициентом усиления и в качестве источников питания эмитте- ров для дифференциальных усилителей. Источники тока необходимы для работы таких устройств, как интеграторы, гене- раторы пилообразного напряжения. В схемах усилителей и стабилизаторов они обеспечивают широкий диапазон напря- жений. И наконец, источники постоянного тока требуются в некоторых областях, не имеющих прямого отношения к электро- нике, например в электрохимии, электро- форезе. Подключение резистора к источнику напряжения. Схема простейшего источни- ка тока показана на рис. 2.20. При усло- вии что R* » R (иными словами, Сн » 17), ток сохраняет почти постоянное значение и равен приблизительно I — U/R. Если нагрузкой является конденсатор, то, при условии что иют » U, он заряжается с почти постоянной скоростью, определяе- мой начальным участком экспоненты, характерной для данной /?С-цепи. Простейшему резистивному источнику тока присуши существенные недостатки. Для того чтобы получить хорошее приближение к источнику тока, следует использовать большие напряжения, а при этом на резисторе рассеивается большая мощность. Кроме того, током этого ис- точника трудно управлять в широком диапазоне с помощью напряжения, фор- мируемого где-тибо в другом узле схемы. Упражнение 2.6. Допустим, нам нужен источник тока который бы обеспечивал точность 1% в диапа- зоне изменения напряжения на нагрузке от 0 до +10 В. Какой источник напряжения нужно подклю- чить последовательно к резистору?
80 Глава 2 Транзисторы 81 Рис. 2.21. Транзисторный источник тока: основная идея. Упражнение 2.7. Допустим, что в предыдущем упражнении требуется получить от источника ток 10 мА. Какая мощность будет рассеиваться на ре- зисторе? Какая мощность передается нагрузке? Транзисторный источник тока. Очень хороший источник тока можно построить на основе транзистора (рис. 2.21). Рабо- тает он следующим образом: напряжение на базе ИБ > 0,6 В поддерживает эмиттерный переход в открытом состо- янии: иэ = — 0,6 В. В связи с этим /э = иэ/^э — (^б ~ 0,6 В)Так как для больших значений коэффициента h2l3I3 = = 1К, то IK = (UE — 0,6 В)/7?э независимо от напряжения UK до тех пор, пока тран- зистор не перейдет в режим насыщения (С/к >иэ + 0.2 В). а Рис. 2.22. Схемы транзисторных источников тока n-pn-типа ток втекает, а из транзисторов р- нагрузкой. в б с тремя способами подачи смещения на базу; в транзисторы p-типа вытекает. На схеме (в) показан источник с заземленной Смешение в источнике тока. Напряже- ние на базе можно сформировать несколь- кими способами. Хороший результат дает использование делителя напряжения, если он обеспечивает достаточно стабильное напряжение. Как и в предыдущих случаях, сопротивление делителя должно быть значительно меньше сопротивления схе- мы со стороны базы по постоянному току /?21ЭТ?Э. Можно воспользоваться также зенеровским диодом и использовать для смещения источник питания UКк, а можно взять несколько диодов, смещенных в прямом направлении и соединенных последовательно, и подключить их между базой и соответствующим источником питания эмиттера. На рис. 2.22 показаны примеры схем смещения. В последнем примере (рис. 2.22,6) транзистор р-п-р- типа питает током заземленную нагрузку (он-источник тока). Остальные примеры (в которых используются транзисторы и-р-и-типа) правильнее было бы назы- вать «поглотителями» тока, но принято называть все схемы такого типа источ- никами тока. [Название «поготитель» и «источник» связано с направлением тока; если ток поступает в какую-либо точку схемы, то это источник и наоборот]. В первой схеме сопротивление делителя напряжения составляет приблизительно 1,3 кОм и очень мало по сравнению с сопротивлением со стороны базы, состав- ляющим = 100 кОм (для Л21э = 100). Любое изменение коэффициента £, свя- занное с изменением напряжения на кол- лекторе, не повлияет существенным обра- зом на выходной ток, так как соответст- вующее изменение напряжения на базе совсем мало. В двух других схемах ре- зисторы в цепи смещения выбраны так. чтобы протекающий ток составлял не- сколько миллиампер,-этого достаточно, чтобы диоды были открыты. Рабочий диапазон. Источник тока пере- дает в нагрузку постоянный ток только до определенного конечного напряжения на нагрузке В противном случае источник тока был бы способен генерировать бесконечную мощность. Диапазон выход- ного напряжения в котором источник тока ведет себя как следует, называется рабочим диапазоном Для рассмотренных только что транзисторных источников тока рабочий диапазон определяется из того, что транзистор должен находиться в активном режиме работы. Так, в первой схеме напряжение на коллекторе можно понижать до тех пор, пока не будет до- стигнут режим насыщения, т. е. до +12 В Вторая схема, с более высоким напряже- нием на эмиттере, сохраняет свойства ис- точника лишь до значения напряжения на коллекторе, равного приблизительно + 5,2 В. Во всех случаях напряжение на кол- лекторе может изменяться от значения напряжения насыщения до значения напряжения питания. Например, послед- няя схема работает как источник тока в диапазоне напряжения на нагрузке, ог- раниченном значениями 0 и +8,6 В. Если в нагрузке используются батареи или собственные источники питания, то на- пряжение на коллекторе может быть оолыпе, чем напряжение источника пита- ния При использовании такой схемы ре- комендуется следить за тем. чтобы не возник пробой транзистора (напряжение ^кэ не должно превышать значение ^кэпроб - напряжение пробоя перехода коллектор-эмиттер) и не рассеивалась излишняя мощность (определяемая вели- чиной произведения /КС7КЭ). В разд. 6.07 вы увидите, что для мощных транзисто- ров область безопасной работы опреде- ляется специально. Упражнение 2.8. В схеме имеются два стабилизи- рованных источника напряжения: +5 и 15 В Разрабо- тайте схему источника тока на основе транзистора п -p-n-типа, которая бы обеспечивала ток + 5 мА. В качестве источника напряжения для базы исполь- зуйте источник +5 В Чему равен рабочий диапазон в такой схеме? В источнике тока напряжение на базе не обязательно должно быть фиксирован- ным. Если предусмотреть возможность изменения напряжения (/к, то получим программируемый источник тока Если выходной ток должен плавно отслежи- вать изменения входного напряжения, то размах входного сигнала пвх (напомина- ем, что строчными буквами мы догово- рились обозначать изменения) должен быть небольшим, таким, чтобы напряже- ние на эмиттере никогда не уменьшалось до нуля В таком источнике тока измене- ние выходного тока будет пропорцио- нально изменениям входного напряжения. Недостатки источников тока. Как силь- но отличается транзисторный источник тока от идеального? Иными словами, из- меняется ли ток в нагрузке при измене- нии, скажем напряжения, т е имеет ли источник тока эквивалентное сопротивле- ние конечной величины (Аэкв < оо)? И если да, то почему? Наблюдаются эффекты двух видов: 1. При заданном токе коллектора и напряжение ПБЭ, и коэффициент Л21Э (эффект Эрли) несколько изменяются при изменении напряжения коллектор-эмит- тер. Изменение напряжения СБЭ. связан- ное с изменением напряжения на нагрузке, вызывает изменение выходного тока, так как напряжение на эмиттере (а следова- тельно, и эмиттерный ток) изменяется, даже если напряжение на базе фиксирова- но Изменение значения коэффициента ^21э приводит к небольшим изменениям выходного (коллекторного) тока при фик- сированном токе эмиттера, так как 7К = = 1Э — /Б; кроме того, неумного изменяет- ся напряжение на базе в связи с возмож- ным изменением сопротивления источни- ка смешения, обусловленного изменения- ми коэффициента А21Э (а следовательно, и тока базы). Эти изменения незначитель- ны. Например, изменение выходного тока для схемы, представленной на рис. 2.22, а,
82 Глава 2 Транзисторы 83 Рис. 2.23. Один из методов температурной компен- сации источника тока. составляет приблизительно 0,5% для транзистора типа 2N3565. В частности, при изменении напряжения на нагрузке от 0 до 8 В эффект Эрли обусловливает из- менение тока на 0,5%, а нагрев тран- зистора-на 0,2%. Изменение коэффици- ента вносит дополнительный вклад в из- менение выходного тока-0,05% (для жесткого делителя напряжения). Все эти изменения приводят к тому, что источник тока работает хуже, чем идеальный, выходной ток немного зависит от напря- жения и, следовательно, его сопротивле- ние не бесконечно. В дальнейшем вы узна- ете, что есть методы, которые позволяют преодолеть этот недостаток. 2. Напряжение 17БЭ и коэффициент h213 зависят от температуры. В связи с этим при изменении температуры окружающей среды возникает дрейф выходного тока Кроме того, температура перехода изме- няется при изменении напряжения на нагрузке (в связи с изменением мощности, рассеиваемой транзистором) и приводит к тому, что источник работает не как идеальный. Изменение напряжения иБЭ в зависимости от температуры окружаю- щей среды можно скомпенсировать с по- мощью схемы, показанной на рис. 2.23. В этой схеме падение напряжения между базой и эмиттером транзистора Т2 ком- пенсируется падением напряжения на эмиттерном переходе который имеет такие же температурные характеристики Резистор R3 играет роль нагрузки для Тг, необходимой для задания втекающего тока базы транзистора Т2. Улучшение характеристик источника то- ка. Вообще говоря, изменение напряже- ния иьэ, вызванное как влиянием темпе- ратуры (относительное изменение состав- ляет приблизительно —2 мВ/°С), так и зависимостью от напряжения 17БЭ (эффект Эрли оценивается величиной Д(7БЭ % ~ —0,001 А17кэ), можно свести к мини- муму, если установить напряжение на эмиттере достаточно большим (по край- ней мере 1 В), тогда изменение напряже- ния иъэ на десятые доли милливольта не приведет к значительному изменению напряжения на эмиттерном резисторе (напомним, что схема поддерживает постоянное напряжение на базе). Напри- мер, если U3 = 0,1 В (т. е. к базе приложе- но напряжение 0,7 В), то изменение напря- жения 17бэ на 10 мВ вызывает изменение выходного тока на 10%, если же U3 = = 1,0 В, то такое же изменение 176э вызы- вает изменение тока на 1%. Однако, не стоит заходить слишком далеко. Напом- ним, что нижняя граница рабочего диапа- зона определяется напряжением на эмит- тере Если в источнике тока, работающем от источника питания + 10 В, напряжение на эмиттере сделать равным +5 В, то диапазон выхода будет равен немного менее 5 В (напряжение на коллекторе мо- жет изменяться от U3 + 0,2 В до UKK, т. е. от 5,2 до 10 В). На рис. 2.24 показана схема, которая Рис. 2.24. Каскодный источник тока, обладающий по- вышенной устойчивостью к изменениям напряжения на нагрузке. существенно улучшает характеристики источника тока. Источник тока 7) рабо- тает, как и прежде, но напряжение на коллекторе фиксируется с помощью эмит- тера Т2. Ток, текущий в нагрузку, такой же, как и прежде, так как коллекторный (для Т2) и эмиттерный токи приблизитель- но равны между собой (из-за большого значения Л21Э). В этой схеме напряжение укэ (Для ^i) не зависит от напряжения на нагрузке, а это значит, что устранены изменения напряжения 1/БЭ, обусловлен- ные эффектом Эрли и температурой. Для транзисторов типа 2N3565 эта схема дает изменение тока на 0,1% при изменении напряжения на нагрузке от 0 до 8 В; для того чтобы схема обеспечивала указан- ную точность, следует использовать ста- бильные резисторы с допуском 1%. (Кстати, эту схему используют в высоко- частотных усилителях, где она известна под названием «каскод»), В дальнейшем вы познакомитесь со схемами источников тока, в которых используются операцион- ные усилители и обратная связь, и в кото- рых также решена задача устранения вли- яния изменений <7бэ на выходной ток. Влияние коэффициента А21Э можно ослабить если выбрать транзистор с большим значением h213, тогда ток базы будет вносить незначительный вклад в ток эмиттера. На рис 2.25 показан еще один источник Рис. 2.25. Транзисторный источник тока с использо- ванием напряжения СБЭ в качестве опорного. тока, в котором выходной ток не зависит от напряжения питания В этой схеме напряжение б'БЭ транзистора 7\, падая на резисторе R2. определяет выходной ток независимо от напряжения С7КК б^вых — б^Бэ//?2. С помощью резистора Rt устанавлива- ется смещение транзистора Т2 и потенци- ал коллектора 7j, причем этот потенциал меньше, чем напряжение UKK, на удвоен- ную величину падения напряжения на пе- реходе; тем самым уменьшается влияние эффекта Эрли. В этой схеме нет темпера- турной компенсации; напряжение на R2 уменьшается приблизительно на 2,1 мВ/°С и вызывает соответствующее изменение выходного тока (0,3%/°С). 2.07. Усилитель с общим эмиттером Рассмотрим источник тока, нагрузкой для которого служит резистор (рис. 2.26). Напряжение на коллекторе равно б/к = б^КК —• Можно через емкость задать сигнал в цепь базы, тогда напряжение на коллек- торе будет изменяться Рассмотрим при- мер. представленный на рис. 2.27. Кон- денсатор С выбран так, что фильтр высо- ких частот, образованный этим конденса- тором и последовательно соединенными с ним резисторами смещения базы, про- пускает все нужные частоты (резисторы в цепи базы обычно выбирают так, чтобы импеданс со стороны базы, т.е. входное сопротивление транзистора, был гораздо
84 Глава 2 Транзисторы 85 Рис. 2.27. Каскад усиления переменного тока с общим эмиттером с отрицательной обратной связью в цепи эмиттера. Обратите внимание, что выходной сигнал снимается с коллектора, а не с эмиттера. больше и им можно было пренебречь). Иначе говоря, О 1/2^ ця2). Благодаря напряжению смещения, при- ложенному к базе, и наличию эмиттер- ного резистора сопротивлением 1,0 кОм ток покоя коллектора составляет 1,0 мА. Этот ток создает на коллекторе напряже- ние + 10 В ( + 20 В минус падение напря- жения на сопротивлении 10 кОм при про- текании тока 1,0 мА). Допустим теперь, что на базу подан сигнал иБ Напряжение на эмиттере повторяет изменение напря- жения на базе иэ — иь и вызывает измене- ние эмиттерного тока. 4 = UJR3 = «ь/^э и приблизительно такое же изменение коллекторного тока (транзистор имеет большой коэффициент Л21Э). Итак, перво- начальное изменение напряжения на базе вызывает изменение коллекторного напряжения = -1жКк = -u^RJRJ Стоп! Получается, что схема представляет собой усилитель напряжения, коэффици- ент усиления которого определяется сле- дующим образом: Коэффициент усиления = мвых/мвх = — RJR3- В нашем примере коэффициент усиления равен —10000/1000, или —10. Знак минус говорит о том, что положительный сиг- нал на входе дает на выходе отрицатель- ный сигнал (амплитуда которого в 10 раз больше, чем на входе). Такая схема назы- вается усилителем с общим эмиттером с отрицательной обратной связью в цепи эмиттера. Входное и выходное сопротивление для усилителя с общим эмиттером. Нетрудно определить входное и выходное сопро- тивление усилителя. Для входного сигна- ла схема представляет собой параллель- ное соединение резисторов ПО кОм, 10 кОм и входного сопротивления со сто- роны базы. Последнее приблизительно равно 100 кОм (сопротивление R3, увели- ченное в Л21Э раз), а значит, входное сопротивление равно приблизительно 8 кОм (преобладающую роль играет сопротивление 10 кОм). Если использу- ется развязывающий конденсатор, ука- занный на схеме, то получаем фильтр высоких частот с точкой — 3 дБ на часто- те 200 Гц. Для сигналов в рабочей полосе частот (выше частоты, соответствующей точке —3 дБ) конденсатором емкостью 0,1 мкФ можно пренебречь и учитывать только сопротивление 8 кОм, соединен- ное с ним последовательно. Выходное сопротивление определяется как параллельное соединение сопротивле- ния 10 кОм и выходного сопротивления транзистора со стороны коллектора. Что же получается? Если бы не коллекторный резистор, то схема не отличалась бы от источника тока. Коллектор обладает очень большим сопротивлением (порядка мегаОм), поэтому выходное сопротивле- ние определяется коллекторным резисто- ром, сопротивление которого составляет 10 кОм. Напомним, что сопротивление со стороны коллектора велико, а со стороны эмиттера мало (как и в схеме эмиттерного повторителя). В выходном сопротивлении усилителя с общим эмиттером преобла- дает сопротивление резистора нагрузки, стоящего в цепи коллектора, а выходное сопротивление эмиттерного повторителя определяется выходным сопротивлением транзистора со стороны эмиттера, а не сопротивлением нагрузки, стоящей в цепи эмиттера. 2.08. Схема расщепления фазы с единичным коэффициентом усиления Иногда полезно иметь сигнал и его инвер- сию, т. е. два однородных сигнала, сдви- нутые друг относительно друга по фазе на 180°. Получить такие сигналы нетрудно- нужно воспользоваться усилителем с об- щим эмиттером, коэффициент усиления которого равен — 1 (рис. 2.28). Напряже- ние покоя на коллекторе устанавливают равным 0,75 UKK вместо привычного зна- чения 0,5 UKK. Это делается с уже извест- ной нам целью-получить симметричный выходной сигнал без срезов на любом из выходов. Напряжение на коллекторе мо- жет изменяться от 0,5 (7КК до UKK, а на эмиттере-от потенциала земли до 0,5 Обращаем ваше внимание на то, что для симметричного усиления выходы схемы следует нагружать одинаковыми (или очень большими) импедансами Фазовращатель. На рис. 2.29 показан хороший пример использования схемы расщепления фазы выходного сигнала. Схема позволяет регулировать фазу вы- ходного синусоидального сигнала (от ну- ля до 180°) при условии, что входной сигнал тоже представляет собой синусои- ду; амплитуда сигнала при регулировке фазы сохраняется постоянной. Работу схемы помогает понять векторная диа- грамма напряжений (см. гл. 1), для нашей схемы представленная на рис. 2.30; вход- ной сигнал на ней изображен в виде еди- ничного вектора, направленного вдоль Рис. 2.28. Схема расщепления фазы с единичным коэффициентом усиления. Рис. 2.29. Схема расщепления фазы с постоянной амплитудой. действительной оси. Направления векто- ров, соответствующих сигналам LJK и UK, должны быть такими, чтобы этим двум векторам соответствовал вектор постоян- ной длины, направленный вдоль действи- тельной оси. В геометрии есть теорема, согласно которой геометрическим местом таких точек служит окружность. Итак, результирующий вектор (выходное напря- жение) всегда имеет единичную длину, т. е. такую же, как вектор входного сигна- ла, так как R может изменяться от нуля до значений, значительно превышающих ZK на рабочей частоте. Обратите внима- ние, что величина фазового сдвига при данном положении потенциометра R за- висит также от частоты входного сигнала. Следует отметить, что в качестве схемы, обеспечивающей регулируемый сдвиг фаз, можно использовать простейший RC- фильтр высоких (или низких) частот. Правда, в этом случае при регулировке фазы амплитуда выходного сигнала из- меняется в широком диапазоне. Отметим также, что фазовращатель /?С-типа нагружает схему расщепления Рис. 2.30. Векторная диаграмма для схемы расщепле- ния фазы.
86 Глава 2 Транзисторы 87 фазы. В идеальном случае нагрузка пред- ставляет собой импеданс, который велик по сравнению с коллекторным и эмит- терным резисторами. Поэтому данная схема не может применяться в случаях, когда требуется обеспечить широкий диапазон фазовых сдвигов. В следующей главе приведена улучшенная схема фазо- вращателя. 2.09. Крутизна В предыдущем разделе мы проанализиро- вали работу усилителя с общим эмит- тером следующим образом: а) предполо- жив, что сигнал (напряжение) на базе изменяется в некоторых пределах, обна- ружили. что напряжение на эмиттере име- ет такой же размах; б) подсчитали эмит- терный ток; затем, пренебрегая незначи- тельным влиянием тока базы, определили размах коллекторного тока и в) коллек- торного напряжения. При этом коэффи- циент усиления есть просто отношение коллекторного напряжения (выходного) к напряжению на базе (входному). Рассмотрим работу усилителя этого типа с другой точки зрения. Мысленно расчленим схему, как показано на рис. 2.31. Одна часть представляет собой управляемый напряжением источник то- ка, его ток покоя равен 1,0 мА. а коэф- фициент передачи составляет — 1 мА/В. Коэффициент передачи представляет со- бой отношение выходного сигнала к Рис. 2.31. Усилитель с общим эмиттером в качестве каскада с передаточной проводимостью, управляю- щий нагрузкой (резистивной). входному; в данном случае он измеряется в единицах [ток/напряжение] или [1/со- противление]. Величина, обратная сопро- тивлению, называется проводимостью (величина, обратная реактивному сопро- тивлению, называется реактивной прово- димостью, величина, обратная импедансу или полному сопротивлению, называется полной проводимостью), и единицей ее из- мерения служит сименс, раньше эту еди- ницу измерения называли мо (обратный ом). Если коэффициент передачи измеря- ется в единицах проводимости, то такой усилитель называется усилителем с пере- даточной проводимостью; отношение ^вых/^вх называется крутизной и обозна- чается дт. Итак, одна часть схемы представляет собой усилитель с передаточной прово- димостью, коэффициент передачи кото- рого (крутизна) составляет 1 мА/В (1000 мкСм или 1 мСм, а это есть не что иное, как 1//?э). Другая часть схемы пред- ставляет собой нагрузочный резистор («усилитель»), преобразующий ток в на- пряжение. Резистор можно назвать усили- телем с передаточным сопротивлением, его коэффициент усиления измеряется в единицах [напряжение/ток], т.е. в едини- цах сопротивления В данном случае на- пряжение покоя (рабочее напряжение)- это 17кк, а коэффициент передачи (пере- даточное сопротивление) равен 10 кВ/А (10 кОм), а это есть не что иное, как Як. Соединив эти две части последовательно, получим усилитель напряжения, общее усиление которого определяется произве- дением коэффициентов передачи состав- ных частей. В данном случае: К — дт RK = = R* R3 = —10 - безразмерная величина, равная отношению [(выходное напряже- ние)/(входное напряжение)]. Описанный метод очень полезен для анализа усилителей, так как позволяет рассматривать составные части схемы независимо друг от друга Например, для усилителя с передаточной проводи- мостью можно оценить величину дт для схем различной конфигурации и для иных элементов, например для полевых тран- зисторов. Затем можно рассмотреть на- грузку (или часть схемы с передаточным сопротивлением) и оценить, как связан коэффициент усиления с диапазоном из- менения напряжения. Если вас интересует общее усиление по напряжению, то его можно определить следующим образом: Ки = дтгт’ где rm-передаточное сопро- тивление нагрузки. В конечном счете за- мена простой активной нагрузки схемой с высоким передаточным сопротивлением позволяет получать для одного каскада усилителя величину коэффициента усиле- ния, равную 10000 и выше. С помощью описанного метода удобно рассматривать каскодный усилитель, с которым вы познакомитесь ниже. В гл. 4, где расматриваются операцион- ные усилители, приведено немало приме- ров усилителей, на входах и выходах ко- торых действуют напряжения и токи, уси- лители напряжения, усилители тока, уси- лители с передаточной проводимостью, усилители с передаточным сопротивле- нием. Предельный коэффициент усиления: гра- вды применимости простейшей модели транзистора. В соответствии с нашей мо- делью коэффициент усиления по напря- жению усилителя с обшим эмиттером ра- вен — RK/R3. Что произойдет, если сопро- тивление R, будет уменьшаться, стремясь к нулю? Согласно уравнению, коэффици- ент усиления будет при этом беспредель- но возрастать. Однако измерения, выпол- ненные в рассмотренной выше схеме, по- кажут, что, хотя при постоянном токе покоя, равном 1 мА, коэффициент усиле- ния и растет, при R3 = 0 (эмиттер зазем- лен) он становится равным всего 400 Окажется также, что усилитель начнет при этом работать как нелинейный эле- мент (выходной сигнал не воспроизводит по форме в точности входной), входное сопротивление становится небольшим и нелинейным, а смешение начинает зави- сеть от температуры Очевидно, что мо- дель транзистора, которой мы пользова- лись, несовершенна и ее необходимо до- полнить, чтобы она пришла в соответ- ствие с измерениями описанными выше, и некоторыми другими фактами, на кото- рых мы еще остановимся. Модель, кото- рую мы сейчас рассмотрим, будет доста- точно точна и удовлетворит нас в даль- нейшем. МОДЕЛЬ ЭБЕРСА МОЛЛА ДЛЯ ОСНОВНЫХ ТРАНЗИСТОРНЫХ СХЕМ 2.10. Улучшенная модель транзистора: усилитель с передаточной проводимостью (крутизной) Существенную поправку следует внести в правило 4 (разд. 2.01). которое опреде- ляет, что IR = /г21Э1Б Мы рассматривали транзистор как усилитель тока, вход кото- рого работает как диод. Это приближение является грубым, но для некоторых прак- тических случаев большей точности и не требуется. Однако для того чтобы понять, как работают дифференциальные усили- тели, логарифмические преобразователи, схемы температурной компенсации и не- которые другие практически полезные схемы, следует рассматривать транзистор как элемент с передаточной проводи- мостью - коллекторный ток в нем опреде- ляется напряжением между базой и эмит- тером. Итак, правило 4 в измененном виде: 4 Если правила 1-3 соблюдены (разд. 2.01), то ток 1К связан с напряжением Т’БЭ следующей зависимостью: ~ ^нас [еХр((7БЭ/Тт) — П’ где Ur = kT,q = 25,3 мВ при комнатной температуре (20 СС), q-заряд электрона (1,6010“19 Кл). /с-постоянная Больцма- на (1.38 х 10“23 Дж/К), Т- абсолютная температура в кельвинах (К = °C 273,16). 7нас — ток насыщения транзистора (зависит от Г). Тогда ток базы, который также зависит от С’БЭ. можно приблизительно определить так: = ^К^21Э- где «постоянная» h213 обычно принимает значения от 20 до 1000 и зависит от транзистора, IK, UK3 и температуры. Ток 7нас представляет собой обратный ток эмиттерного перехода. В активной обла- сти 7К » /нас и членом — 1 можно пренеб- речь.
88 Глава 2 Транзисторы 89 Рис. 2.32. Зависимость базового и коллекторного то- ков транзистора от напряжения между базой и эмит- тером. Уравнение для 1К известно под назва- нием «уравнение Эберса Молла». Оно приблизительно описывает также зависи- мость тока от напряжения для диода, если UT умножается на корректировочный ко- эффициент тп со значением между 1 и 2. Следует запомнить, что в транзисторе коллекторный ток зависит от напряжения между базой и эмиттером, а не от тока базы (ток базы в грубом приближении определяется коэффициентом Л21Э). Экспо- ненциальная зависимость между током 1К и напряжением СБЭ точно соблюдается в большом диапазоне токов, обычно от наноампер до миллиампер На рис. 2.32 приведен график этой зависимости. Если измерить ток базы при различных значе- ниях коллекторного тока, то получим гра- фик зависимости Л21Э от 1К (рис. 2.33). Рис. 2.33. Типичная зависимость коэффициента усиле- ния по току для транзистора (Л2],) от коллекторного тока. Согласно уравнению Эберса-Молла, напряжение между базой и эмиттером «управляет» коллекторным током, однако это свойство нельзя использовать непо- средственно на практике (создавать сме- шение в транзисторе с помощью напряже- ния, подаваемого на базу), так как велик температурный коэффициент напряжения между базой и эмиттером. В дальнейшем вы увидите, как уравнение Эберса-Молла помогает решить эту проблему Практические правила для разработки транзисторных схем. На основании урав- нения Эберса-Молла получены некото- рые зависимости, которые часто исполь- зуют при разработке схем: 1. Ступенчатая характеристика диода. На сколько нужно увеличить напряжение СБЭ, чтобы ток 1К увеличился в 10 раз? Из уравнения Эберса-Молла следует, что СБЭ нужно увеличить на C7Tloge10, или на 60 мВ при комнатной температуре. На- пряжение на базе увеличивается на 60 мВ при увеличении коллекторного тока в 10 раз. Эквивалентным является следующее выражение /к — /коедь/25, где АП изме- ряется в милливольтах. 2. Импеданс для малого сигнала со сторо- ны эмиттера при фиксированном напря- жении на базе. Возьмем производную от иъэ по 1К: г3 — VT/lK = 25//к Ом, где ток /к измеряется в миллиамперах. Величина 25 /к Ом соответствует комнатной темпе- ратуре Это собственное сопротивление эмиттера гэ выступает в качестве последо- вательного для эмиттерной цепи во всех транзисторных схемах. Оно ограничивает усиление усилителя с заземленным эмит- тером, приводит к тому, что коэффициент усиления эмиттерного повторителя имеет значение чуть меньше единицы и не позво- ляет выходному сопротивлению эмиттер- ного повторителя стать равным нулю. Этот параметр относится к параметрам малого сигнала. Отметим, что крутизна для усилителя с заземленным эмиттером определяется следующим образом: дт = = 1/Гэ- 3. Температурная зависимость. Глядя на уравнение Эберса-Молла, можно предпо- ложить, что 17бэ имеет положительный температурный коэффициент. Однако, в связи с тем что ток /нас зависит от темпе- ратуры, напряжение С/БЭ уменьшается на 21 мВ/°С. В грубом приближении оно пропорционально 1/Т^бс-> где 7^бс—абсо- лютная температура. И еще одна зависимость пригодится нам на практике, правда, она не связана с уравнением Эберса-Молла. Речь идет об эффекте Эрли, описанном в разд. 2.06, который накладывает ограничения на вы- ходную характеристику транзистора как источника тока. 4. Эффект Эрли. UE3 хоть и в слабой ме- ре, но зависит от UK3 при постоянном токе 1К. Этот эффект обусловлен измене- нием эффективной ширины базы и описы- вается следующей приблизительной зави- симостью: АС7бэ = —аАГкэ, где а % 0,0001. Мы перечислили основные соотношения, которые могут быть полезны на практике. Эти соотношения, а не сами уравнения Эберса-Молла, используются при разра- ботке транзисторных схем. 2. 11. Еще раз об эмиттерном повторителе Прежде чем мы еще раз рассмотрим уси- литель с общим эмиттером, используя преимущества новой модели транзистора, Рис. 2.34. ненадолго задержим свое внимание на скромном эмиттерном повторителе. Сог- ласно модели Эберса-Молла эмиттерный повторитель должен иметь ненулевой вы- ходной импеданс даже в том случае, когда схемой управляет источник напряжения, так как эмиттерный повторитель обла- дает вполне определенным сопротивле- нием г3 (см. предыдущий раздел, пункт 2). По той же причине усиление по напряже- нию будет немного меньше единицы, так как г3 и резистор нагрузки образуют дели- тель напряжения. Эти явления нетрудно описать матема- тически. При фиксированном напряжении на базе импеданс со стороны эмиттера есть не что иное, как /?вых = dUE3/dI3, но 13 = 1К, поэтому 7?вых ~ гэ-собственное сопротивление эмиттера [гэ = 25/7к(мА)]. Например, на рис. 2.34, а импеданс со стороны нагрузки г3 = 25 Ом, так как /к = 1 мА. (Если используется эмиттер- ный резистор R3, то образуется парал- лельное соединение, на практике R3 всегда значительно больше, чем гэ.) На рис. 2.34, б представлена более распространенная си- туация-источник имеет конечное сопро- тивление RBCT (для простоты в схеме опу- щены компоненты смещения - базовый
90 Глава 2 делитель и блокировочный конденсатор - эти компоненты присутствуют на рис. 2.34, в). В этом случае выходной импеданс эмиттерного повторителя - это просто гэ в последовательном соединении с Лист/ /(Л21Э + 1) (опять же в параллельном со- единении с несущественным резистором R3, если он присутствует). Например, если RBcr = 1 кОм и /к = 1 мА, то Двых = 35 Ом (предположим, что Л21Э = 100). Нетрудно показать, что собственное сопротивление эмиттера гэ вносит также вклад во вход- ной импеданс эмиттерного повторителя, как если бы оно было соединено последо- вательно с нагрузкой (на самом деле не с нагрузкой, а с параллельным соедине- нием резистора, нагрузки и эмиттерного резистора). Другими словами, для схемы эмиттерного повторителя эффект Эберса- Молла состоит просто в добавлении по- следовательно подключенного сопротив- ления эмиттера гэ к полученным ранее результатам. Усиление по напряжению эмиттерного повторителя несколько меньше единицы из-за наличия делителя напряжения, обра- зованного гэ и нагрузкой. Это нетрудно вычислить, так как выход схемы находит- ся в точке соединения гэ и Анагр: 6’L — = UwJUm; Янагр/(гэ +/?нагр)- Таким образом, если взять, например, повтори- тель, ток затухания которого равен 1 мА, а нагрузка составляет 1 кОм, то его усиле- ние по напряжению будет равно 0,976. Инженерам иногда нравится считать уси- ление в единицах сверхпроводимости для получения выражения, подходящего так- же для ОУ (см. разд. 3.07); в этом случае (используя выражение Ьт — 1/гэ) получим GV = ” ^HarpV 2.13. Еше раз об усилителе с общим эмиттером Выше мы определили усиление по напря- жению для усилителя с общим эмиттером при условии, что сопротивление эмиттер- ного резистора равно нулю, но результат получили неверный. Дело в том. что тран- зистор обладает собственным эмиттер- ным сопротивлением, равным 25//к(мА) (выражено в омах), которое следует до- бавлять к сопротивлению включенного в эмиттерную цепь резистора. Это сопро- тивление значительно в тех случаях, когда в цепь эмиттера включен небольшой ре- зистор (или когда его нет вообще). Напри- мер, для усилителя, который мы рассмот- рели выше, коэффициент усиления по на- пряжению равен —10 кОм/гэ, или —400, при условии, что сопротивление эмиттер- ного резистора равно нулю. Мы препола- гали раньше, что входной импеданс h2l3R3 равен нулю при R3 = 0; на самом деле он приблизительно равен А21эгэ и в данном случае составляет около 2,5 кОм (ток покоя равен 1 мА). Мы уже упоминали усилитель с «зазем- ленным эмиттером» и схемы «с общим эмиттером». Эти схемы не следует путать. Усилитель с «заземленным эмиттером»- это усилитель с общим эмиттером, в ко- тором R3 = 0. В усилительном каскаде с общим эмиттером может присутство- вать эмиттерный резистор; особенность этой схемы состоит в том, что цепь эмит- тера является общей для входа и выхода схемы. Недостатки однокаскалного усилителя с заземленным эмиттером. Дополнитель- ное усиление, обусловленное отсутствием разистора в эмиттерной цепи R3 — 0, мы получаем за счет ухудшения некоторых параметров усилителя. Как ни популярен усилитель с заземленным эмиттером в учебниках, на практике его следует исполь- зовать только в схемах, охваченных общей петлей отрицательной обратной связи. Для того чтобы понять, с чем это связано, рассмотрим рис. 2.35. -----------j--------+20 В П10 кОм Выходной Входной ? сигнал сигнал LX (связь ПО пост, току) | Рис. 2.35. Усилитель с общим эмиттером без отрица- тельной обратной связи в цепи эмиттера
Транзисторы 91 рис 2.36. Нелинейный выходной сигнал, снимаемый с усилителя с заземленным эмиттером. 1. Нелинейность. Коэффициент усиления определяется выражением к = — gmRK = = — RK/r3 = —RKIK (мА)/25, т. е. для тока покоя 1 мА он равен —400. Но дело в том, что ток 1К изменяется при изменении вход- ного сигнала В нашем примере коэффи- циент усиления может изменяться от — 800 (1/вых = 0,1К = 2 мА) до нуля (1/вых = UKK, 1К = 0). Если на входе действует треуголь- ный сигнал, то сигнал на выходе будет таким, как показано на рис 2.36. Усили- тель вносит большие искажения, т. е обла- дает плохой линейностью Усилитель с заземленным эмиттером без обратной связи можно использовать лишь для не- больших диапазонов изменения сигнала вблизи точки покоя. Что же касается уси- лителя с общим эмиттером, то его усиле- ние почти не зависит от коллекторного тока, при условии что R3 » гэ; он обеспе- чивает усиление без искажений в большом диапазоне изменения сигнала. 2. Входное сопротивление. Входное сопро- тивление приблизительно равно ZBX — = = (25Л21э//к(мА)) Ом. Здесь .мы опять сталкиваемся с тем, что ток 1К изменяется при изменении выходного сиг- нала, а значит меняется и входное сопро- тивление Если источник питающий базу обладает небольшим выходным сопро- тивлением, то вы получите нелинейный переменный делитель напряжения, обра- зованный источником сигнала и входным сопротивлением усилителя Что касается усилителя с общим эмиттером, то он обладает постоянным и высоким вход- ным сопротивлением 3. Смещение. В усилителе с заземленным эмиттером смешение выполнить трудно. Возникает соблазн просто подать напря- жение (с делителя), которое обеспечит нужный ток покоя в соответствии с урав- нением Эберса-Молла. Однако так сде- лать нельзя, потому что напряжение [7Б зависит от температуры (при фиксирован- ном значении /к) и изменяется на 2,1 мВ/оС (фактически напряжение уменьшается при повышении температуры Т из-за того, что изменяется ток /иас; в результате оказы- вается, что напряжение иБЭ приблизи- тельно пропорционально 1/Т. где Т- абсо- лютная температура). Это ведет к тому, что коллекторный ток (при фиксирован- ном значении иъэ) будет увеличиваться в 10 раз при повышении температуры на 30 С. Такая нестабильность делает сме- щение неработоспособным, так как даже небольшие колебания температуры будут приводить усилитель в режим насыщения. Например, если напряжение смешения сделать равным половине напряжения пи- тания коллектора, то усилитель с зазем- ленным эмиттером будет переходить в ре- жим насыщения при повышении темпера- туры на 8 °C. Упражнение 2.9. Убедитесь в том, что при повыше- нии температуры окружающей среды на 8 °C усили- тель с заземленным эмиттером и поданным на базу напряжением смешения переходит в режим насыще- ния. В исходном состоянии транзистор смещен так. что С'к = 0,5 UKK. О том, как решается задача смещения, вы узнаете из следующих разделов. Что касается усилителя с общим эмиттером, то здесь стабильное смещение создается с помощью напряжения, приложенного к базе; большая часть этого напряжения приходится на резистор в цепи эмиттера, тем самым обеспечивается постоянный ток покоя. Эмиттерный резистор в качестве элемен- та обратной связи. Если к собственному сопротивлению эмиттера добавить сопро- тивление внешнего эмиттерного резисто- ра. то многие параметры усилителя с общим эмиттером улучшатся, правда за счет снижения коэффициента усиления. Аналогичное явление рассматривается в следующих двух главах, посвященных использованию отрицательной обратной связи, позволяющей улучшить характери- стики усилителя за счет частичной переда- чи выходного сигнала на вход. Это не
92 Глава 2 Транзисторы 93 простое совпадение, дело в том, что в уси- лителе с общим эмиттером используется одна из форм отрицательной обратной связи Представим себе, что транзистор - это элемент с передаточной крутизной, в котором коллекторный ток (а следова- тельно, и выходное напряжение) зависит от напряжения, действующего между ба- зой и эмиттером; на вход усилителя пода- ется напряжение, действующее между ба- зой и землей. Входное напряжение пред- ставляет собой напряжение между эмитте- ром и базой минус напряжение (I3R3). Следовательно, в схеме с общим эмитте- ром действует отрицательная обратная связь, и благодаря этому улучшаются ха- рактеристики усилителя (высокая линей- ность и стабильность, большой входной импеданс; выходной импеданс можно уменьшить, если ввести обратную связь непосредственно с коллектора). Это лишь первое знакомство с обратной связью, но и оно позволяет оценить значение мате- риала, изложенного в гл. 4-5. 2.13. Смещение в усилителе с общим эмиттером Существует возможность задать смеще- ние в усилителе с общим эмиттером и при необходимости получения максимально возможного коэффициента усиления (или если усилительный каскад охвачен петлей обратной связи). Есть три варианта схем смещения, которые можно комбиниро- вать между собой с помощью шунтируе- мого резистора в эмиттерной цепи, с по- мощью согласованного транзистора и с помощью обратной связи по постоянному току. Шунтируемый резистор в эмиттерной це- пи. Смещение можно обеспечить с по- мощью шунтируемого резистора в эмит- терной цепи, как показано на рис. 2.37. Для того чтобы облегчить задачу созда- ния смещения, резистор R3 выбран так, что его сопротивление составляет 0.1 RK: если резистор R3 слишком мал. то напря- жение на эмиттере будет намного меньше, чем падение напряжения между базой и эмиттером, а это приведет к температур- ной нестабильности точки покоя, так как напряжение СБЭ зависит от температуры. +15 В Рис. 2.37. Шунтируемый резистор в эмиттерной цепи можно использовать для получения стабильно! о сме- шения в усилителе с заземленным эмиттером. Шунтирующий эмиттерный конденсатор следует выбирать так, чтобы его импе- данс был небольшим по сравнению с г (а не с R3) на самой низкой из интересующих вас частот. В данном случае его импеданс составляет 25 Ом на частоте 650 Гц. В диапазоне рабочих частот входного сиг- нала для выбора входного конденсатора межкаскадной связи существенно, что входное сопротивление схемы определяет- ся параллельным соединением сопро- тивления 10 кОм и входного сопротивле- ния транзистора со стороны базы, в дан- ном случае-это сопротивление 25 Ом, умноженное на Л21э. т.е. приблизительно 2,5 кОм Для сигналов постоянного тока сопротивление со стороны базы значи- тельно больше (сопротивление эмиттер- ного резистора, умноженное на Л21э, т.е. приблизительно 100 кОм), и именно бла- годаря этому можно обеспечить стабиль- ное смещение. Одна из разновидностей рассмотренной схемы отличается использованием в эмит- терной цепи двух последовательных рези- сторов. один из которых шунтируется. Например, нужно спроектировать усили- тель. коэффициент усиления которого ра- вен 50. ток покоя -1 мА, а напряжение С7КК составляет +20 В, частота сигнала может изменяться от 20 Гц до 20 кГц. Если для решения поставленной задачи вы выберете схему с общим эмиттером. то получите усилитель, показанный на рис. 2.38. Коллекторный резистор выбран так, чтобы коллекторное напряжение по- коя составляло 0,5(7кк Эмиттерный ре- зистор выбран с учетом требуемого значе- ния коэффициента усиления и влияния гэ, составляющего 25ДК (мА). Трудность со- стоит в том, что эмиттерное напряжение, равное лишь 0,175 В, будет подвержено существенным изменениям. Дело в том, что падение напряжения на переходе ба- за-эмиттер, равное ~ 0,6 В, зависит от температуры (относительное изменение составляет примерно —2,1 мВ С), тогда как напряжение на базе поддерживается постоянным с помощью резисторов R, и R2; например, вы можете убедиться, что при увеличении температуры на 20 С кол- лекторный ток возрастает примерно на 25%. Это неприятное явление можно устра- нить, если включить в эмиттерную цепь дополнительный зашунтированный кон- денсатором резистор который не будет влиять на коэффициент усиления в рабо- чем диапазоне частот (рис. 2.39). Как и в предыдущей схеме коллекторный рези- стор выбран здесь так, чтобы напряжение на коллекторе было равно 10 В (0.5 С'кк) Нешунтир емый резистор в цепи эмитте- ра выбран таким образом, чтобы с учетом собственного сопротивления эмиттера, составляющего гэ = 25/7 к (мА), коэффи- циент усиления был равен 50. Дополни- тельное сопротивление в цепи эмиттера Должно быть таким, чтобы смешение бы- Рис. 2.39. Усмяитель с общим эмиттером, обладаю- щий стабильным смещением, линейностью и боль- шим коэффициентом усиления по напряжению. ло стабильным (хороший результат дает сопротивление, в 10 раз меньшее коллек- торного). Напряжение базы выбрано так, чтобы ток эмиттера был равен 1 мА, при условии что сопротивление цепи смеще- ния составляет десятую часть от сопро- тивления по постоянному току со сторо- ны базы (в данном случае около 100 кОм). Сопротивление шунтирующего конденса- тора в цепи эмиттера должно быть не- большим по сравнению с сопротивлением 180 + 25 Ом на самой низкой частоте диапазона. И наконец, входной конденса- тор межкаскадной связи должен иметь небольшой импеданс по сравнению с вход- ным сопротивлением усилителя на часто- те входного сигнала, которое определяет- Рис. 2.40. Другой вариант схемы, показанной на рис. 2.39.
94 Глава 2 Транзисторы 95 а Рис. 2.41. Схема смещения, в которой компенсируется падение напряжения между базой и эмиттером (7БЭ. ся параллельным соединением сопротив- ления делителя напряжения и сопротивле- ния (180 + 25)Л21э Ом (на частотах вход- ного сигнала сопротивление 820 Ом шун- тировано конденсатором и равноценно замкнутой накоротко цепи). В другом варианте этой схемы цепи сигнала и постоянного тока разделены (рис. 2.40). Это разделение позволяет из- менять коэффициент усиления (за счет резистора 180 Ом), не изменяя смещения. Использование согласованного транзи- стора. Для получения напряжения базы, обеспечивающего нужный ток коллекто- ра, можно использовать согласованные транзисторы, при этом будет обеспечена автоматическая температурная компенса- ция (рис. 2.41). В цепи коллектора транзи- стора Тг протекает ток 1 мА. потенциал коллектора близок потенциалу земли (точ- нее, превышает потенциал земли пример- но на величину падения напряжения СБЭ): если транзисторы 1\ и Т2 представляют собой согласованную пару (например, два транзистора, изготовленных на одном кристалле кремния), то смещение транзи- стора Т2 будет таким, что этот транзистор также будет порождать ток 1 мА и на- пряжение на его коллекторе будет равно + 10 В. при этом симметричный сигнал на коллекторе может иметь размах ±10 В. Изменение температуры не влияет на ра- боту схемы, так как оба транзистора на- ходятся в одинаковых температурных условиях. Вот чем хороши «монолитные» сдвоенные транзисторы. Обратная связь по постоянному току. Для стабилизации точки покоя (рабочей точки) можно использовать обратную связь по постоянному току. Один из мето- дов такой стабилизации показан на рис. 2.42. Определенное улучшение стабильно- сти можно получить, если напряжение смешения подавать с коллектора, а не от источника UKK. Напряжение на базе пре- вышает потенциал земли на величину па- дения напряжения на диоде; так как на- пряжение смещения снимается с делителя +15 В кОм ~'1ШБЭ (или ~7В) 11 мА Рис. 2.42. Стабильность смещения обеспечивается за счет обратной связи. нала. 10:1, то напряжение на коллекторе превы- шает потенциал земли на величину, рав- ную падению напряжения на диоде, уве- личенному в 11 раз, т. е. составляет % 7 В. Эта схема уменьшает склонность к насы- щению (которая может возникнуть, на- пример, если коэффициент ₽ будет не- обычно большим) за счет того, что при уменьшении коллекторного напряжения уменьшается напряжение смещения на ба- зе. Эту схему можно использовать в тех случаях, когда не нужна высокая стабиль- ность. Точка покоя (выхода) подвержена дрейфу примерно на 1 В за счет изменений температуры окружающей среды. Это свя- зано с тем, что напряжение между базой и эмиттером имеет большой темпера- турный коэффициент. Большей стабиль- ностью обладает схема, в которой петля обратной связи охватывает несколько ка- скадов усиления Примеры вы увидите там, где речь пойдет об обратной связи. Для того, чтобы понять, как работает эта схема, нужно внимательнее рассмот- реть обратную связь. Например, обратная связь уменьшает входной и выходной импедансы. Для входного сигнала сопро- тивление Aj уменьшено за счет усиления по напряжению которым обладает ка- скад. В данном случае резистор экви- валентен резистору с сопротивлением 200 Ом, один конец которого заземлен В следующей главе мы рассмотрим обрат- ную связь более подробно, и тогда вы сможете определить коэффициент усиле- ния по напряжению и входной и выходной импедансы данной схемы Отметим, что сопротивление резистора смещения базы можно увеличить, и тогда увеличится входной импеданс схемы, но ток базы уже нельзя будет считать пре- небрежимо малым. Можно, например, взять такие резисторы: — 220 кОм и R2 = 33 кОм. Другая возможность со- стоит в том, что в цепь обратной связи можно включить шунтирующий конден- сатор, как показано на рис. 2.43. При этом удается избавиться от обратной связи (а следовательно, и от пониженного входно- го импеданса) на частотах сигнала. Некоторые замечания относительно сме- щения и усиления. Первое важное замеча- ние касается усилительных каскадов с за- земленным эмиттером: создается впечат- ление, что коэффициент усиления по на- пряжению можно увеличить за счет увели- чения тока покоя, так как собственное сопротивление эмиттера гэ уменьшается при увеличении тока. Однако, хотя гэ и уменьшается при увеличении коллектор- ного тока, для получения того же самого рабочего напряжения на коллекторе при- ходится использовать меньший коллек- торный резистор, и в результате выигры- ша нет. На самом деле можно показать, что в усилителе с заземленным эмитте- ром, смещенным так, что напряжение по- коя составляет 0,5 UKK, коэффициент уси- ления по напряжению для малого сигнала равен К 20 LTKK независимо от величи- ны тока покоя (рабочего тока). Упражнение 2.10. Докажите, что сделанное выше утверждение справедливо. Если требуется увеличить коэффициент усиления каскада по напряжению, то мож- но, например, в качестве активной нагруз- ки использовать источник тока. Так как источник тока обладает очень большим импедансом, то на одном каскаде можно получить коэффициент усиления по на- пряжению, равный 1000 и выше. Такой подход не пригоден в схемах со смеше- нием. которые мы рассмотрели выше; ка- скад должен являться частью схемы, охва- ченной обшей петлей обратной связи по постоянному току. Об этом поговорим
96 Глава 2 Транзисторы 97 в следующей главе. Внешняя нагрузка та- кого усилителя обязательно должна быть велика, в противном случае усиление, по- лученное за счет большого коллекторного сопротивления, будет потеряно В качест- ве такой высокоомной нагрузки можно использовать эмиттерный повторитель, полевой транзистор или операционный усилитель. В радиочастотных усилителях, предназ- наченных для резонансного усиления в узкой полосе частот, в качестве коллек- торной нагрузки принято использовать параллельный LC-контур; в этом случае можно получить очень большой коэффи- циент усиления по напряжению, так как на частоте сигнала LC-контур обладает большим импедансом (как источник то- ка), а его импеданс по постоянному току мал. LC-контур можно перестраивать и благодаря резонансной характеристике он подавляет сигналы, лежащие за предела- ми рабочего диапазона. К преимуществам этой схемы можно отнести также возмож- ность получения размаха выходного сиг- нала, равного 2СКК, и возможность использования трансформаторной связи. Упражнение 2.11. Разработайте резонансный уси- лительный каскад с общим эмиттером для частоты 10 кГц. Используйте в схеме шунтированный эмит- терный резистор и установите ток покоя величиной 1 мА Пусть LKK = — 15 В а£ = 1,0 мГн; параллельно LC-контуру подключите резистор 6.2 кОм, с тем чтобы получить О = 10 (ширина полосы 10%. см. разд. 1.22). Для межкаскадной связи используйте на входе конденсатор 2.14. Токовые зеркала От схемы смешения с использованием согласованной пары транзисторов легко перейти к так называемому токовому зер- калу (рис. 2 т4). Работа токового зеркала «программируется» путем задания кол- лекторного тока транзистора Tv Напря- жение ивэ для Т} устанавливается в соот- ветствии с заданным током, температу- рой окружающей среды и типом транзи- стора. В результате оказывается задан- ным режим схемы, и транзистор Т2, согла- сованный с транзистором 1\ (лучше всего использовать монолитный сдвоенный транзистор), передает в нагрузку такой же TJ2 । Токовое зеркало "% 1 Нагрузка Т Управляющий (входной) гпок /ЛР Рис. 2.44. Классическая схема токового зеркала на основе согласованной пары биполярных транзисто- ров. Отметим, что положительное питающее напря- жение принято обозначать Г'кк, даже в тех случаях, когда используются транзисторы р-п -р-типа. ток, что задан для 7] Небольшими базо- выми токами можно пренебречь Одно из достоинств описанной схемы состоит в том, что ее диапазон устойчиво- сти по напряжению равен Скк за вычетом нескольких десятых долей вольта, так как нет падения напряжения на эмиттерном резисторе. Кроме того, во многих случаях удобно задавать ток с помощью тока. Легче всего получить управляющий ток /пр с помощью резистора (рис. 2.45). В связи с тем, что эмиттерные переходы транзисторов представляют собой диоды, падение напряжения на которых мало по сравнению сСкк. резистор 14,4 кОм фор- мирует управляющий, а следовательно, и выходной юк величиной 1 мА. Токовые зеркала можно использовать в тех слу- чаях, когда в транзисторной схеме необ- ходим источник тока. Их широко исполь- зуют при проектировании интегральных схем, когда, а) под рукой есть много согласованных транзисторов и б) разра- ботчик хочет создать схему, которая бы работала в широком диапазоне питаю- щих напряжений. Существуют даже без- резисторные интегральные операционные усилители, в которых режимный ток всего усилителя задается с помощью одного внешнего резистора, а токи отдельных внутренних усилительных каскадов фор- мируются с помошью токовых зеркал. Недостатки токовых зеркал, обусловлен- ные эффектом Эрли. Простое токовое зер- кало обладает одним недостатком: вы- ходной ток несколько изменяется при изменении выходного напряжения, т. е. выходное сопротивление схемы не беско- нечно. Это связано с тем, что при задан- ном токе транзистора Т2 напряжение СБЭ слегка меняется в зависимости от коллек- торного напряжения (проявление эффекта Эрли); иначе говоря, график зависимости коллекторного тока от напряжения между коллектором и эмиттером при фиксиро- ванном напряжении между базой и эмит- тером не является горизонтальной линией (рис. 2.46) Практически ток может изме- няться приблизительно на 25% в диапазо- не устойчивой работы схемы, т. е. харак- теристики такой схемы существенно хуже, чем характеристики рассмотренного вы- ше источника тока с эмиттерным резисто- ром. Если же нужен более высококачествен- ный источник тока (чаше всего таких тре- бований не возникает), то подойдет схема. 4 — 626 Рис. 2.47. Улучшенная схема токового зеркала. показанная на рис. 2.47. Эмиттерные рези- сторы выбраны таким образом, что па- дение напряжения на них составляет не- сколько десятых долей вольта; такая схе- ма-гораздо лучший источник тока, так как в ней изменения напряжения СБЭ. обусловленные изменениями напряжения 17кэ, оказывают пренебрежимо малое влияние на выходной ток. В этой схеме также следует использовать согласован- ные транзисторы. Токовое зеркало Уилсона. На рис. 2.48 представлено еще одно токовое зерка- ло, обеспечивающее высокую степень по- стоянства выходного тока. Транзисторы Tj и Т2 включены как в обычном токовом зеркале. Благодаря транзистору Т3 потен- циал коллектора транзистора Тг фиксиро- Рис. 2.48. Токовое зеркало Уилсона. Влияние измене- ний напряжения на нагрузке на выходной ток подав- лено за счет каскодного включения транзистора Т3. которое позволяет уменьшить изменения напряжения транзистора 7\ .
98 Глава 2 Транзисторы 99 ток Рис. 2.49. Схема токового зеркала с несколькими вы- ходами. Эта схема широко используется для получе- ния нескольких программируемых источников тока. ван и на удвоенную величину падения напряжения на диоде ниже, чем напряже- ние питания UKK. Такое включение позво- ляет подавить эффект Эрли в транзисторе Тх, коллектор которого теперь служит для задания режима работы схемы; выходной ток определяется транзистором Т2 Тран- зистор Т3 не влияет на баланс токов, если его базовый ток пренебрежимо мал; его единственная функция состоит в том. что- бы зафиксировать потенциал коллектора В результате в токозадающих тран- зисторах Ту и Т2 падения напряжения на эмиттерных переходах фиксированы; тран- зистор Т3 можно рассматривать как эле- мент, который просто передает выход- ной ток в нагрузку, напряжение на кото- рой является переменным (аналогичный прием используют при каскодном вклю- чении. которое мы рассмотрим позже). Кстати, транзистор Т3 не обязательно со- гласовывать с транзисторами Ту и Т2. ток а Рис. ? 5]. Токовые зеркала, в которых коэффициент Рис. 2.50. Схемы с несколькими выходами и ко- эффициенты отражения тока. Схему токо- вого зеркала можно построить так. что вытекающий выходной ток (или втекаю- щий-в случае использования транзисто- ров и-£>-л-типа) будет передаваться в не- сколько нагрузок. О том, как эта идея воплощается в жизнь, дает представление схема, изображенная на рис. 2.49. Отме- тим, что если один из транзисторов-источ- ников тока переходит в режим насыщения (в том случае, например, когда отклю- чается его нагрузка), то его база будет отбирать повышенный ток из обшей ли- нии, соединяющей базы всех транзисто- ров, и в связи с этим уменьшаются осталь- ные выходные токи. Положение можно улучшить, если включить в схему еще один транзистор (рис. 2.50). На рис. 2.51 представлены два варианта многовыходного токового зеркала. Эти схемы отражают удвоенный (или поло- отражения тока отличен oi Рис. 2.52. Снижение выходного тока с помощью эмиттерного резистора. Отметим, что выходной ток здесь не кратен управляющему. винный) управляющий ток. При разра- ботке токовых зеркал в интегральных схе- мах коэффициент отражения тока задают путем выбора размеров (площадей) эмит- терных переходов Фирма Texas Instruments предлагает то- ковые зеркала Уилсона в виде закончен- ных монолитных схем в удобных транзи- сторных корпусах типа ТО-92. Серия TL011 включает схемы, которые обеспе- чивают отношения 1:1, 1:2, 1:4 и 2:1, при этом диапазон устойчивости выход- ного напряжения определяется значения- ми от 1,2 до 40 В. Схема Уилсона обла- дает хорошими характеристиками источ- ника тока-при постоянном программи- рующем токе выходной ток увеличивает- ся только на 0,05% на вольт-помимо всего она очень недорога (50 центов и Дешевле). К сожалению, эти полезные схе- мы существуют только на транзисторах и~Р-п-типа. Еще один способ получения выходного тока кратного управляющему. состоит во включении дополнительного резистора в Цепь эмиттера выходного транзистора (Рис 2.52). Если схема работает с токами различной плотности, то, согласно урав- нению Эберса-Молла, разность напряже- иии ^бэ зависит только от отношения Рис. 2.53. Зависимость отношения коллекторных то- ков в сог тасованных парах транзисторов от разности напряжений база-эмиттер. плотностей токов. Для согласованных транзисторов отношение коллекторных токов равно отношению плотностей то- ков. График на рис. 2.53 позволяет опре- делить разность напряжений между базой и эмиттером в подобном случае и полезен при разработке токовых зеркал с нееди- ничным отражением. Упражнение 2.12. Покажите, что токовое зеркало с неединичным отражением, показанное на рис. 2.52. работает так, как мы описали. НЕКОТОРЫЕ ТИПЫ УСИЛИТЕЛЬНЫХ КАСКАДОВ 2.15. Двухтактные выходные каскады В этой главе уже было отмечено, что если в эмиттерном повторителе используется транзистор п—р—ч-типа. то ток не может втекать в схему, если же используется транзистор р-д-77-типа, то ток не может вытекать. В результате повторитель с несимметричным выходом в котором используются расщепленные источники питания, а ток покоя имеет большую величину, при двуполярном сигнале мо- жет работать только на заземленную на- грузку (такие схемы называют иногда уси- лителями класса А). Ток покоя должен 4*
100 Глава 2 Транзисторы 101 Рис. 2.54. Усилитель громкоговорителя на 10 Вт. по- строенный на основе эмиттерного повторителя с од- нополюсным выходом, рассеивает мощность 165 Вт! быть по крайней мере таким же большим как максимальный выходной ток при пи- ковых значениях сигнала, в результате схема в состоянии покоя рассеивает боль- шую мощность. Например, на рис. 2.54 показана схема повторителя, который ра- ботает на нагрузку с сопротивлением 8 Ом и мощностью до 10 Вт. Повторитель 7] на транзисторе /?-л-/?-типа служит для того, чтобы снизить требования к мощно- сти входного сигнала схемы и скомпенси- ровать напряжение смешения иъэ в тран- зисторе Т2 (напряжение О В на входе дает О В на выходе). Конечно, для простоты Т можно было бы опустить Большой источ- ник тока, используемый в качестве нагруз- ки в цепи эмиттера Ту. служит для того, чтобы обеспечить достаточный базовый ток для Т2 при пиковом значении сигнала. Резистор в цепи эмиттера не используют потому, что он должен был бы иметь стишком малое сопротивление (50 Ом или меньше), для того чтобы при пиковом значении сигнала можно было гарантиро- вать базовый ток Т2. равный по крайней мере 50 мА; при этом ток нагрузки был бы максимальным, а падение напряжения на резисторе минимальным; результиру- ющий ток покоя Ту оказался бы чрезмер- но большим Выходной сигнал схемы может изме- няться в диапазоне + 15 В (пиковые значе- ния) и отдавать в нагрузку требуемую мощность (эффективное напряжение 9 В Рис. 2.55. Двухтактная схема эмиттерного повтори- теля. на сопротивлении 8 Ом). Однако в отсут- ствие сигнала выходной транзистор рас- сеивает мощность 55 Вт, а эмиттерный резистор - еще 110 Вт. Для усилителей такого типа, принадлежащих к классу А (транзистор всегда в открытом состоя- нии), характерно, что мощность, рассеи- ваемая в состоянии покоя, во много раз превышает максимальную выходную мощность; схема оставляет желать луч- шего, особенно если речь идет о системах, связанных с большим выделением мощ- ности. На рис. 2.55 показана двухтактная схе- ма повторителя, которая работает анало- гичным образом. Транзистор открыт при положительных значениях сигнала, а транзистор Т2-при отрицательных. При нулевом входном напряжении коллектор- ного тока нет и мощность не расеивается. При выходной мощности 10 Вт каждый транзистор рассеивает мощность менее 10 Вт. Переходные искажения в двухтактных каскадах. Предыдущей схеме присуще следующее свойство: выходной сигнал отслеживает входной сигнал с разницей на величину падения напряжения б БЭ; на положительном интервале входного сиг- нала выходное напряжение примерно на 0.6 В меньше, чем входное, на отрицатель- ном интервале наоборот. Для синусои- дального входного сигнала выходной сиг- нал будет таким, как показано на рис. Рис 2.56 Переходные искажения в двухтактном по- вторителе. 2 56. На языке радиотехники такое иска- жение сигнала называется переходным искажением. Лучше всего немного сме- стить двухтактный каскад в состояние проводимости, как показано на рис. 2.57 (еще один метод устранения переходного искажения связан с использованием об- ратной связи, хотя он имеет некоторые недостатки). Резисторы смешения R переводят дио- ды в состояние проводимости, благодаря этому напряжение на базе Ту превышает входное напряжение на величину падения напряжения на диоде, а напряжение на базе Т2 на величину падения напряжения на диоде меньше, чем входное напряже- ние. Теперь, когда входной сигнал прохо- дит через нуль, проводящим транзисто- ром вместо Т2 становится Ту; один из выходных транзисторов всегда открыт. Резистор R выбран так, чтобы обеспечи- вался необходимый базовый ток в выход- ных транзисторах при пиковых значе- ниях выходного сигнала. Например, если ₽Ис’ ~-57. Устранение переходных искажений за счет СМе*Цйтя двухтактного повторителя. используются источники питания +20 В, а нагрузка имеет сопротивление 8 Ом и мощность 10 Вт для синусоидального сигнала, пиковое базовое напряжение со- ставляет около 13,5 В, а пиковый ток нагрузки 1,6 А. Допустим, что коэффи- циент р транзистора равен 50 (мощные транзисторы обычно имеют меньший ко- эффициент усиления по току, чем мало- сигнальные транзисторы), тогда для полу- чения базового тока, равного 32 мА, по- требуются базовые резисторы с сопротив- лением 220 Ом (при пиковом значении сигнала ток базы будет определяться на- пряжением 6,5 В, равным разности 13,5 В и напряжения источника питания UKK). Температурная стабильность двухтакт- ных усилителен класса В. Рассмотренный выше усилитель (иногда такие схемы на- зывают усилителями класса В при этом имеют в виду, что каждый транзистор находится в открытом состоянии только в течение половины периода входного сигнала) имеет один серьезный недоста- ток: он не обладает температурной ста- бильностью. По мере того как выходные транзисторы нагреваются (когда прило- жен входной сигнал, они нагреваются, так как рассеивают мощность), напряжение С/БЭ начинает убывать, а коллекторный ток покоя - возрастать. Выделяющееся при этом дополнительное тепло усугуб- ляет положение и повышает вероятность того, что в схеме разовьется неконтроли- руемая тепловая положительная обратная связь (эта вероятность зависит от ряда факторов: насколько велик радиатор для отвода тепла, совпадает ли температура диодов с температурой транзисторов и др.). Даже если этого не произойдет и схе- ма не выйдет из строя, необходимо обе- спечить более надежное управление ее ра- ботой; обычно прибегают к схеме, пока- занной на рис. 2.58. Для примера здесь показан случай, ког- да входной сигнал снимается с коллекто- ра предшествующего каскада резистор выполняет двойную функцию он явля- ется коллекторным резистором транзи- стора Ту и формирует ток для смещения диодов и смещающего резистора в основ- ной двухтактной схеме. Резисторы R3 и
102 Глава 2 Транзисторы 103 Рис. 2.58. Увеличение температурной стабильности двухтактного повторителя за счет включения в схему небольших эмиттерных резисторов. обычно имеют сопротивление несколько ом или ниже; они «амортизируют» крити- ческое смешение тока покоя: напряжение между базами выходных транзисторов должно быть немного больше, чем удвоен- ное падение напряжения на диоде; допол- нительное падение напряжения обеспечи- вает регулируемый резистор смещения R2 (его часто заменяют еще одним диодом). Падение напряжения на резисторах R3 и Д4 составляет несколько десятых долей вольта, благодаря этому температурное изменение напряжения б'БЭ не приводит к быстрому возрастанию тока (чем боль- ше падение напряжения на R3 и Д4. тем менее чувствителен к температуре ток) и схема работает стабильно. Стабиль- ность увеличивается, если диоды имеют тепловой контакт с выходными транзи- сторами (или их радиаторами). Температурную стабильность схемы можно оценить, если вспомнить, что паде- ние напряжения между базой и эмиттером уменьшается примерно на 2.1 мВ при увеличении температуры на каждый гра- дус (°C), а коллекторный ток увеличива- ется в 10 раз при каждом увеличении напряжения между базой и эмиттером на 60 мВ. Например, если резистор R2 заме- нить диодом, то напряжение между база- ми транзисторов Т2 и Т3 будет равно утроенному падению напряжения на дио- де, а на последовательное соединение ре- зисторов R3 и Д4 будет приходиться паде- ние напряжения, равное падению напря- жения на диоде. (Следовательно, резисто- ры R3 и Я4 должны быть подобраны таким образом, чтобы обеспечивался нуж- ный ток покоя, например 50 мА для уси- лителя звуковых частот.) Самым хучшим для этой схемы является случай, когда смещающие диоды не имеют теплового контакта с выходными транзисторами. Рассмотрим такой самый худший слу- чай и вычислим увеличение тока покоя выходного каскада, соответствующее по- вышению температуры выходного тран- зистора на 30 JC. Кстати, для усилителя мощности такое увеличение температуры не является большим. Указанное повыше- ние температуры при постоянном значе- нии тока приводит к уменьшению напря- жения 1/БЭ выходных транзисторов приб- лизительно на 63 мВ и к увеличению падения напряжения на резисторах R3 и А4 приблизительно на 20% (т. е. прибли- зительно на 20% увеличивается ток по- коя). Для усилителя без эмиттерных рези- сторов (рис. 2.57) аналогичный расчет по- казывает, что ток покоя увеличится в 10 раз (напомним, что ток 1К увеличивается в 10 раз при возрастании напряжения СБЭ на 60 мВ), т. е. его рост составит 1000%. Очевидно, что температурная стабиль- ность последней схемы с резисторами смещения в цепях эмиттеров значительно выше. Еще одно преимущество этой схемы состоит в том, что регулировка тока по- коя позволяет управлять величиной пере- ходных искажений. Двухтактные усилите- ли, в которых смещение используется для получения достаточно большого тока по- коя в момент перехода сигнала через нуль, называют иногда усилителями клас- са АВ: это название подразумевает, что в течение некоторого интервала времени оба транзистора находятся в состоянии проводимости. Практически при выборе тока покоя следует найти компромисс между уменьшением искажения и рассеи- Рис. 2.59. Схема задания смещения двухтактного вы- ходного каскада для уменьшения переходных искаже- ний и повышения температурной стабильности. ваемой мощностью в состоянии покоя. Почти всегда для ослабления переходного искажения используют еще обратную связь, о которой пойдет речь в следующей главе. Другой метод смещения двухтактного повторителя представлен на рис. 2.59. Транзистор Т4 работает как регулируе- мый диод: базовые резисторы образуют делитель напряжения, благодаря которо- му напряжение между коллектором и эмиттером Т4 стабилизируется при зна- чении, пропорциональном напряжению между базой и эмиттером (оно равно падению напряжения на диоде); при уве- личении напряжения U кэ транзистор пере- ходит в режим большей проводимости, и наоборот. Например, если оба резисто- ра имеют сопротивления 1 кОм. то тран- зистор удерживает напряжение между коллектором и эмиттером, равное удвоен- ному падению напряжения на диоде. В по- казанном на рис. 2.59 случае регулировка смещения позволяет установить напряже- ние между базами в диапазоне от 1 до 3.5 падения напряжения на диоде. Конденса- тор емкостью 1 мкФ служит для того, чтобы на базы выходных транзисторов поступил одинаковый сигнал: такой шун- тирующий конденсатор полезен в любой схеме смешения. В данной схеме коллек- торный резистор транзистора 7\ заменен источником тока Т5. Эту разновидность схемы с успехом используют на практи- ке-дело в том, что с помощью резистора бывает иногда трудно получить нужный базовый ток для транзистора Т2 при зна- чениях сигнала, близких к максимальным. Для того чтобы удовлетворить требова- ниям со стороны транзистора Т2, рези- стор должен быть небольшим, но тогда большим будет коллекторный ток покоя транзистора Тг (рассеиваемая мощность также будет велика), а коэффициент уси- ления по напряжению также будет не- большим (напомним, что К = — 1 Аэ). Задачу формирования базового тока для транзистора Т2 позволяет решить также метод следящей связи, который мы рас- смотрим ниже. 2.16. Составной транзистор (схема Дарлингтона) Если соединить транзисторы, как показа- но на рис. 2.60, то полученная схема будет работать как один транзистор, причем его коэффициент р будет равен произведению коэффициентов Р составляющих транзи- сторов. Этот прием полезен для схем, работающих с большими токами (напри- мер, для стабилизаторов напряжения или выходных каскадов усилителей мощно- сти) или для входных каскадов усилите- лей, если необходимо обеспечить боль- шой входной импеданс. В транзисторе Дарлингтона падение на- Рис. 2.60. Составной транзистор Дарлингтона.
104 Глава 2 Рис. 2.61. Повышение скорости выключения в состав- ном транзисторе Дарлингтона. Рис. 2.62. Соединение транзисторов по схеме Шиклаи («дополняющий транзистор Дарлингтона»). пряжения между базой и эмиттером в два раза больше обычного, а напряжение на- сыщения равно по крайней мере падению напряжения на диоде (так как потенциал эмиттера транзистора 7\ должен превы- шать потенциал эмиттера транзистора Т2 на величину падения напряжения на дио- де). Кроме того, соединенные таким обра- зом транзисторы ведут себя как один транзистор с достаточно малым быстро- действием, так как транзистор 7\ не мо- жет быстро выключить транзистор Т2. С учетом этого свойства обычно между базой и эмиттером транзистора Т2 вклю- чают резистор (рис. 2.61). Резистор R пре- дотвращает смешение транзистора Т2 в область проводимости за счет токов утеч- ки транзисторов и Т2. Сопротивление резистора выбирают так. чтобы токи утеч- ки (измеряемые в наноамперах для мало- сигнальных транзисторов и в сотнях мик- роампер для мощных транзисторов) соз- давали на нем падение напряжения, не превышающее падения напряжения на диоде, и вместе с тем чтобы через него протекал ток. малый по сравнению с базо- вым током транзистора Т2. Обычно со- противление R составляет несколько со- тен ом в мощном транзисторе Дарлингто- на и несколько тысяч ом в малосигналь- ном транзисторе Дарлингтона. Промышленность выпускает транзисто- ры Дарлингтона в виде законченных мо- дулей. включающих, как правило, и эмит- терный резистор Примером такой стан- дартной схемы служит мощный п-р-п- транзистор Дарлингтона типа 2N6282. его коэффициент усиления по току равен 4000 (типичное значение) для коллекторного тока, равного 10 А. Соединение транзисторов по схеме Шик- лаи (Sziklai). Соединение транзисторов по схеме Шиклаи представляет собой схему, подобную той. которую мы только что рассмотрели. Она также обеспечивает уве- личение коэффициента (3. Иногда такое соединение называют комплементарным транзистором Дарлингтона (рис. 2.62). Схема ведет себя как транзистор п-р-п- типа, обладающий большим коэффициен- том Р В схеме действует одно напряжение между базой и эмиттером, а напряжение насыщения, как и в предыдущей схеме, равно по крайней мере падению напряже- ния на диоде. Между базой и эмиттером транзистора Т2 рекомендуется включать резистор с небольшим сопротивлением. Разработчики применяют эту схему в мощных двухтактных выходных каскадах, когда хотят использовать выходные тран- зисторы только одной полярности. При- мер такой схемы показан на рис. 2.63. Как и прежде, резистор представляет собой коллекторный резистор транзистора Tv Транзистор Дарлингтона, образованный транзисторами Т2 и Т3, ведет себя как один транзистор п-р-n-типа с большим коэффициентом усиления по току. Тран- зисторы Т4 и Т5, соединенные по схеме Шиклаи, ведут себя как мощный транзи-
Транзисторы 105 Рис. 2.63. Мощный двухтактный каскад, в котором использованы выходные транзисторы только п-р- л-типа. crop p-n-jp-типа с большим коэффициен- том усиления Как и прежде, резисторы R3 и R4 имеют небольшое сопротивление. Эту схему иногда называют двухтактным повторителем с квазидополнительной симметрией В настоящем каскаде с до- полнительной симметрией (комплементар- ном) транзисторы Т4 и Т5 были бы соеди- нены по схеме Дарлингтона. Транзистор со сверхбольшим значением коэффициента усиления по току. Состав- ные транзисторы - транзистор Дарлингто- на и ему подобные-не следует путать с транзисторами со сверхбольшим значе- нием коэффициента усиления по току, в которых очень большое значение коэффи- циента А21Э получают в ходе технологиче- ского процесса изготовления элемента Примером такого элемента служит тран- зистор типа 2N5962. для которого гаран- тируется минимальный коэффициент уси- ления по току, равный 450. при изменении коллекторного тока в диапазоне от 10 мкА До 10 мА этот транзистор принадлежит к серии элементов 2N5961-2N5963, кото- рая характеризуется диапазоном макси- мальных напряжений С/Кэ от 30 до 60 В (если коллекторное напряжение должно быть больше, то следует пойти на умень- шение значения £). Промышленность вы- пускает согласованные пары транзисто- ров со сверхбольшим значением коэффи- циента р. Их используют в усилителях с низким уровнем сигнала, для которых транзисторы должны иметь согласован- ные характеристики; этому вопросу по- священ разд. 2.18. Примерами подобных стандартных схем служат схемы типа LM394 и МАТ-01; они представляют со- бой транзисторные пары с большим ко- эффициентом усиления, в которых напря- жение ТБ) согласовано до долей милли- вольта (в самых хороших схемах обеспе- чивается согласование до 50 мкВ), а коэф- фициент Л21Э-до 1%. Схема типа МАТ-03 представляет собой согласованную пару д-р-транзисторов. Транзисторы со сверхбольшим значе- нием коэффициента р можно объединять по схеме Дарлингтона. При этом базовый ток смещения можно сделать равным все- го лишь 50 пкА (примерами таких схем служат операционные усилители типа LM111 и LM316. 2.17. Следящая связь При задании напряжения смещения, на- пример в эмиттерном повторителе, рези- сторы делителя в цепи базы выбирают так. чтобы делитель по отношению к базе выступал в качестве жесткого источника напряжения, т. е. чтобы сопротивление па- раллельно включенных резисторов было значительно меньше, чем входное сопро- тивление схемы со стороны базы. В связи с этим входное сопротивление всей схемы определяется делителем напряжения для сигнала, поступающего на ее вход, вход- ное сопротивление оказывается гораздо меньше, чем это действительно необходи- мо. На рис. 2.64 показан соответствую- щий пример. Полное входное сопротивле- ние схемы равно приблизительно 9 кОм, а сопротивление делителя напряжения для входного сигнала равно 10 кОм. Жела-
106 Глава 2 Транзисторы 107 тельно, чтобы входное сопротивление всегда было большим, и уж во всяком случае неразумно нагружать источник входного сигнала схемы делителем, кото- рый в конечном счете нужен только для того, чтобы обеспечить смешение транзи- стора. Выйти из затруднения позволяет метод следящей связи (рис. 2.65). Смеше- ние транзистора обеспечивают резисторы Rv R2, R3. Конденсатор С2 выбирают таким, чтобы его полное сопротивление на частотах сигнала было мало по сравне- нию с сопротивтением резисторов смеше- ния. Как всегда смещение будет стабиль- ным, если сопротивление его источника по постоянному току, приведенное в базе (в данном случае 9.7 кОм), значительно меньше сопротивления по постоянному току со стороны базы (в данном случае 100 кОм). Но здесь входное сопротив- Рис. 2.65. Повышение входного импеданса эмиттер- ного повторителя на частотах сигнала за счет включе- ния в цепь следящей связи делителя, обеспечивающего смещение базы. ление для частот сигнала не равно сопро- тивлению по постоянному току. Рассмот- рим путь прохождения сигнала: входной сигнал [7ВХ порождает сигнал на эмиттере иэ ~ wbx- поэтому приращение тока, проте- кающего через резистор смещения составит I = (пвх — пэ)/Я3 % 0, т. е. ZBX =’ = ивх/гвх) ~ °0- Мы получили, что входное (шунтирующее) сопротивление схемы сме- щения очень велико для частот сигнала. Другой подход к анализу схемы осно- ван на том, что падение напряжения на резисторе R3 для всех частот сигнала одинаково (так как напряжение между его выводами изменяется одинаково), т. е. он представляет собой источник тока. Но сопротивление источника тока бесконеч- но. На самом деле фактическое значение сопротивления не бесконечно, так как ко- эффициент усиления повторителя немного меньше 1. Последнее вызывается тем. что падение напряжения между базой и эмит- тером зависит от коллекторного тока, который изменяется при изменении уров- ня сигнала. Тот же результат можно полу- чить. если рассмотреть делитель, образо- ванный выходным сопротивлением со стороны эмиттера [гэ = 25/7к (мА) Ом] и эмиттерным резистором. Если коэффи- циент усиления повторителя по напряже- нию обозначить А (А « 1), то действую- щее значение сопротивления R3 на часто- тах сигнала равно А3/(1 — А). На практике действующее значение сопротивления R3 больше его номинала приблизительно в 100 раз, и во входном сопротивлении преобладает входное сопротивление тран- зистора со стороны базы. В инвертирую- щем усилителе с общим эмиттером может быть выполнена аналогичная следящая связь, так как сигнал на эмиттере повто- ряет сигнал на базе. Обратите внимание, что схема делителя напряжения смещения запитывается по переменному току (на частотах сигнала) с низкоомного эмиттер- ного выхода, поэтому входному сигналу не приходится этим заниматься. Следящая связь в коллекторной нагруз- ке. Принцип следящей связи можно исполь- зовать для увеличения действующего (эффективного) сопротивления коллек- торного нагрузочного резистора, если Рис. 2.66. Следящая связь в коллекторной нагрузке усилителя мощности, представляющего собой нагру- жающий каскад каскад нагружен на повторитель. При этом существенно увеличится коэффициент усиления каскада по напряжению [напом- ним, что К и = -gmRK, а дт= 1/(7?3 + гэ)]. На рис. 2.66 показан пример двухтактного выходного каскада со следящей связью, построенной подобно рассмотренной вы- ше схеме двухтактного повторителя Так как выход повторяет сигнал на базе тран- зистора Т2, конденсатор С создает следя- щую связь в коллекторную нагрузку тран- зистора 7] и поддерживает постоянное падение напряжения на резисторе R2 при наличии сигнала (импеданс конденсатора С должен быть малым по сравнению с R, и R2 во всей полосе частот сигнала). Благодаря этому резистор R2 становится подобен источнику тока, увеличивается коэффициент усиления транзистора 7] по напряжению и поддерживается достаточ- ное напряжение на базе транзистора Т2 Даже при пиковых значениях сигнала. Когда сигнал становится близким к на- пряжению питания Сткк, потенциал в точ- ке соединения резисторов Ri и R2 стано- вится больше, чем UKK, благодаря заряду, накопленному конденсатором С. При этом если Ry = R2 (неплохой вариант вы- бора резисторов), то потенциал в точке их соединения превысит UKK в 1,5 раза в тот момент, когда выходной сигнал станет равен (7КК. Эта схема завоевала большую популярность при разработке бытовых усилителей низкой частоты, хотя простой источник тока обладает преимуществами перед схемой со следящей связью, так как отпадает необходимость в использовании нежелательного элемента - электролити- ческого конденсатора - и обеспечиваются лучшие характеристики на низких часто- тах. 2.18. Дифференциальные усилители Дифференциальный усилитель - это широ- ко известная схема, используемая для уси- ления разности напряжений двух входных сигналов. В идеальном случае выходной сигнал не зависит от уровня каждого из входных сигналов, а определяется только их разностью Когда уровни сигналов на обоих входах изменяются одновременно, то такое изменение входного сигнала на- зывают синфазным Дифференциальный или разностный входной сигнал называют еще нормальным или полезным. Хоро- ший дифференциальный усилитель обла- дает высоким коэффициентом ослабления синфазного сигнала (КОСС), который пред- ставляет собой отношение выходного по- лезного сигнала к выходному синфазному сигналу, при условии что полезный и син- фазный входные сигналы имеют одинако- вую амплитуду Обычно КОСС опреде- ляют в децибелах. Диапазон изменения синфазного входного сигнала задает до- пустимые уровни напряжения, относитель- но которого должен изменяться входной сигнал. Дифференциальные усилители исполь- зуют в тех случаях, когда слабые сигналы можно потерять на фоне шумов. Приме- рами таких сигналов являются цифровые сигналы, передаваемые по длинным кабе- лям (кабель обычно состоит из двух скру- ченных проводов), звуковые сигналы (в радиотехнике понятие «балансный» импе-
108 Глава 2 Транзисторы 109 дане обычно связывают с дифференциаль- ным импедансом 600 Ом), радиочастот- ные сигналы (двухжильный кабель явля- ется дифференциальным), напряжения электрокардиограмм, сигналы считыва- ния информации из магнитной памяти и многие другие. Дифференциальный уси- литель на приемном конце восстанавли- вает первоначальный сигнал, если синфаз- ные помехи не очень велики. Дифферен- циальные каскады широко используют при построении операционных усилите- лей, которые мы рассматриваем ниже Они играют важную роль при разработке усилителей постоянного тока (которые усиливают частоты вплоть до постоянно- го тока, т.е. не используют для межкас- кадной связи конденсаторы): их симмет- ричная схема по сути своей приспособ- лена для компенсации температурного дрейфа На рис 2.67 показана основная схема дифференциального усилителя. Выходное напряжение измеряется на одном из кол- лекторов относительно потенциала зем- ли; такой усилитель называют схемой с однополюсным выходом или разностным усилителем и он распространен наиболее широко. Этот усилитель можно рассмат- ривать как устройство, которое усиливает дифференциальный сигнал и преобразует его в несимметричный сигнал, с которым могут работать обычные схемы (повтори- тели напряжения, источники тока и т п.). Если же нужен дифференциальный сигнал, то его снимают между коллекторами. Чему равен коэффициент усиления этой схемы? Его нетрудно подсчитать: допу- стим, на вход подается дифференциаль- ный сигнал, при этом напряжение на вхо- де 1 увеличивается на величину ивх (изме- нение напряжения для малого сигнала по отношению ко входу). До тех пор пока оба транзистора нахо- дятся в активном режиме, потенциал точ- ки А фиксирован Коэффициент усиления можно определить как и в случае усилите- ля на одном транзисторе, если заметить, что входной сигнал оказывается дважды приложенным к переходу база-эмиттер любого транзистора: Калф = Ак/2(г? + + Аэ) Сопротивление резистора R3 обыч- но невелико (100 Ом и меньше), а иногда этот резистор вообще отсутствует. Диф- ференциальное напряжение обычно усили- вается в несколько сотен раз. Для того чтобы определить коэффи- циент усиления синфазного сигнала, на оба входа усилителя нужно подать одина- ковые сигналы wBX. Если вы внимательно рассмотрите этот случай (и вспомните, что через резистор Rr протекают оба эмиттерных тока), то получите Ксинф = = — RK/(2Rr + R3). Мы пренебрегаем со- противлением гэ, так как резистор Rr обычно выбирают большим-его сопро- тивление составляет по крайней мере не- сколько тысяч ом. На самом деле сопро- тивлением R3 тоже можно пренебречь. КОСС приблизительно равен Rl/(r3 + -t- R3). Типичным примером дифферен- циального усилителя является схема, пред- ставленная на рис. 2.68. Рассмотрим как она работает. Сопротивление резистора RK выбрано так. чтобы коллекторный ток покоя мож- но было взять равным 100 мкА Как обычно, для получения максимального динамического диапазона потенциал кол- лектора установлен равным 0,5 Скк. У транзистора Т\ коллекторный резистор отсутствует, так как его выходной сигнал снимается с коллектора другого транзи- стора. Сопротивление резистора R} выб- рано таким, что суммарный ток равен 200 мкА и поровну распределен между транзисторами, когда входной (дифферен- циальный) сигнал равен нулю. Согласно 200 мкА I Л1 у I I75 кОм -15 В Рис. 2.68 Вычисление характеристик дифференциаль- ного усилителя - и А = (Кк/2(КЭ + гэ): Ксиф = ^/(2/?, + Яэ + гэ); КОСС » Л,/(ЛЭ + г,)- только что выведенным формулам ко- эффициент усиления дифференциального сигнала равен 30, а коэффициент усиления синфазного сигнала равен 0,5. Если исклю- чить из схемы резисторы 1.0 кОм. то коэффициент усиления дифференциально- го сигнала станет равен 150, но при этом уменьшится входное (дифференциальное) сопротивление с 250 до 50 кОм (если необходимо, чтобы величина этого сопро- тивления имела порядок мегаом, то во входном каскаде можно использовать транзисторы Дарлингтона). Напомним, что в несимметричном уси- лителе с заземленным эмиттером при вы- ходном напряжении покоя 0,5 С/кк макси- мальное усиление равно 20 С кк, где UKK выражено в вольтах В дифференциаль- ном усилителе максимальное дифферен- циальное усиление (при R3 = 0) вдвое меньше, т.е. численно равно двадцати- кратному падению напряжения на коллек- торном резисторе при аналогичном выбо- ре рабочей точки. Соответствующий мак- симальный КОСС (при условии, что R3 = ~ 0 также численно в 20 раз превышает падение напряжения на R Упражнение 2.13. Убедитесь, что приведенные со- отношения правильны. Разработайте дифференциаль- ный усилитель по вашим собственным требованиям. Дифференциальный усилитель можно образно назвать «длиннохвостой парой», так как. если длина резистора на услов- ном обозначении пропорциональна вели- чине его сопротивления, схему можно изобразить в таком виде, как показано на рис. 2.69. «Длинный хвост» определяет подавление синфазного сигнала, а неболь- шие сопротивления межэмиттерной связи (включающие собственные сопротивления эмиттеров) - усиление дифференциально- го сигнала. Смещение с помощью источника тока. Усиление синфазного сигнала в дифферен- циальном усилителе можно значительно уменьшить, если резистор RY заменить источником тока. При этом действующее значение сопротивления Ri станет очень большим, а усиление синфазного сигнала будет ослаблено почти до нуля. Предста- вим себе, что на входе действует синфаз- ный сигнал; источник тока в эмиттерной цепи поддерживает полный эмиттерный ток постоянным, и он (в силу симметрии схемы) равномерно распределяется между двумя коллекторными цепями. Следова- тельно, сигнал на выходе схемы не изме- няется. Пример подобной схемы приведен на рис. 2.70. Для этой схемы, в которой использованы монолитная транзисторная пара типа LM394 (транзисторы и Т2) и источник тока типа 2N5963, величина КОСС определяется отношением 100 000:1 (100 дБ). Диапазон входного синфазного сигнала ограничен значениями —12 и + 7 В: нижний предел определяется рабо- чим диапазоном источника тока в эмит- Рис. 2.69.
110 Г зава 2 Транзисторы 111 Рис. 2.70. Увеличение КОСС дифференциального уси- лителя с помощью источника тока. терной цепи, а верхний - коллекторным напряжением покоя Не забывайте о том, что в этом усили- теле, как и во всех транзисторных усили- телях, должны быть предусмотрены цепи смешения по постоянному току. Если, на- пример, для межкаскадной связи на входе используется конденсатор, то должны быть включены заземленные базовые ре- зисторы. Еше одно предостережение от- носится в особенности к дифференциаль- ным усилителям без эмиттерных резисто- ров: биполярные транзисторы могут вы- держать обратное смещение на переходе база-эмиттер величиной не более 6 В. затем наступает пробой; значит, если по- дать на вход дифференциальное входное напряжение большей величины, то вход- ной каскад будет разрушен (при условии, что отсутствуют эмиттерные резисторы). Эмиттерный резистор ограничивает ток пробоя и предотврашает разрушение схе- мы, но характеристики транзисторов мо- гут в этом случае деградировать (коэффи- циент А21Э, шумы и др.). В любом случае входной импеданс существенно падает, если возникает обратная проводимость. Применения дифференциальных схем в усилителях постоянного тока с однополюс- ным выходом. Дифференциальный усили- тель может прекрасно работать как уси- литель постоянного тока даже с несим- метричными (односторонними) входны- ми сигналами. Для этого нужно один из его входов заземлить, а на другой подать сигнал (рис. 2.71). Можно ли исключить «неиспользуемый» транзистор из схемы? Нет. Дифференциальная схема обеспечи- вает компенсацию температурного дрей- фа, и, даже когда один вход заземлен, транзистор выполняет некоторые функ- ции: при изменении температуры напря- жения 17БЭ изменяются на одинаковую величину, при этом не происходит ника- ких изменений на выходе и не нарушается балансировка схемы. Это значит, что изменение напряжения 1/БЭ не усиливается с коэффициентом Кдиф (его усиление опре- деляется коэффициентом Ксинф, который можно уменьшить почти до нуля). Кроме того, взаимная компенсация напряжений иБЭ приводит к том}, что на входе не нужно учитывать падения напряжения ве- личиной 0,6 В. Качество такого усилите- ля постоянного тока ухудшается только из-за несогласованности напряжений 17БЭ или их температурных коэффициентов. Промышленность выпускает транзистор- ные пары и интегральные дифференциаль- ные усилители с очень высокой степенью согласования (например, для стандартной согласованной монолитной пары п-р- и-транзисторов типа МАТ-01 дрейф на- пряжения ивэ определяется величиной 0,15 мкВ/сС или 0,2 мкВ за месяц). Рис. 2.71. Дифференциальный усилитель может рабо- тать как прецизионный усилитель постоянного тока с однополюсным выходом В предыдущей схеме можно заземлить любой из входов В зависимости от того, какой вход заземлен, усилитель будет или не будет инвертировать сигнал. (Однако, из-за наличия эффекта Миллера, речь о котором пойдет в разд. 2.19, приведенная здесь схема предпочтительна для диапазо- на высоких частот). Представленная схе- ма является неинвертирующей, значит, в ней заземлен инвертирующий вход. Тер- минология, относящаяся к дифферен- циальным усилителям, распространяется также на операционные усилители, кото- рые представляют собой те же дифферен- циальные усилители с высоким коэффи- циентом усиления. Использование токового зеркала в каче- стве активной нагрузки. Иногда желатель- но, чтобы однокаскадный дифференциаль- ный усилитель, как и простой усилитель с заземленным эмиттером, имел большой коэффициент усиления. Красивое решение дает использование токового зеркала в качестве активной нагрузки усилителя (рис. 2.72). Транзисторы Tj и Т2 образуют дифференциальную пару с источником то- ка в эмиттерной цепи. Транзисторы Т и Т4, образующие токовое зеркало, высту- пают в качестве коллекторной нагрузки. Тем самым обеспечивается высокое значе- ние сопротивления коллекторной нагруз- ки, благодаря этому коэффициент усиле- ния по напряжению достигает 5000 и вы- ше при условии, что нагрузка на выходе усилителя отсутствует. Такой усилитель используют, как правило, только в схе- мах. охваченных петлей обратной связи, или в компараторах (их мы рассмотрим в следующем разделе). Запомните, что нагрузка для такого усилителя обязатель- но должна иметь большой импеданс, ина- че усиление будет существенно ослаблено. Дифференциальные усилители как схемы расщепления фазы. На коллекторах сим- метричного дифференциального усили- теля возникают сигналы, одинаковые по амплитуде, но с противоположными фаза- ми. Если снимать выходные сигналы с двух коллекторов, то получим схему рас- щепления фазы. Конечно, можно исполь- зовать дифференциальный усилитель с дифференциальными входами и выхода- ми. Дифференциальный выходной сигнал можно затем использовать для управле- ния еше одним дифференциальным усили- тельным каскадом, величина КОСС для всей схемы при этом значительно увели- чивается. Дифференциальные усилители как ком- параторы. Благодаря высокому коэффи- циенту усиления и стабильным характе- ристикам дифференциальный усилитель является основной составной частью ком- паратора-схемы. которая сравнивает входные сигналы и оценивает, какой из них больше. Компараторы используют в самых различных областях: для включе- ния освещения и отопления, для получе- ния прямоугольных сигналов из треуголь- ных. для сравнения уровня сигнала с по- роговым значением, в усилителях класса D и при импульсно-кодовой модуляции, для переключения источников питания и т. д. Основная идея при построении ком- паратора заключается в том. что транзи- стор должен включаться или выключать- ся в зависимости от уровней входных сигналов. Область линейного усиления не рассматривается-работа схемы основы- вается на том. что один из двух входных транзисторов в любой момент находится в режиме отсечки Типичное применение с захватом сигнала рассматривается в сле- дующем разделе на примере схемы ре- гулирования температуры, в которой
112 Глава 2 Транзисторы 113 используются резисторы, сопротивление которых зависит от температуры (терми- сторы). 2.19. Емкость и эффект Миллера До сих пор мы пользовались моделью транзистора для сигналов постоянного тока или низкой частоты. В простейшей модели транзистора в виде усилителя то- ка и в более сложной модели Эберса- Молла напряжения, токи и сопротивления рассматривают со стороны различных выводов транзистора. Пользуясь этими моделями, мы уже охватили достаточно широкий круг вопросов, и на самом деле они содержат в себе почти все, что необ- ходимо учитывать при разработке тран- зисторных схем. Однако до сих пор мы не принимали во внимание важный момент внешние цепи и сами переходы транзисто- ра обладают некоторой емкостью, кото- рую необходимо учитывать при разработ- ке быстродействующих и высокочастот- ных схем. На самом деле, на высоких частотах емкость зачастую определяет ра- боту схемы: на частоте 100 МГц емкость перехода, равная 5 пкФ, имеет импеданс 320 Ом. Более подробно мы рассмотрим этот вопрос в гл. 13. Сейчас мы хотим просто поставить вопрос, проиллюстрировать его на примере некоторых схем и предло- жить методы его решения Конечно, в этой главе мы не можем не коснуться причины самого явления. Рассматривая транзистор в новом аспекте, мы познако- мимся с эффектом Миллера и каскодны- ми схемами. Емкость схемы и перехода Емкость ограничивает скорость изменения напря- жений в схеме, так как любая схема имеет собственные конечные выходные импе- данс и ток Когда емкость перезаряжается от источника с конечным сопротивле- нием, ее заряд происходит по экспонен- циальному закону с постоянной времени RC: если же емкость заряжает идеальный источник тока, то снимаемый с нее сигнал будет изменяться по линейному закону. Обшая рекомендация заключается в сле- дующем: для ускорения работы схемы Рис. 2.73. Емкости перехода и нагрузки в транзистор- ном усилителе. Рис 2.74 Две схемы, в которых устранен эффект Миллера. Схема Б представляет собой пример каскодного включения транзисторов следует уменьшать импеданс источника и емкость нагрузки и увеличивать управ- ляющий ток. Однако некоторые особен- ности связаны с емкостью обратной связи и со входной емкостью Коротко остано- вимся на этих вопросах. Схема на рис. 2.73 иллюстрирует, как проявляются емкости переходов транзи- стора. Выходная емкость образует RC- цепь с выходным сопротивлением Ан (со- противление 7?н включает в себя как со- противление коллектора, так и сопротив- ление нагрузки, а емкость Сн-емкость перехода и емкость нагрузки), в связи с этим спад сигнала начинается при часто- те/ = 1/2тг/?нСн. То же самое можно ска- зать о входной емкости и сопротивлении источника Rl. Эффект Миллера. Емкость Ск6 играет иную роль. Усилитель обладает некото- рым коэффициентом усиления по напря- жению К у, следовательно, небольшой сигнал напряжения на входе порождает на коллекторе сигнал, в Кс раз превышаю- щий входной (и инвертированный по от- ношению к входному). Из этого следует, что хтя источника сигнала емкость Ск6 в (KL -г 1) раз больше, чем при подключе- нии Ск6 между базой и землей, т. е. при расчете частоты среза входного сигнала можно считать, что емкость обратной связи ведет себя как конденсатор емкостью Ск6(К, -t- 1), подключенный между входом и землей Эффективное увеличение емко- сти Скб и называют эффектом Миллера. эффект Миллера часто играет основную роль в спаде усиления так как типичное значение емкости обратной связи около 4 пкФ соответствует (эквивалентно) емко- сти в несколько сотен пикофарад, при- соединенной на землю Существует несколько методов борьбы с эффектом Миллера, например, он будет полностью устранен, если использовать усилительный каскад с общей базой. Импеданс источника можно уменьшить, если подавать сигнал на каскад с зазем- ленным эмиттером через эмиттерный по- вторитель На рис. 2.74 показаны еще две возможности. В дифференциальном уси- лителе (без резистора в коллекторной це- пи 7j) эффект Миллера не наблюдается; эту схему можно рассматривать как эмит- терный повторитель, подключенный к ка- скаду с заземленной базой. На второй схеме показано каскодное включение транзисторов /это усилитель с зазем- ленным эмиттером, резистор RH является общим коллекторным резистором. Тран- зистор Т2 включен в коллекторную цепь Для того, чтобы предотвратить изменение сигнала в коллекторе / (и тем самым Устранить эффект Миллера) при протека- нии коллекторного тока через резистор нагрузки Напряжение 1\ - это фиксиро- ванное напряжение смещения, обычно оно на несколько вольт превышает напряже- ние на эмиттере 7j и поддерживает кол- лектор Тг в активной области. На рис. 2.74 представлена лишь часть каскодной схемы; в нее можно включить зашунтиро- ванный эмиттерный резистор и делитель напряжения для подачи смещения на базу (подобные примеры были рассмотрены в начале настоящей главы) или охватить всю схему петлей обратной связи по по- стоянному току. Напряжение U + можно формировать с помощью делителя или зенеровского диода; для того чтобы на- пряжение было жестко фиксировано на частотах сигнала, можно шунтировать ре- зистор в базе Т2. Упражнение 2.14. Объясните, почему эффект Мил- лера не наблюдается в транзисторах рассмотренной только что схемы дифференциального усилителя и в каскодных схемах. Паразитные емкости могут создавать и более сложные проблемы, чем те, кото- рых мы сейчас коснулись. В частности: а) спад усиления, обусловленный наличием емкости обратной связи и выходной емко- сти. сопровождается побочными эффекта- ми. которые мы рассмотрим в следующей главе, б) входная емкость также оказы- вает влияние на работу схемы даже при наличии мощного источника входных сиг- налов; в частности, ток. который проте- кает через С6э. не усиливается транзисто- ром. т.е. входная емкость «присваивает» себе часть входного тока, вследствие чего коэффициент усиления малого сигнала А-, на высоких частотах снижается и на частоте fT становится равным единице;
Транзисторы 115 114 Глава 2 в) дело осложняется также тем, что емко- сти переходов зависят от напряжения, емкость С6э изменяется столь сильно при изменении базового тока, что ее даже не указывают в паспортных данных на тран- зистор, вместо этого указывается значе- ние частоты fT; г) если транзистор рабо- тает как переключатель, то заряд, нако- пленный в области базы в режиме насы- щения, также вызывает уменьшение бы- стродействия. Эти. а также некоторые другие вопросы, связанные с работой бы- стродействующих схем, мы рассмотрим в гл. 13. 2.20. Полевые транзисторы В этой главе мы до сих пор имели дело с биполярными плоскостными транзисто- рами, характеристики которых описы- ваются уравнениями Эберса-Молла. Би- полярные плоскостные транзисторы были первыми транзисторами и до сих пор они преобладают в разработке аналоговых схем. Однако было бы ошибкой не ска- зать сейчас несколько слов о транзисторе особого типа-о полевом транзисторе. Детально мы рассмотрим его в следую- щей главе. Полевой транзистор во многом похож на обычный биполярный транзистор. Он представляет собой усилительное устрой- ство, имеющее 3 вывода, и может иметь любую полярность. Один из выводов (зат- вор) предназначен для управления током, который протекает между двумя другими выводами (истоком и стоком). Этот тран- зистор обладает, однако, одним особым свойством: через затвор ток не протекает, за исключением токов утечки. Это значит, что входные импедансы могут быть очень большими, их предельные значения связа- ны лишь с наличием емкостей или утечек При использовании полевых транзисто- ров нет необходимости заботиться о вели- чине тока, протекающего через базу, что было совершенно обязательно при разра- ботке схем на биполярных транзисторах, о которых мы вели речь в этой главе На практике входные токи имеют порядок пикоампер К настоящему времени поле- вые транзисторы зарекомендовали себя как надежные устройства, способные вы- поднять разнообразные функции. Их пре- дельно допустимые напряжения и токи сравнимы с соответствующими напряже- ниями и токами биполярных транзисто- ров. В большинстве устройств на основе транзисторов (согласованные пары, диф- ференциальные и операционные усилите- ли, компараторы, токовые ключи и усили- тели, радиочастотные усилители, цифро- вые схемы) используют полевые транзи- сторы и зачастую они обладают лучшими характеристиками. Более того, микропро- цессоры и запоминающие устройства (а также другие крупные устройства цифро- вой электроники) строятся исключитель- но на основе полевых транзисторов. И на- конец, в области разработки микромощ- ных устройств также преобладают поле- вые транзисторы. Полевые транзисторы играют столь важную роль в разработке электронных схем, что мы посвящаем им следующую главу нашей книги. Затем, в гл. 4 мы займемся операционными усилителями и обратной связью. В этих трех трудных начальных главах излагаются основопо- лагающие сведения и мы призываем чита- теля проявить терпение, которое вознаг- радится многократно, когда в последую- щих главах мы приступим к изучению таких интереснейших тем, как разработка на основе операционных усилителей и цифровых интегральных схем. НЕКОТОРЫЕ ТИПИЧНЫЕ ТРАНЗИСТОРНЫЕ СХЕМЫ Рассмотрим несколько примеров транзи- сторных схем, которые иллюстрируют основные идеи, изложенные в настоящей главе. Круг этих примеров ограничен, так как в реальных схемах часто используют отрицательную обратную связь, которую мы будем изучать в следующей главе. 2.21. Стабилизированным источник напряжения На рис. 2.75 показана очень распростра- ненная схема. Ток резистора Rr откры- 0пН2ДО+25В (нестабияи- зир- напря- жение) 2N3055 1,0 кОм Тг 2N3904 ^2 дЮВ От 0 до 100 мА 1,0 кОм 4,3 В L 1N4731 А 1,0 кОм ^3 2 75 Стабилизированный источник напряжения с обратной связью. вает транзистор 7]. Когда напряжение на выходе достигает значения 10 В, транзи- стор Т2 переходит в открытое состояние (потенциал базы достигает 5 В) и дальней- шее увеличение выходного напряжения предотвращается за счет отвода избытка тока с базы транзистора 7,. Источник питания можно сделать регулируемым, если резисторы R2 и заменить потен- циометром. По сути дела, это пример схемы с отрицательной обратной связью: Т2 «следит» за состоянием выхода и «пред- принимает соответствующие меры», если величина выходного напряжения отли- чается от нужной. /?i 15 кОм + 15 В 2,2 кОм 10 кОм R1 10 кОм • Установка температуры ТО кОм " 1.0 JkOm к-. 16 кОм Термистор Рис. 2.76. Терморегулятор для нагревателя мощностью 50 Вт 2.22. Терморегулятор На рис. 2.76 показана схема регулятора температуры, основанная на использова- нии термистора - чувствительного эле- мента. сопротивление которого зависит от температуры. Дифференциальная схе- ма на составных транзисторах Т\ - Т2 срав- нивает напряжение, формируемое регули- руемым делителем эталонного напряже- ния на резисторах R^-R6, с напряжением, которое снимается с делителя, образован- ного термистором и резистором R2. (Если производить сравнение относительно одного и того же источника, то результат не будет зависеть от колебаний напряже- ния источника; приведенная схема назы- вается мостиком Уитстона.) Токовое зер- кало на транзисторах Т5, Т6 является активной нагрузкой и служит для увеличе- ния коэффициента усиления, а токовое зеркало на транзисторах Т7, Т8 обеспечи- вает эмиттерный ток. Транзистор Т9 срав- нивает выходное напряжение дифферен- циального усилителя с фиксированным напряжением и переводит в насыщение составной транзистор Т10, ТП, который +50 В (несгпабилизир. напряжение) 0,10м сэ || 0 Втп /?с 11 100м вателъ |
116 Глава 2 таким образом подает мощность на на- греватель в случае, если термистор охлаж- ден слишком сильно. Выбор сопротивле- ния резистора Rg зависит от требующего- ся тока. В данной схеме этот резистор включает защитный транзистор Т12, если величина выходного тока превышает 6 А; тем самым отключается сигнал с базы составного транзистора Т10, Тп и предот- вращается выход схемы из строя. 2.23. Простая логическая схема на транзисторах и диодах На рис. 2.77 представлена схема, которая решает задачу, рассмотренную в разд. 1.32: включение звуковой сигнализации (звонка) при условии, что одна дверца машины открыта и водитель находится за рулем. В приведенной схеме все транзи- сторы работают как переключатели (на- ходятся в режиме отсечки или насыще- ния). Диоды Дг и Д2 образуют так назы- ваемую схему ИЛИ, которая выключает транзистор Tj, если одна из дверц откры- та (переключатель замкнут). Однако по- тенциал коллектора Тг сохраняет значе- ние, близкое к потенциалу земли, и пре- дотвращает включение звукового сигна- ла, если не замкнут переключатель П3 (водитель находится за рулем); при вы- полнении последнего условия резистор R2 обеспечивает включение транзистора Т3 и на звонок подается напряжение 12 В. Диод Д3 обеспечивает падение напряже. ния, благодаря которому транзистор х будет выключен, если замкнуты переклю* чатели П, и П2, а диод Д4 предохраняет транзистор Т3 от индуктивных переход, ных процессов, возникающих при отклю. чении звонка. Подробно мы рассмотрим логические схемы в гл. 8. В табл. 2.1 приведены параметры груд, пы малосигнальных транзисторов, широ- ко используемых на практике, соответст- вующие им графики зависимости коэффи- циентов усиления по току от коллекторно- го тока представлены на рис. 2.78. См. также приложение К. СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ 2.24. У дачные схемы На рис. 2.80 показаны два проекта схем с использованием транзисторов. 2.25. Негодные схемы Как известно, учатся на ошибках, и своих, и чужих. В этом разделе вашему внима- нию предложена целая серия грубых оши- бок. допущенных при разработке схем (рис. 2.81). Внимательно рассмотрите представленные схемы, подумайте, какие возможны варианты и никогда не делайте подобных ошибок! +12 В пост
п) Все транзисторы имени типовой номер 2NXXX. та исключением сдвоенного транзистора I.M394. Ътементы, приведенные в одной строке, обладают сходными характеристиками и иногда отекiрнчески идентичны. 61 См. рис. 2.76. "* При ('К1; = 10 В. 11 См. рис. 13.4. д) Или ТО-39. с> Или ТО-72, ТО-46. ж> Корпус ТО-92 и ею модификации различаются маркировкой выводов: )Ы< и ОКБ. Грапзисторы, помеченные буквой «ж», относятся к группе ЭКБ, а все остальные к труппе ЭБК.
Рис. 2.79 Рис. 2.78. Графики зависимости коэффициента усиления по току h2lJ от коллекторного тока 1К для группы транзисторов, параметры которых приведены в табл. 2.1 (по данным фирм-изготовителей). Возможен техноло- гический разброс от изображенных типовых значений в пределах +100%, —50%. Удачные схемы 249 кОм/1 [Ь 249кОм, 1% U J 1% Вых-------• •------Вых Вх2 VVr3’ r3=kT/alK, т.е. Кь.а 1К/Т следовательно, 1эаТ пропори. уровню освещенности т > TL014 токовое зеркало 4:1 напряжение пропорц полной световой ЭКСПОЗИЦИИ -10В Рис. 2.80. а - дифференциальный усилитель со смещением, обеспечивающим нулевой температурный коэфф11' пиент усиления: б-световой интегратор. КхЯк/гэ, гэ = кТ;дТк. г.е. К х/к Г. х Г *~81. «-повторители со связью по переменному току: б-стабилизатор напряжения —5 В; в двухтактный Аепм,РИтель; г—источник гока: д переключатель для больших токов; е- двухкаскадный усилитель: ж диф- кл^^.ЦИаЛЬНЫи Усилитель; з повторитель с нулевым смещением; «-усилитель переменного тока с большим эФФициенгом усиления.
120 Глава 2 ДОПОЛНИТЕЛЬНЫЕ У ПРАЖНЕНИЯ (1) Разработайте схему транзисторного переключате- ля, которая позволила бы подключать к земле две нагрузки через насыщенные транзисторы и-р-н-типа. При замыкании переключателя А обе нагрузки долж- ны находиться под напряжением, при замыкании пе- реключателя Б мощность должна передаваться толь- ко в одну нагрузку. Подсказка: используйте в схеме диоды. (2) Рассмотрите источник тока, изображенный на рис. 2.79. (а) Определите ток /нагр. Чему равен рабо- чий диапазон схемы? Считайте, что напряжение б'БЭ составляет 0,6 В. (б) Как будет изменяться выходной ток, если при изменении коллекторного напряжения в пределах выходного диапазона коэффициент й2]э изменяется от 50 до 100? (При решении этой задачи следует учесть два эффекта.) (в) Как будет изменяться ток нагрузки в пределах рабочего диапазона, если известно, что изменение напряжения б'БЭ описывается зависимостью Д1/БЭ = — 0,001 Абгкэ (эффект Эрли)? (г) Чему равен температурный коэффициент выходного тока, если предположить, что коэффициент h2i3 не зависит от температуры? Чему равен температурный коэффициент выходного тока, если предположить, что коэффициент А21Э увеличивается относительно номи- нального значения 100 на 0,4%, С? (3) Разработайте схему усилителя с общим эмиттером на основе транзистора и-р-и-типа по следующим исходным данным: коэффициент усиления по напря- жению равен 15, напряжение питания U„ равно 15 В, коллекторный ток /, равен 0,5 мА Транзистор должен быть смещен так. чтобы потенциал коллектора был равен 0,5 17и, а точке —3 дБ должна соответствовать частота 100 Гц. (4) Предусмотрите в предыдущей схеме следящую связь для увеличения входного импеданса. Правильно определите точку спада усиления при следящей связи. (5) Разработайте схему дифференциального усилителя со связями по постоянному току по следующим исход- ным данным, коэффициент усиления по напряжению равен 50 (для однополюсного выхода) при входных сигналах с напряжением, близким к потенциалу зем- ли; источники питания обеспечивают напряжение + 15 В; iOK покоя в каждом транзисторе равен 0,1 мА. В эмиттерной цепи используйте источник тока, а в ка- честве выходного каскада-эмиттерный повторитель. (6) Выполнив это упражнение, вы получите усилитель, коэффициент усиления которого управляется внеш- ним напряжением (в гл. 3 эта задача решается с по- Рис. 2.82. Рис. 2.83. Схема подавления входного тока, широко используемая в высококачественных ОУ мощью полевых транзисторов), (а) Сначала разрабо- тайте схему дифференциального усилителя с источни- ком тока в эмиттерной цепи и без эмиттерных рези- сторов. Используйте источник питания с напряжением ± 15 В Коллекторный ток (для каждого транзисто- ра) должен быть равен 1 мА. а сопротивление коллек- торного резистора сделайте равным /?к = 1.0 кОм. Подсчитайте коэффициент усиления по напряжению, при условии что один из входов заземлен, (б) Теперь модифицируйте схему так, чтобы источником тока в эмиттерной цепи можно было управлять с помощью внешнего напряжения. Составьте приблизительное выражение зависимости коэффициента усиления от управляющего напряжения. (В реальной схеме можно предусмотреть еще одну группу управляемых источ- ников для того, чтобы скомпенсировать смешение точки покоя, обусловленное изменениями коэффициен- та усиления, или же можно включить в схему еше один каскад с дифференциальным входом ) (7) Не желая прислушиваться к нашим советам, высо- комерный студент создает усилитель, схема которого приведена на рис. 2.82. Он регулирует сопротивление R2 так. чтобы точке покоя соответствовало напряже- ние 0.5 С'и. (а) Определите Z„ (на высоких частотах, когда выполняется условие Z, 0) (б) Определите коэффициент усиления по напряжению для малого сигнала, (в) Определите грубо, при каком изменении температуры окружающей среды транзистор перейдет в режим насыщения (8) В некоторых прецизионных операционных усили- телях (например. ОР-07 и LT1012) для подавления входного тока смещения используется схема, показан- ная на рис. 2.83 (подробно показана только половина дифференциального усилителя с симметричным вхо- дом. другая половина выглядит точно также). Объяс- ните, как работает схема. Замечание: транзисторы Т, и 72 представляют собой согласованную по Р пару. Подсказка: вспомните о токовых зеркалах.
ГЛАВА 3 ПОЛЕВЫЕ ТРАНЗИСТОРЫ ВВЕДЕНИЕ Полевые транзисторы (ПТ)-это транзис- торы, свойства которых совершенно от- личаются от свойств рассмотренных в предыдущей главе обычных транзисто- ров, называемых также биполярными, чтобы подчеркнуть их отличие от ПТ. В расширенном толковании, однако, они имеют много общего, так что их можно определить как приборы, управляемые за- рядом. В обоих случаях мы имеем прибор с тремя выводами, в котором проводи- мость между двумя электродами зависит от наличия носителей заряда, которое в свою очередь регулируется напряжением, приложенным к третьему управляющему электроду. Теперь о том, чем они отличаются друг от друга. В биполярном д-р-«-транзис- торе переход коллектор - база смещен в обратном направлении и обычно ток че- рез него не течет. Подача на переход база-эмиттер напряжения около 0,6 В преодолевает «потенциальный барьер» диода, приводя к поступлению электро- нов в область базы, где они испытывают сильное притяжение со стороны коллек- тора. Хотя при этом через базу будет протекать некоторый ток, большинство такого рода «неосновных носителей» за- хватывается коллектором. Результатом является коллекторный ток. управляемый меньшим по величине) током базы Ток коллектора пропорционален скорости инжекции неосновных носителей в базу, которая является экспоненциальной Функцией разности потенциалов база- эмиттер (уравнение Эберса-Молла). Би- полярный транзистор можно рассматри- вать как усилитель тока (с огрубление постоянным коэффициентом усиления 21э) или как прибор-преобразователь проводимости (Эбере Молл). В полевом транзисторе, как следует из его названия, проводимостью канала управляет электрическое поле, создавае- мое приложенным к затвору напряже- нием. Здесь нет прямосмещенных р-п- переходов. так что ток через затвор не течет и это, возможно,-наиболее важное преимущество ПТ перед биполярными транзисторами. Как и последние, ПТ бы- вают двух полярностей: «-канальные (с проводимостью за счет электронов) и р-канальные (с дырочной проводи- мостью). Эти полярности аналогичны уже известным нам соответственно п-р-п и р-«-р-транзисторам биполярного типа. Однако разнообразие ПТ этим не ограни- чивается, что может приводить к путани- це. Во-первых. ПТ могут изготавливаться с затворами двух различных типов (в результате мы имеем ПТ с р-«-переходом и ПТ с изолированным затвором, так называемые МОП-транзисторы). а во- вторых,-двумя типами легирования ка- нала (что дает ПТ обогащенного и обед- ненного типа). Рассмотрим вкратце возможности, предоставляемые ПТ различного типа. Предварим, однако, это рассмотрение несколькими замечаниями общего плана. Наиболее важной характеристикой ПТ является отсутствие тока затвора Полу- чаемое. как следствие этого, высокое вход- ное полное сопротивление (оно может быть больше 10м Ом) существенно во многих применениях и в любом случае упрощает проектирование схем. В качест- ве аналоговых переключателей и усили- телей со сверхвысоким входным полным сопротивлением ПТ не имеют себе рав- ных. Сами по себе или в сочетании с би- полярными транзисторами они легко встраиваются в интегральные схемы В следующей главе мы увидим, насколько успешно это сделано при создании близ-
122 Г лава 3 Полевые транзисторы 123 ких к совершенству (и фактически простых в использовании) операционных усилителей, а в гл. 8-11 будет показано, как интегральные схемы на МОП-тран- зисторах революционизировали цифро- вую электронику. Так как на малой пло- щади в ИМС может быть размещено большее число слаботочных ПТ, то они особенно плезны для создания больших интегральных микросхем (БИС), приме- няемых в цифровой технике, таких как микрокалькуляторы, микропроцессоры и устройства памяти. Плюс к тому недавнее появление сильноточных ПТ (30 А или более) позволяет заменить биполярные транзисторы во многих применениях, зачастую получая более простые схемы с улучшенными параметрами. 3.01. Характеристики полевых транзисторов Иной новичок буквально «впадает в столбняк», впрямую сталкиваясь с обес- кураживающим разнообразием типов ПТ (см., например, первое издание этой кни- ги!), разнообразием, возникающим как следствие возможных комбинаций поляр- ности (и- и ^-канальные), вида изоляции затвора (ПТ с полупроводниковым пере- ходом или МОП-транзисторы с изолято- ром в виде окисла), а также типа легиро- вания канала (ПТ обогащенного или обедненного типа). Из восьми имеющихся в результате этих комбинаций возмож- ностей шесть могли бы быть реализова- ны а пять - реализованы на практике. Основной интерес представляют четыре случая из этих пяти. Чтобы понять, как работает ПТ (и ис- ходя из здравого смысла) будет правиль- но если мы начнем только с одного типа, точно так. как мы сделали с биполярным прп-транзистором. Хорошо разобравшись с ПТ выбранного типа, мы в дальнейшем будем иметь минимум трудностей в изу- чении остальных членов этого семейства. Входные характеристики ПТ. Рассмот- рим вначале /7-канальный МОП-транзис- тор обогащенного типа, биполярным ана- логом которого является и ~р - «-транзис- Сток Затвор Эмиттер Исток а <5 Рис. 3.1. а-«-канальный МОП-транзистор: «-бипо- лярный «-р-«-транзистор. тор (рис. 3.1). В нормальном режиме сток (или соответствующий ему коллектор) имеет положительный потенциал относи- тельно истока (эмиттера). Ток от стока к истоку отсутствует, пока на затвор (ба- зу) не будет подано положительное по отношению к истоку напряжение. В последнем случае затвор становится «прямосмещенным». и возникает ток сто- ка, который весь проходит к истоку. На рис. 3.2 показано, как изменяется ток сто- ка 1С в зависимости от напряжения сток- исток 1/си, при нескольких значениях управляющего напряжения затвор-исток Пзи. Для сравнения здесь же приведено соответствующее семейство кривых зави- симости 1К от UK3 для обычного бипо- лярного «-р-«-транзистора. Очевидно, что «-канальные МОП-транзисторы и биполярные «-^-«-транзисторы во мно- гом схожи. Подобно п-р-п-транзистору, ПТ имеет большое приращение полного сопротив- ления стока, в результате чего при напря- жении 17си свыше —2 В ток стока почти не меняется Для этой области характе- ристик ПТ неудачно выбрано название «область насыщения», тогда как у бипо- лярных транзисторов соответствующая область называется «активной». Подобно биполярному транзистору, чем больше смещение затвора ПТ относительно исто- ка, тем больше ток стока. В любом случае поведение ПТ ближе к идеальным уст- ройствам - преобразователям проводи' мости (постоянный ток стока при неиз- Рис. 3.2. Измеренные семейства выходных характеристик «-канального МОП-транзистора VN0106 (а) и бипо- лярного п-р-«-транзистора 2N3904 (б). менном напряжении затвор-исток), чем биполярных транзисторов; согласно урав- нению Эберса-Молла у биполярных транзисторов должны быть превосходные характеристики выходной проводимости, однако эти идеальные характеристики не достигаются из-за эффекта Эрли (см. разд. 2.10). До сих пор ПТ выглядел подобно и-р-л-транзистору. Посмотрим, однако, на ПТ поближе С одной стороны, свыше нормального диапазона ток насыщения стока растет довольно умеренно при уве- личении напряжения затвора (17зи Фак- тически он пропорционален (Пзи-17п)2 где Пп-«пороговое напряжение затво- ра», при котором начинает идти ток стока (Для ПТ на рис. 3.2 Ln 1.63 В): сравните этот слабый квадратичный закон с крутой экспоненциальной зависимостью, данной нам Эберсом и Моллом. Во-вторых, по- стоянный гок затвора равен нулю, так что мы не должны смотреть на ПТ как на устройство, усиливающее ток (коэффици- ент усиления тока был бы равен бесконеч- ности). Вместо этого будем рассматри- вать ПТ как характеризуемое крутизной устройство - преобразователь проводимо- сти с программированием тока стока на- пряжением затвор-исток,-так, как это мы делали с биполярным транзистором в толковании Эберса-Молла. Напомним, что крутизна дт есть просто отношение гс,иси (как и обычно, строчные буквы ис- пользуются, чтобы показать «мало- сигнальные» изменения параметра, т.е. /с/иси = б/с/5иси)- В-третьих, у МОП- транзистора затвор действительно изоли- рован от канала сток-исток; поэтому, в отличие от биполярных транзисторов (и от ПТ с р-«-переходом, как мы далее увидим), можно подавать на него положи- тельное (или отрицательное) напряжение до 10 В и более, не заботясь о диодной проводимости. И наконец. ПТ отличается от биполярного транзистора в так назы-
124 Глава 3 Рис. 3.3. Ключ на МОП-транзисторе. ваемой линейной области графика, где его поведение довольно точно соответствует поведению резистора, даже при отрица- тельном Uси, это оказывается очень по- лезным свойством, поскольку как вы уже могли догадаться, эквивалентное сопро- тивление сток-исток программируется напряжением затвор-исток. Два примера. В ПТ еще найдется, чем нас удивить Однако прежде чем углубляться в детали, посмотрим на две простые переключающие схемы. На рис. 3.3 показан МОП-транзисторный эк- вивалент рис. 2.3. первого из рассмотрен- ного нами насыщенного транзисторного переключателя Схема на ПТ даже проще поскольку здесь мы совершенно не должны заботиться о неизбежно возник- шем ранее компромиссе между необхо- димостью задать соответствующий необ- ходимый для переключения ток базы (рассматривая наихудший случай-мини- мальное значение Л21Э в сочетании с сопротивлением холодной нити лампы) и исключить избыточное расходование энергии. Вместо этого мы всего лишь подаем на затвор, имеюший высокое пол- ное входное напряжение, полное напря- жение питания постоянного тока. По- скольку включенный ПТ ведет себя как резистор с малым по сравнению с нагруз- кой сопротивлением, потенциал стока станет при этом близок к потенциалу земли; типичный мощный МОП-транзис- Вх.сигнал + 15В'ключВКЛ | земля: ключ ВЫКЛ J Рис. 3.4. тор имеет 7?вкя < 0,2 Ом, что превосходно для данной задачи. На рис. 3.4 показана схема «аналогово- го переключателя», которую вообще не- возможно выполнить на биполярных транзисторах. Идея этой схемы состоит в том, чтобы переключать проводимость ПТ из разомкнутого (затвор смешен в «обратном» направлении) в замкнутое состояние («прямое» смешение затвора), тем самым блокируя или пропуская ана- логовый сигнал (позже мы увидим мно- жество причин выполнять такого рода вещи). В данном случае мы должны лишь обеспечить, чтобы на затвор подавалось более отрицательное переключающее напряжение, чем любой размах входного переключаемого сигнала (ключ разомк- нут) или на несколько вольт более поло- жительное, чем любой входной сигнал (ключ замкнут). Биполярные транзисторы для такой схемы непригодны, поскольку база проводит ток и образует с коллек- тором и эмиттером диоды, что приводит к опасному эффекту «защелкивания». В сравнении с этим МОП-транзистор вос- хитительно прост, нуждаясь лишь в пода- че на затвор (являющийся практически разомкнутой цепью) напряжения, равного размаху входного аналогового сигнала. Будьте, однако, внимательны: наше рас- смотрение этой схемы было до некоторой степени упрощением - например, мы игно- рировали влияние емкости затвор - канал, а также вариации 7?вкл при изменении сигнала. Позже мы еще поговорим об аналоговых ключах 3.02. Типы ПТ \-канальные, р-канальные ПТ. Теперь о генеалогическом древе. Во-первых, поле' вые транзисторы (как и биполярные) мо- Здесь формируется овласть л-типа/когдр. на затвор подается положит, напряжение Рис 3-5. N-канальный МОП-транзистор. гут выпускаться обеих полярностей. Та- ким образом, зеркальным отображением нашего и-канального МОП-транзистора является р-канальный МОП-транзистор. Его характеристики симметричны и на- поминают характеристики р-/?-р-тран- зистора: сток нормально имеет отрица- тельное смещение по отношению к исто- ку, и ток стока будет проходить, если на затвор подать отрицательное по отноше- нию к истоку напряжение не менее од- ного-двух вольт Симметрия несовершен- на, поскольку носителями являются не электроны, а дырки с меньшей «подвиж- ностью» и «временем жизни неосновных носителей». Эти параметры полупровод- ника важны для свойств транзисторов, а выводы стоит запомнить: р-канальные ПТ имеют обычно более плохие характе- ристики, а именно более высокое порого- вое напряжение, более высокое /\’вкл и меныпии ток насыщения. МОП-транзисторы, ПТ с р «-перехо- дом. у МОП-транзисторов (металл- окисел-полупроводник) затвор изолиро- ван от проводящего канала тонким слоем &02 (стекла), наращенного на канал (Рис. 3.5). Затвор который может быть металлическим или легированным полу- проводником, действительно изолирован от Цепи исток-сток (характеристическое сопротивление > 10 4 Ом) и действует на проводимость канала только своим элек- трическим полем. Иногда МОП-транзис- Т°РЫ называют полевыми транзисторами с изолированным затвором Изолирую- щий слой довольно тонкий, обычно его толщина не превышает длины волны ви- димого света и он может выдержать на- пряжение затвора до +20 В и более. МОП-транзисторы просты в применении поскольку на затвор можно подавать напряжение любой полярности относи- тельно истока, и при этом через затвор не будет проходить никакой ток. Эти тран- зисторы, однако, в большой степени под- вержены повреждениям от статического электричества, вы можете вывести из строя устройство на МОП-транзисторах буквально одним прикосновением. Символическое изображение МОП- транзистора показано на рис. 3.6. Здесь представлен дополнительный вывод, «те- ло» или «подложка» - кусок кремния, на котором выполнен ПТ (см рис. 3.5). Так как подложка образует с каналом диодное Сток Запг__11-~ Под- вор 11_*7? ложка Исток или Сток 3am-_J ложка вор ГП Исток б р-канальный МОП- транзисторы. Сток Зат- вор 11__ и ложка Исток ИЛИ Сток Зат- вор Исток а Рис. 3.6. а-п-каназьный и
126 Глава 3 Полевые транзисторы 127 Сток Затвор < | । Исток 6 Рис. 3.7. а-л-канальный и б-р-канальный ПТ с р- «-переходом. соединение, напряжение на ней должно быть ниже напряжения проводимости. Она может быть соединена с истоком или с точкой схемы, в которой напряжение ниже (выше), чем у истока «-канального (р-канального) МОП-транзистора. Обыч- но на схемах вывод подложки не показы- вается; более того, часто инженеры ис- пользуют символ с симметричным зат- вором. К сожалению, при этом не оста- ется ничего, что позволило бы вам отли- чить сток от истока, но что еще хуже, нельзя отличить «-канальный транзистор от р-канального! В этой книге мы будем использовать только нижние схемные изображения, дабы исключить недора- зумения, хотя часто мы будем оставлять вывод подложки неподключенным В ПТ с р-п-переходом затвор образует с расположенным под ним каналом полу- проводниковый переход. Это влечет за собой важное следствие, состоящее в том. что в ПТ с р-п-переходом во избежание прохождения тока через затвор последний не должен быть смешен в прямом направ- лении относительно канала. Например, у «-канального ПТ с р-«-переходом диод- ная проводимость будет наблюдаться по мере того как напряжение на затворе приближается к +0.6 В по отношению к концу канала с более отрицательным потенциалом (обычно это исток). Поэто- му затвор работает, будучи смещен в обратном направлении по отношению к каналу, и в цепи затвора нет никакого тока, кроме тока утечки. Схемные изо- бражения ПТ с р-«-переходом представ- лены на рис. 3.7. И вновь мы предпо- читаем символические обозначения со смещенным затвором, что позволяет идентифицировать исток. Как мы увидим далее, ПТ (как с р-п-переходом. так и МОП-транзисторы) почти симметричны, но обычно они изготавливаются таким образом, чтобы получить емкость между стоком и затвором меньше, чем емкость между истоком и затвором, вследствие чего использовать сток в качестве выход- ного вывода предпочтительнее. Обогащение, обеднение. Л’-канальный МОП-транзистор, с которого мы начали эту главу, не проводил ток при нулевом (или отрицательном) смещении затвора и начинал проводить, когда затвор стано- вился положительно смещен относитель- но истока. Этот тип ПТ известен как ПТ обогащенного типа. Имеется и другая возможность изготовления «-канального ПТ, когда полупроводник канала «леги- рован» так, что даже при нулевом смеше- нии затвора имеется значительная прово- димость канала, и на затвор должно быть подано обратное смещение в несколько вольт для отсечки тока стока. Такой ПТ известен как прибор обедненного типа. МОП-транзисторы могут быть изготов- лены любой разновидности, поскольку здесь нет ограничения на полярность зат- вора Однако ПТ с р-п-переходом допус- кают лишь одну полярность смешения затвора, а посему их выпускают только обедненного типа. График зависимости тока стока от напряжения затвор-исток при фиксиро- ванном значении напряжения стока (рис. 3.8) может помочь нам уяснить, в чем состоит это различие МОП-транзис- тор обогащенного типа не проводит ток. пока напряжение затвора не станет поло- жительным (имеются в виду «-канальные ПТ) по отношению к истоку, в то время как ток стока МОП-транзистора обеднен- ного типа будет близок к максимальному Рис. 3.8. Обогащенные (7) и обедненные (2) ПТ отли- чаются только сдвигом напряжения затвор-исток (лог. масштаб). при напряжении затвора, равном напря- жению истока В некотором смысле такое разбиение на две категории является ис- кусственным, поскольку два графика на рис. 3.8 отличаются только на сдвиг по оси 17зи Вполне возможно было бы производство «промежуточных» МОП- транзисторов. Тем не менее эта разница становится существенной, когда дело до- ходит до проектирования схем. Заметим, что ПТ с р-п-переходом -это всегда приборы обедненного типа и сме- щение затвора относительно истока не должно быть больше приблизительно + 0,5 В (для «-канала), иначе появится проводимость в диодном переходе зат- вор-канат. МОП-транзисторы могут быть обогащенными или обедненными, но на практике редко можно встретить последние (исключением являются «-ка- нальные ПТ на GaAs и каскодные пары со «сдвоенным затвором» штя радиочастот- ных применений). Отсюда следует, что во всех практически встречающихся случаях мы имеем дело только с ПТ с р- «-пере- ходом обедненного типа либо с обога- щенными МОП-транзисторами; и те и Другие могут быть любой полярности, те «-канальными либо /«-канальными 3.03. Общая классификация ПТ Генеалогическое древо (рис. 3.9) и карта входных выходных напряжений при за- земленном истоке (рис. 3.10) помогают ПТ ПТ с р-п-перехо- дом МОП-транзис- торы л- каналь- р-каналь- ные ные Обеднен- Обогащен- ные ные л-каналь- | ‘ | ные I I л-каналь ^-каналь- ные ные Рис. 3.9. разобраться в ситуации. Различные при- боры (включая весь «букет» биполярных прп- и р«р-транзисторов) нарисованы в квадрантах, характеризующих их входное и выходное напряжение в активной об- ласти при заземленном истоке (или эмит- тере). При этом вовсе не обязательно запоминать свойства каждого из пяти представленных здесь типов ПТ, посколь- ку они в основном одинаковы. Во-первых, при заземленном истоке ПТ включается (переходит в проводящее состояние) путем смешения напряжения затвора в сторону напряжения питания стока. Это верно как для всех пяти типов ПТ, так и для биполярных транзисторов Например, для «-канального ПТ с р-п- переходом (который автоматически явля- ется обедненным) используется положи- тельное напряжение питания стока, как и для всех «-канальных приборов. Таким образом, этот ПТ включается положи- Выход л-канальный | л-канальный обо- обедненный гащенный л-канальный ПТ с лрл-транзисторы р-п-переходом Вход- -*------------->--------------+Вход р-канальный обогащенный рпр- транзисторы л канальный с р-п-переходом Выход Рис 3.10.
128 Глава 3 Полевые транзисторы 129 тельным смешением затвора. Здесь есть тонкость, состоящая в том, что у прибо- ров обедненного типа для получения ну- левого тока стока затвор должен иметь обратное смешение, в то время как у при- боров обогащенного типа достаточно для этой цели нулевого напряжения на затворе. Во-вторых, в связи с примерной сим- метрией истока и стока любой из этих выводов может работать как исток (ис- ключение составляют мощные МОП- транзисторы, у которых подложка внутри корпуса соединена с истоком). При изуче- нии работы ПТ. а также при расчетах за исток принимается вывод, наиболее «уда- ленный» по напряжению от активного питания стока. Например, допустим, что ПТ используется для замыкания на землю некоторой линии, в которой присутству- ют как положительные, так и отрицатель- ные сигналы. Обычно такая линия под- ключается к стоку ПТ. Если в качестве ключа взят «-канальный МОП-транзис- тор обогащенного типа и если случится, что в выключенном состоянии напряже- ние на стоковом выводе будет отрица- тельным, то для подсчета отпирающего напряжения затвора этот вывод следует считать в действительности «истоком». Это означает, что для обеспечения надеж- ного запирания ключа отрицательное на- пряжение на затворе должно быть не только уровня «земли», но и превышать (по абсолютной величине) наибольший отрицательный сигнал. Характеристики, приведенные на рис. 3.11. помогут вам разобраться в этих запутанных вопросах. Еще раз отметим, что разнила между обогащенными и обедненными приборами выражается только в сдвиге вдоль оси бзи, т.е. име- ется ли большой ток стока или нет совсем никакого тока при напряжении затвора равном напряжению истока. Полевые «-канальные и д-канальные транзисторы симметричны друг другу в том же смысле, в каком являются таковыми биполярные п-р-п- и р-н-д-транзисторы. На рис. 3.11 мы использовали стан- дартные обозначения для таких важных параметров ПТ, как ток насыщения и на- Рис. 3.11. Характеристики ПТ различных типов и по- лярностей (лог. масштаб). 1 - обогащенный ^-каналь- ный МОП-транзистор; 2-обогащенный «-канальный МОП-транзистор; 3-«-канальный ПТ с р- «-перехо- дом: 4-р-канальный ПТ с р-«-переходом. пряжение отсечки. Для ПТ с д-н-перехо- дом величина тока стока при замкнутых накоротко затворе и истоке обозначается в спецификациях как /СинаЧ; она близка к величине максимально допустимого тока стока. (/синач означает ток от стока к истоку при короткозамкнутых затворе и истоке. Здесь и далее в этой главе мы приводим эту нотацию, в которой первые две буквы индекса обозначают соответст- вующие выводы, а за ними указывается состоящие.) Для обогащенных МОП-тран- зисторов аналогичной спецификацией яв- ляется /сивкл, при некотором заданном напряжении прямого смещения затвора (1Синач У любого прибора с обогащением был бы равен нулю). Для ПТ с р-«-переходом напряжение затвор - исток, при котором ток стока ста- новится равен нулю, называется «напря- жением отсечки» (17отс) или «напряжением выключения» (ЕЗИвыкл) и типичное его значение лежит в диапазоне от —3 до —10 В (для д-канального прибора оно. разумеется, положительное). Для обога- щенного МОП-транзистора аналогичная величина называется «пороговое напря- жение», U п (или L зипор)'-это напряжение перехода затвор - исток, при котором на- чинает проходить ток стока. Типичная величина Uп составляет 0,5-5 В. разуме- ется в «прямом» направлении Читая ли- тературу по электронике на английском языке, не спутайте случайно (7П (обозна- чаемое там как VT) с VT в уравнении Эберса-Молла, которое обозначает ток коллектора биполярного транзистора; у этих двух величин нет ничего общего. Имея дело с ПТ. легко запутаться в по- лярностях Например, «-канальное уст- ройство, у которого обычно сток положи- телен по отношению к истоку, может иметь положительное или отрицательное напряжение на затворе, а также положи- тельное (обогащенный тип) или отрица- тельное (обедненный тип) пороговое на- пряжение. Еще более усложняет дело то. что сток может быть (и часто бывает) отрицателен по отношению к истоку Все эти рассуждения, конечно, справедливы с заменой знаков для д-канальных устрой- ств. В дальнейшем, чтобы свести к мини- муму ошибки мы будем всегда иметь в виду «-канальные устройства, если не оговорено противное. Аналогичным об- разом, поскольку МОП-транзисторы поч- ти всегда обогащенные, а ПТ с р-«-пере- ходом всегда обедненного типа, мы будем далее опускать эти их определения. 3.04. Выходные характеристики ПТ На рис 3.2 мы показывали семейство кри- вых зависимости 1С от Ттси, измерен- ных для «-канального обогащенного МОП-транзистора VN0106. (Транзисторы семейства VN01 работают в различных диапазонах напряжений, что отражается в двух последних цифрах полного обозна- чения. Например. VN0106 рассчитан на напряжение 60 В.) Мы уже отмечали, что ПТ ведут себя как хорошие преобразова- тели проводимости (т.е. 1С почти не изме- няется при заданном С'зи) практически во всем диапазоне изменения бтси. за исклю- чением его малых значений, где они про- являют себя как сопротивление (т.е. 1С пропорционален Сси). В обоих случаях приложенное к переходу затвор-исток напряжение управляет поведением ПТ. которое хорошо можно описать аналогом Уравнения Эберса-Молла для ПТ. По- I Участок насыщения (^зи — Линей- ный участок / Ток насыщения стока пропорционален (Z73-(Jn)z Линейный участок простирается до ^си,нас= Рис. 3 12. (67зи- (7П)=2В (^ЗИ ЦО В ^си смотрим на эти две области более по- дробно. На рис. 3 12 схематически представлена указанная ситуация В обеих областях ток стока зависит от Ези-1/п, величины, на которую напряжение затвор-исток пре- вышает пороговое напряжение (или на- пряжение отсечки). Линейная область, в которой ток стока приблизительно про- порционален 17зи, простирается до напря- жения 17зи нас, после чего ток стока почти не изменяется. Крутизна наклона линей- ного участка. /с/17си, пропорциональна напряжению смещения. Сгзи б-п • Далее, напряжение стока 17СИнас, при котором кривая «выходит на насыщение», равно Пзи-Пп. в результате чего ток насыще- ния, /Снас. становится пропорционален (Етзи-Еп)2-квадратичный закон, о кото- ром мы упоминали ранее. Итак, имеем универсальные формулы для определения тока стока ПТ: /с = 2k [(ЕСи п) ЕСи 0,5L си! (линейный участок). 1С = к (С'зи — (7П)2 (участок насыщения). Если мы назовем 17зи - Un (величину- на которую напряжение затвор-исток пре- вышает порог) «напряжением возбужде- ния затвора», то можно сформулировать три важных результата из сказанного: а) удельное сопротивление ПТ в линей- ной области обратно пропорционально напряжению возбуждения, б) линейный 5-625
130 Глава 3 Полевые транзисторы 131 Рис. 3.13. Зависимости 1С ((Узи) «-канального МОП- транзистора 2N4351 (квадратичный масштаб верти- кальной оси). участок простирается вплоть до напряже- ния, равного напряжению возбуждения и в) ток насыщения стока пропорциона- лен напряжению возбуждения в квадрате. Приведенные выражения предполагают, что подложка соединена с истоком Обра- тите внимание на то, что «линейный учас- ток» не является строго линейным, по- скольку формула содержит нелинейный член Пси; позже мы покажем остроум- ную схему, фиксирующую эту состав- ляющую. Масштабный коэффициент к зависит от таких параметров, как геометрия ПТ, ем- кость слоя окисла и подвижность носите- лей. У этой постоянной отрицательный температурный коэффициент: Г"32. Этот эффект сам по себе приводил бы к уменьшению 1С с увеличением темпера- туры. Однако это компенсируется тем что L’n также в слабой степени зависит от температуры с коэффициентом 2-5 мВ'°C, суммарный эффект дает зависимость тока стока от температуры, показанную на рис. 3.13. При больших токах стока убывание коэффициента к с ростом температуры влечет уменьшение тока стока - настоящее тепловое бегство! Как следствие этого, ПТ какого-нибудь одного типа могут быть соединены параллельно без токовы- равнивающих резисторов, в отличие от биполярных транзисторов, где «резистор, ный балласт» в цепях эмиттеров необхо- дим (см. разд. 6.07). Этот же отрицатель- ный температурный коэффициент предот- вращает также тепловую гонку на локаль- ном участке перехода (эффект, известный под названием «прогиб тока»), которая серьезно ограничивает допустимую мощ. ность рассеяния больших биполярных транзисторов, как мы увидим при обсуж- дении «вторичного пробоя» и «площади безопасной работы» в гл. 6. При малых токах стока (когда домини- рует температурная зависимость Un) 1С растет с ростом температуры и точка перехода от возрастания к убыванию на- ходится при некотором промежуточном значении тока стока. Этот эффект исполь- зуется в операционных усилителях на ПТ для минимизации температурного дрей- фа, как мы увидим в следующей главе. Субпороговая область. Приведенное выше выражение для тока насыщения Рис. 3.14. Измеренные графики зависимости тока сто- ка от напряжения затвор- исток для двух типов МОП-транзисторов. стока непригодно для очень малых значе- ний тока стока. Этот диапазон известен как «субпороговая» область, где канал находится ниже порога проводимости, однако некоторый ток все-таки проходит за счет небольшой вероятностной попу- ляции электронов с большим тепловым возбуждением Если вы изучали физику или химию то, возможно, знаете из того, что проходили что результирующий ток имеет экспоненциальную зависимость: 1С = /сехр(Пзи - Пп). Мы измерили ток стока некоторых МОП-транзисторов в диапазоне измене- ния его на 9 декад (от 1 нА до 1 А) и построили графики зависимости /с от [/зи (рис. 3.14). Диапазон от 1 нА до 1 мА очень точно соответствует экспоненте; выше этого субпорогового участка кри- вые входят в нормальную область насы- щения. Для «-канальных МОП-транзис- торов (типа VN01) мы проверили выборку из 20 транзисторов (четырех разных изго- товителей, разброс выпуска-2 года) и на- несли диапазон разноса значений, чтобы получить представление о их вариабель- ности (см следующий разд.). Обратите внимание на несколько худшие характе- ристики (С7П, 7Свкл) «комплементарного» транзистора VP01 3.05. Производственный разброс характеристик ПТ Перед тем как рассматривать какие-ни- будь схемы оценим сначала диапазон параметров ПТ (таким как /СИнач и С'п). а также их «разброс» среди приборов одного типа с цетью получения более полного представления о ПТ. К сожале- нию, многие характеристики ПТ имеют разброс намного больше, чем соответст- вующие характеристики биполярных транзисторов,-факт, который проекти- ровщик должен помнить. Например, в паспорте на VN 01 (типичный «-канальный МОП-транзистор) оговорено, что 17п мо- жет составлять от 0,8 до 2.4 В (при ^с = 1 мА), в равнении с тем. что анало- гичный параметр биполярного «^«-тран- зистора, СБЭ имеет разброс от 0.6 до 0.83 В (также при 1К = 1 мА). Итак, вот что мы можем ожидать: Характеристика Диапазон Разброс номинальных значений нач вкл от 1 мА до 1 А х 5 ЯСИмл 0.05 Ом-10 кОм х 5 дт при 1 мА 500-3000 мкс х 5 Поет(р — « — ПТ) 0,5-10 В 5 В ип (МОП) 0,5-5 В 2 В Пси пр 6-1000 В Нэи ПР 6-125 В В этой таблице /?си вкл - сопротивление сток-ис гок (линейная область, т. е. малое напряжение Пзи) для полностью откры- того ПТ, т. е. при заземленном затворе в случае ПТ с - «-переходом или при большом (обычно принимается 10 В) на- пряжении затвор-исток у МОП-транзис- тора. /си нач и 1С вкл - значения тока стока в области насыщения (большое б'си) при тех же самых отпирающих условиях воз- буждения затвора. Uorc есть напряжение отсечки (ПТ с р - «-переходом), Un- поро- говое напряжение затвора (МОП-тран- зисторы). а ПСИпр и ПЗИпр- соответствую- щие напряжения пробоя. Как можно ви- деть, ПТ с заземленным истоком может быть хорошим источником тока, но нель- зя точно предсказать, каким будет этот ток Напряжение 17зи. при котором полу- чается заданный ток стока, может замет- но варьировать в отличие от предсказуе- мого (st 0,6 В) О'БЭ у биполярных тран- зисторов. Согласование характеристик. Как вы можете видеть, ПТ уступают биполярным транзисторам в предсказуемости Пзи, т. е. значения Пзи, обеспечивающие заданный 1С. имеют большой разброс. Приборы, обладающие большим разбросом, будут, вообще говоря, давать больший сдвиг (напряжение небаланса), если их приме- нять в качестве дифференциальных пар. Например, типичный серийный биполяр- ный транзистор дает разброс С-Б> в 50 мВ или около того при некотором заданном токе коллектора без всякого отбора тран- зисторов (берем подряд любой прибор имеющийся под рукой). Соответствую- щая цифра для МОП-транзисторов-бо- лее 1 В! Но поскольку ПТ обладают весьма желательными характеристиками. 5*
132 Глава 3 Полевые транзисторы 133 Рис. 3.15. Гребенчатая структура (а) и температурно-градиентная компенсация (о). имеет смысл затратить некоторые допол- нительные усилия для уменьшения сдвига путем изготовления согласованных пар. Проектировщики ИС пользуются такими приемами как перемежающаяся (гребен- чатая) структура (два прибора разделяют между собой один и тот же участок под- ложки ИС) и выравнивание температур- ных градиентов в схеме между приборами (рис. 3.15). Получаемые результаты впечатляют. Хотя ПТ не могут сравняться с биполяр- ными транзисторами в согласованности Сзи, их параметры вполне пригодны для большинства применений. Например, наилучшим образом согласованная пара ПТ имеет сдвиг 0.5 мВ и температурный коэффициент 5 мкВ/°С (макс.), в то время как у лучшей биполярной пары эти значе- ния будут 25 мкВ и 0.6 мкВ/-С. грубо говоря, в 10 раз лучше. Операционные усилители (универсальные дифферен- циальные усилители с высоким коэффи- циентом усиления о которых мы будем говорить в следующей главе) выпуска- ются как на полевых, так и на биполярных транзисторах, для высокоточных приме- нений вы сможете, вообше говоря, вы- брать ОУ с биполярной «начинкой» (ввиду тесного согласования входных транзисторов по 6/БЭ). в то время как ОУ с ПТ-входом, очевидно, является наилуч- шим выбором для высокоомных схем (их входы - затворы ПТ - не потребляют то- ка). Например, недорогой ОУ типа LF411 со входом на ПТ с р- /7-переходом, кото- рый мы используем повсеместно в схемах. приводимых в следующей главе имеет типичное значение входного тока 50 пА и стоит 60 цент; популярный TLC222 со входом на МОП-транзисторах стоит при- мерно столько же и имеет типичное зна- чение входного тока всего 1 пА! Для срав- нения укажем, что обычный биполярный ОУ цА741 имеет типичное значение вход- ного тока 80 000 пА (80 нА). В табл. 3.1-3.3 дан перечень типичных ПТ с р- «-переходом (как одиночных, так и сдвоенных) и малосигнальных МОП-транзисторов. Мошные МОП-тран- зисторы, которые мы рассмотрим в разд. 3.14 перечислены в табл. 3.5. ОСНОВНЫЕ СХЕМЫ НА ПТ Теперь мы готовы к тому, чтобы рассмот- реть схемы на ПТ. Обычно можно найти способ преобразовать схему на биполяр- ных транзисторах в схему с использова- нием ПТ. Однако эта новая схема может не дать улучшения характеристик! В ос- тавшейся части этой главы мы поста- раемся показать схемные решения, в ко- торых проявляются преимущества уни- кальных свойств ПТ, т. е. схемы, которые работают лучше, будучи построены на ПТ. или которые совсем нельзя изгото- вить на биполярных транзисторах. С этой целью может оказаться полезным сгруп- пировать схемы на ПТ по категориям здесь особенно важным является, как мы это видим. Схемы с высоким полным сопротивле- нием (слаботочные). Сюда относятся бу- Таблица 3.1. Полевые транзисторы с р-п -переходом — Тип = нач? мА k П С ЗИ- пФ Сзс, нФ Примечания МИН. макс. МИН. макс. макс. макс. гГ —— канальные ?N4117A 40 0,03 0,09 0,6 1,8 3 1,5 Малая утечка: 1 пА (макс.) 2N4H9A 40 0.24 0,6 2 6 4 1.5 2N4338 50 0.2 0,6 0,3 1 6 2 0,51 А/Гц12 при 100 кГц 2N4416 30 5 15 2.5 6 4 0.8 Малый СВЧ-шум: 2 дБ (макс.) при 100 МГц 9N4867A- 40 0,4 1,2 0,7 2 25 5 Низкочастотный, малый шум: 2N4869A 40 2,5 7,5 1,8 5 25 5 10 нВ/Гц*'2 (макс.) при 10 Гц 2N5265- 60 0,5 1 - 3 7 2 6 типов в серии, строгая спепифи- 2N5270 60 7 14 8 7 кация по 7Снач; комплементар- ные к /7-канальным 2N5358 -64. 2N5432 25 150 - 4 10 30 15 Ключ: Двкл = 5 Ом (макс.) 2N5457- 25 1 5 0,5 6 7 3 Общего назначения; комплемен- 2N5459 25 4 16 2 8 7 3 тарные к р-канальным 2N5460- 62 2N5484 25 1 5 0,3 3 5 1 Малый шум на ВЧ; недорогой 2N5486 25 8 20 2 6 5 1 2SKH7 50 0,6 14 0,2 1,5 13 11 3 *’ Сверхмалый шум: 1 нВ Гц1 2 2SK147 40 5 30 0,3 1.2 75 *’ 151» Сверхмалый шум: 0,7 нВ, Гц 12 р-канальные 2N5114 30 30 90 5 10 25 7 Ключ: Аввл = 75 Ом 2N5358- 40 0,5 1 0,5 3 6 2 7 видов в серии, строгая специфи- 2N5364 40 9 18 2,5 8 6 2 кация по 7Снач; комплементар- ные к и-канальным 2N5265-70 2N5460 40 1 5 0,75 6 7 О Общего назначения; комплемен- 2N5462 40 4 16 1.8 9 2 тарные к и-канальным 2N5457 59 2SJ72 25 5 30 0.3 2 185 1) 55 Сверхмалый шум: 0,7 нВ/Гц1'2 1) Типичное значение. ферные или обычные усилители для тех применений, где ток базы или конечное полное входное сопротивление биполяр- ных транзисторов ограничивает их харак- теристики Хотя мы можем построить такие схемы на отдельно взятых ПТ. од- нако сегодняшняя практика отдает пред- почтение использованию интегральных схем, построенных на ПТ. В некоторых из них ПТ используется только в качестве высокоомного входного каскада, а вся остальная схема построена на биполяр- ных транзисторах, в других вся схема построена на ПТ Аналоговые ключи. МОП-транзисторы являются превосходными аналоговыми ключами, управляемыми напряжением. как мы уже указывали в разд. 3.01. Мы еше обсудим вкратце данный предмет И снова говоря «аналоговый ключ», мы должны в обшем случае иметь в виду интегральные микросхемы, а не схемы построенные на дискретных элементах Цифровая логика. МОП-транзисторы доминируют при построении микропро- цессоров, схем памяти и большинства вы- сококачественных цифровых логических схем. Микромогцные логические схемы изготавливаются исключительно на МОП-транзисторах. Здесь, как и прежде. МОП-транзисторы используются в сос- таве интегральных схем Далее мы уви- дим, почему ПТ отдается предпочтение перед биполярными транзисторами.
134 Глава 3 Таблица 3.2. МОП-транзисторы Тип Обогащег ные *’ Из/ отовитель2 О CD 1 ^вел, Ом (макс.) при ^'зи, В L'n, МИН. В /< макс. Сс Звкл, мА при :и = 10 В мин. Gc, пФ макс. ^СИпр, в ЗИ пр ’ В Луг> нА "X Примечания п-каналь- -X ные 3SK38A ТО V 500 3 - - 10 2.5 20 12 25 3N170 IL — 200 10 1,0 ? 10 1,3 25 35 0.01 SD210 SI — 45 10 0.5 2 — 0.5 30 40 0,1 Малое R SD2U SI V 45 10 0,5 2 — 0.5 30 15 10 Малое RK. VN1310 IT 1750 ST — 8 10 0.8 2,4 500 5 100 20 0,1 Малый VM0I диод С И IL — 50 20 0.5 3 10 1,6 25 25 0,01 VN2222L SI - 8 5 0.6 2.5 750 5 60 40 0,1 Малый VM0I CD3600 диод С И RC V 500 10 1.5 3) - 1.3 0.4 15 15 0,01 Эквивалент м 2N3796 МО рице 4007 - - - — 4 - 14 0.8 25 10 0.001 Обедненный; 2N4351 MO + 300 10 1,5 5 3 2,5 25 35 0.01 ^СИ нас 1*5 J Популярный р- каналь- ные 3N163 IL - 250 20 2 5 5 0,7 40 40 0,01 VP1310 IT 1700 ST — 25 10 1.5 3,5 250 5 100 20 0,1 Малый VMOI диод С-И IL — 400 10 2 5 7 1,2 40 40 0,01 CD3600 RC V 500 10 1.8 3) - 1,3 0,8 15 15 0,02 Эквивалент ма 2N4352 рипы 4007 MO + — 600 10 1.5 6 'У 2,5 25 35 0,01 Популярный 3N172 IL V 250 20 2 5 5 1 40 40 0,2 Популярный ** Если не указано обратное; 2) См. сноску к табл. 4.1; 3) типичное значение Таблица 3.3. Согласованные пары n-канальных ПТ с ^-«-переходом Тип мВ Дрейф гг СДВ ’ мкВ, °C ^3 ут (^си = = 20 В), пА КОСС. дБ ^ п(^’отс)' В еш (10 Гц). нВ Гц1 2 С^. пФ (L3C = = 10 В) Примечания макс макс. макс. МИН. МИН. макс. макс. макс. U421 10 10 0,2 90 0.4 - 50 1.5 Фирма Siliconix 2N3954A 5 5 100 1 3 1501 1.2 Общего назначения; 2N3955 2N3958 2N5196 2N5520 5 25 5 5 25 5 5 100 100 15 100 100 1 0.7 0.7 4.5 4.5 4 4 150 1 150 ’* 2021 15 1.2 1.2 7 5 малый дрейф Популярный 2N5906 5 5 2 90 61 0,6 4.5 70 6> 1,5 Малая утечка затвора 2N5911 10 20 100 1 5 20 31 1.2 Малый шум на ВЧ 2N6483 5 у 100 100 0." 4 10 3.5 Малый шум на ВЧ NDF9406 5 5 5 120 0.5 4 30 0,1 «Каскодный ПТ»: 2N5452 5 5 2SK146 20 ° При 100 Гц; 2) при 1 кГц; 100 41 1000 4’ 3,при 10 кГц; 41 при 1 0.3 30 В; 4,5 1.2 5) при малая Ск 202) 1.2” L3 156) Сверхмалый шум 20 В; 6) типичное значение Мощные переключатели. Мощные МОП-транзисторы часто бывают пред- почтительнее биполярных транзисторов для переключения нагрузок, как мы уже показали в нашей первой схеме, приведен- ной в данной главе. Для таких примене- дий используются мощные дискретные ПТ. Переменные резисторы; источники тока. В «линейной» области стоковых характе- ристик ПТ ведут себя подобно резисто- рам, управляемым напряжением; в об- ласти «насыщения» они являются управ- ляемыми напряжением источниками тока. Вы можете использовать эти присущие ПТ свойства в своих схемах. Общая замена биполярных транзисто ров. Вы можете использовать ПТ в гене- раторах, усилителях, стабилизаторах на- пряжения, радиоприемных схемах (по крайней мере в некоторых из них),-там, где обычно используются биполярные транзисторы. Применение ПТ не гаран- тирует улучшения схемы-иногда такая замена желательна, иногда нет. Их сле- дует просто иметь в виду как возможную альтернативу. Давайте теперь посмотрим на указан- ные области применения. Для лучшего понимания мы слегка изменим порядок изложения 3.06. Источники тока на ПТ с р п-переходом ПТ используется в качестве источников тока в составе инте ральных схем (в част- ности, в ОУ), а также иногда и в схемах на дискретных элементах. Простейший источник тока на ПТ показан на рис 3.16: Рис. 3.16. +U По1евые транзисторы 135 Рис. 3.17. Семейство выходных характеристик «-ка- нального ПТ с р- «-переходом типа 2N5484; зависи- мость 1С (Сси) при различных значениях Сзи при полном масштабе изменений параметров (а) и на начальном участке (о). мы выбрали ПТ с р- «-переходом, а не МОП-транзистор, поскольку ему не тре- буется смещения затвора (режим с обед- нением). Из стоковых характеристик ПТ (рис. 3.17) видно, что ток будет прибли- зительно постоянным при 17си больше 2 В. Однако в силу разброса 7Снач величи- на этого тока непредсказуема. Например устройство 2N5484 (типичный «-каналь- ный транзистор с р- «-переходом) имеет паспортную величину /Снач от 1 до 5 мА. И все же эта схема привлекает своей простотой двухвыводного устройства, дающего постоянный ток Существуют дешевые серийные «диодные стабилиза- торы тока», представляющие собой всего лишь отобранные по tokv ПТ с р- «-пере- ходом. у которых затвор соединен со стоком. Это токовые аналоги стабили- тронов (стабилизаторов напряжения). Приведем характеристики таких прибо-
136 Глава 3 Рис. 3.18. «Диод - регулятор тока» 1N5294. а полный масштаб изменения напряжения, «-начальный учас- ток Таблица 3.4. Диодные стабилизаторы тока 1) Тип /п, мА Полное сопро- тивление, МОм (при 25 В) мин. мин,’ ® (/ > 0,8 Zn) (N5283 0,22 25 1,0 1N5285 0,27 14 1,0 1N5287 0.33 6,6 1.0 1N5288 0.39 4.1 1.1 1N5290 0,47 2,7 1,1 IN5291 0.56 1.9 1,1 1N5293 0,68 1,4 1,2 1N5294 0,75 1,2 1,2 1N5295 0,82 1.0 1,3 1N5296 0.91 0,9 1.3 1N5297 1.0 0.8 1,4 1N5299 1.2 0,6 1,5 1N5302 1,5 0,5 1,6 1N5304 1,8 0.4 1,8 1N53O5 2,0 0.4 1,9 IN5306 7 7 0,4 2,0 1N5308 2,7 0,3 7 7 1N5309 3,0 0,3 2.3 1N5310 3.3 0,3 2.4 IN5312 3.9 0,3 2.6 1N5314 4,7 0,2 2,9 *’ Все диоды работают при напряжении до 100 В и до 600 мВт и ведут себя подобно обратно включен- ным диодам. ров из серии 1N5283-1N5314: Номинальный ток стабилизации от 0,22 до 4,7 мА Допуск 10% Температурный коэффициент ± 0,4%,'°C Диапазон напряжений 1 2,5 В мин., 100 В макс. Стабильность тока 5% тип. Динамическое (дифференциальное)! МОм (тип.) для сопротивление устройств с током 1 мА Мы построили график вольт-амперной характеристики устройства 1N5294, имеющего номинальный ток стабилиза- ции 0,75 мА: рис. 3.18, а демонстрирует хорошее постоянство тока вплоть до на- пряжения пробоя (1-0 В для данного кон- кретного образца), тогда как из рис. 3.18,6 видно, что полный ток данного устрой- ства достигается при падении напряжения на нем несколько меньше 1,5 В В разд 5.13 мы покажем, как можно ис- пользовать такого рода устройство для создания генератора пилообразного на- пряжения с острыми вершинами сигнала. В табл. 3.4 дан неполный перечень уст- ройств серии 1N5283. Источник тока с автоматическим смеше- нием. Вариация предыдущей схемы дает регулируемый источник тока (рис. 3.19). Резистор автоматического смещения R задает обратное смещение затвора ICR. уменьшая 1С и приводя ПТ с р- «-перехо- дом в состояние, близкое к отсечке. Мож- но рассчитать значение R по выходным характеристикам для конкретного ПТ. Эта схема не только дает возможность устанавливать ток (который должен быть меньше /Снач), но и сделать это более предсказуемым образом. Кроме того, эта Рис. 3.19.
Полевые транзисторы 137 схема является лучшим источником тока (с более высоким динамическим сопро- тивлением) в силу того, что истоковый резистор обеспечивает обратную связь по току (которую мы рассмотрим в разд. 4.07), а также потому, что характеристики ПТ с р-^-переходом как источника тока при обратном смещении затвора всегда улуч- шаются, как это видно из приведенных на рис. 3.2 и 3.17 характеристик, где чем ниже кривая зависимости 1С от I зи, тем она ближе к горизонтали. Однако, конеч- но, надо помнить, что значение 1С, полу- ченное при каком-то значении С/зи для данного конкретного ПТ, может отли- чаться от взятого из характеристики на значительную величину ввиду технологи- ческого разброса. Если надо получить строго заданный ток. то можно использо- вать в цепи истока подстроечный резис- тор. Упражнение 3.1. Подберите значение R для получе- ния тока 1 мА в схеме источника тока на ПТ ср «-пе- реходом 2N5484, используя полученные измерениями кривые, представленные на рис. 3.17. Теперь оцените, к чему приводит тот факт, что паспортные данные /Снаи для 2N5484 имеют разброс от 1 до 5 мА Источник тока на ПТ с ^-«-переходом, даже с резистором в цепи истока, дает несколько изменяющийся ток при изме- нении напряжения, т. е. он имеет конечное выходное сопротивление, а не желаемое бесконечное значение ZBbiX Кривые рис. 3.17 показывают, например, что у транзистора 2N5484 при изменении на- пряжения стока в рабочем диапазоне от 5 до 20 В ток стока при замкнутых нако- ротко истоке и затворе (т. е. 7Снач) изме- няется на 5%. Эту вариацию можно Уменьшить до 2% или около того, вклю- чив в цепь истока резистор Тот же прием который был использован в схеме рис 2.2- можно использовать и для источников тока на ПТ с р- «-переходом, как это и сделано на рис. 3.20. Идея (как и в слу- чае с биполярными транзисторами) со- стоит в том, чтобы использовать второй ПТ с р- «-переходом для поддержания постоянным напряжения сток —исток в источнике тока. Г, в этом случае является обычным источником тока нм ПТ с ^-«-переходом с истоковым резистором. Рис. 3.20. Каскодная схема «потребителя» тока на ПТ с р-«-переходом. /сИ^сСТ, ) > 7 СИ нас (7] ). Т2-ПТ с р - «-переходом с большим зна- чением 7С нач , включенный «последова- тельно» с источником тока. Он пропус- кает постоянный ток стока Т, в нагрузку, удерживая в то же время напряжение на стоке 7\ неизменным, а тем самым и на- пряжение затвор-исток, что вынуждает Т2 работать с тем же током, что и Т,. Таким образом, Т2 «экранирует» 7\ от колебаний напряжения на выходе; по- скольку 7\ не подвержен вариациям на- пряжения стока, он «сидит на месте» и обеспечивает постоянный ток. Если вер- нуться к схеме зеркала Вилсона (рис. 2.48), то мы увидим, что здесь используется та же идея фиксации напряжения Вы можете распознать в этой схеме на ПТ с р- «-переходом «каскодную» схему, которая обычно используется для прео- доления эффекта Миллера (разд. 2.19). Каскодная схема на ПТ с р - «-переходом проще, чем на биполярных транзисторах, поскольку здесь не требуется напряжения смешения на затворе верхнего ПТ ввиду того, что он работает в режиме с обедне- нием. можно просто заземлить его затвор (сравните с рис. 2.74). Упражнение 3.2. Объясните, почему верхний ПТ с р- «-переходом в каскодной схеме должен иметь более высокое значение /Сиач. чем нижний ПТ. По-
138 Глава 3 мочь в этом может рассмотрение каскодной схемы на ПТ с р n-переходом без ис токового резистора. Важно осознавать, что источник тока на хороших биполярных транзисторах обеспечит намного лучшие предсказуе- мость и стабильность, чем источник тока на ПТ с р-п-переходом. Более того, построенные на ОУ источники тока, кото- рые мы увидим в следующей главе, еще лучше. Например, источник тока на ПТ в типичном диапазоне температур и ва- риаций напряжения нагрузки может да- вать ток с отклонениями на 5%, даже если подгонкой истокового резистора устано- вить желаемый ток; в то же время источ- ник тока на ОУ из биполярных или поле- вых транзисторов даст без особых усилий со стороны разработчика предсказуемо- сть и стабильность лучше 0,5%. 3.07. Усилители на ПТ Истоковые повторители и усилители на ПТ с общим истоком-это аналоги эмит- терных повторителей и усилителей с об- щим эмиттером на биполярных транзис- торах, о которых мы говорили в преды- дущей главе. Однако отсутствие постоян- ного тока затвора дает возможность по- лучить очень высокое входное сопротив- ление. Такие усилители необходимы, когда мы имеем дело с высокоомными источниками сигналов, встречающимися в измерительных схемах. Для некоторых специализированных применений вы. может быть, захотите построить повто- рители или усилители на дискретных ПТ. однако в большинстве случаев можно использовать достоинства, которыми об- ладают ОУ с ПТ-входом. В любом случае стоит понять, как они работают. Когда мы имеем дело с ПТ. то обычно применяется та же схема автоматического смешения, что и в источниках тока на ПТ с р- «-переходом (разд. 3.06) с одним ре- зистором смещения затвора, подключен- ным вторым выводом к земле (рис. 3.21): для МОП-транзисторов требуется дели- тель, питаемый от источника напряжения стока, или расщепленный источник, как это было и в случае с биполярными тран- зисторами. Резистор смещения затвора может иметь очень большое сопротивле- ние (свыше МОм), поскольку ток утечки затвора измеряется наноамперами. Крутизна. Отсутствие тока затвора де- лает естественным параметром, характе- ризующим усиление ПТ. крутизну-отно- шение выходного тока к входному напря- жению: 9 т ^вых/^вх • Это отличается от того, как мы рассмат- ривали биполярные транзисторы в пре- дыдущей главе, где мы вначале носились с идеей усиления по току (/вых/*вх), а затем ввели ориентированную на параметр кру- тизны модель Эберса-Молла: полезно было посмотреть на биполярные тран- зисторы с разных сторон, в зависимости от их применения. Крутизна ПТ может быть оценена по характеристике либо по тому, насколько увеличивается 1С при переходе от одной кривой с фиксированным значением на- пряжения затвора к другой из семейства кривых (рис. 3.2 или 3.17). либо, что проще, по наклону кривых «передаточных характеристик» /С-<7ЗИ (рис. 3.14). Кру- тизна зависит от тока стока (вскоре мы увидим как) и определяется просто как 9т (Iс) = ^СиЗИ (Напомним, что строчными латинскими буквами обозначаются малосигнальные приращения.) Из этого выражения мы получаем коэффициент усиления по на- пряжению: ~ Uc/U3Vl = ~ *с/ ^ЗИ = — 9т «С ’
Полевые транзисторы 139 тот же результат, что и для биполярного транзистора в разд. 2.09, если заменить резистор нагрузки 1?к на 7?с Как правило, крутизна ПТ равняется нескольким тыся- чам микросименс (мкСм) при токе стока в несколько миллиампер. Поскольку дт зависит от тока стока, существует некото- рая нелинейность, связанная с зависи- мостью коэффициента усиления от изме- нения тока стока на протяжении периода сигнала, подобно тому, как это бывает в усилителе с заземленным эмиттером, где дт = 1/гэ пропорциональна 1С. Кроме того, ПТ в общем имеют значительно меньшую крутизну, чем биполярные транзисторы, что делает их менее подхо- дящими для построения усилителей и пов- торителей. Рассмотрим это немного по- дробнее. Сравнение крутизны ПТ и биполярных транзисторов. Чтобы перевести наше по- следнее замечание в числа, рассмотрим ПТ с р- п- переход ом и биполярный тран- зистор, каждый с рабочим током 1 мА. Представим что они включены как уси- лители с общим истоком (эмиттером), а сток (коллектор) через резистор 5 кОм подключен к источнику питания +10 В Рис 3.22. -НОВ (рис. 3.22). Не будем обращать внимания на детали смещения и сосредоточимся на рассмотрении коэффициента усиления. Биполярный транзистор имеет гэ, равное 25 Ом. а следовательно, дт = 40 мСм и коэффициент усиления по напряжению — 200 (что можно получить прямым рас- четом как — 7?к/гэ). Типичный ПТ с р-«-переходом (например. 2N4220) имеет дт порядка 2 мСм при токе стока 1 мА, давая коэффициент усиления по напряже- нию порядка —10. Это сравнение выгля- дит обескураживающим. Малая дт дает также относительно высокое ZBblx в схеме повторителя (рис. 3.23): ПТ с ^-«-пере- ходом имеет ZBblx = \/дт, что в данном случае эквивалентно 500 Ом (независимо от сопротивления источника сигнала); в сравнении с этим биполярный транзистор имеет ZBbiX = /?С./Л21Э + гэ = RJh 21Э + + 1/дт, равное RJh 213 + 25 Ом (при 1 мА). Для типичного бета-биполярного транзистора, скажем Л21Э = 100, и при разумных значениях сопротивления источ- ника сигнала, скажем при Rc < 5 кОм, биполярный повторитель на порядок луч- ше (ZBbIX равно 25-75 Ом). Отметим, од- нако, что при Rc > 50 кОм повторитель на ПТ с р- «-переходом будет лучше. Чтобы видеть, что происходит, вер- немся к выражениям зависимости тока стока ПТ от напряжения затвор-исток и сравним с эквивалентным уравнением (Эберса-Молла) зависимости тока кол- лектора биполярного транзистора от на- пряжения база-эмиттер. Биполярный транзистор (уравнение Эберса - Молла): — Л: СеХР БЭ (7Г) 1]. где LT = кТд = 25 мВ. что дает gm = dIK dU Б3 = т коллекторного тока, большого в сравнении с током «утечки» L. Это уже знакомый нам результат - гэ(Ом) = 25/7к(мА), поскольку дт = 1'г3. Полевой транзистор: в «субпороговой» области он имеет очень малый ток стока Ic^exp(U3K). что. будучи экспоненциальным подобием уравнения Эберса-Молла, также дает пропорциональную зависимость крутиз-
140 Глава 3 Полевые транзисторы 141 + исс ны от тока. Однако для наблюдающихся в реальности значений к (который зависит от геометрии ПТ, подвижности носителей и т. п.) крутизна ПТ несколько ниже, чем у биполярного транзистора, - около //40 мВ для р-канального МОП-транзис- тора и около 1,60 мВ для /г-канального МОП-транзистора, тогда как у биполяр- ных транзисторов она равна 1/25 мВ По мере увеличения тока ПТ входит в нор- мальную область «насыщения», где Ic = k(U3n-UT)2. что дает дт = 2(к1с)112. Это означает, что крутизна растет пропорционально лишь корню квадратному из 1С и становится намного меньше крутизны биполярного транзистора при тех же значениях рабо- чего тока (см. рис. 3.24). Увеличение по- стоянной к в предыдущих уравнениях (за 0,1 1мкА 10 100 1мА 10 100 Рис. 3.24. Сравнение ут биполярных и полевых тран- зисторов. / биполярный транзистор; 2 -р-канальный МОП-транзистор; 3-«-канальный МОП-транзистор. + икк 1 мА втори гелей напряжения на ПТ с р «-пе- реходом (а) и биполярном транзисторе (б). счет увеличения отношения ширины ка- нала к его длине) увеличивает крутизну (и ток стока при данном значении (7ЗИ) в надпороговой области, но все равно кру- тизна остается меньше, чем у биполяр- ного транзистора при том же токе. Упражнение 3.3. Выведите предыдущие выражения для </„, взяв производную /вых относительно С'вх. Проблему низкого коэффициента уси- ления в усилителях на ПТ можно разре- шить. обратившись к нагрузке в виде источника тока (активной), однако вновь биполярный транзистор будет лучше в той же схеме. По этой причине редко можно видеть ПТ в схемах простых уси- лителей, если только не нужно использо- вать их уникальные входные параметры (исключительно высокое входное сопро- тивление и малый входной ток). Обратите внимание на то. что крутизна ПТ в области насыщения пропорциональ- на изи-ит; так. например, ПТ с р-«-пе- реходом, на затвор которого подано на- пряжение. равное половине напряжения отсечки, имеет крутизну примерно вполо- вину меньше, чем приведенная в паспорте (где она всегда дается при /с = /Сн2Ч, т. е. при Пзи = 0). Дифференциальные усилители. Можно использовать согласованные пары ПТ для построения входных каскадов с высоким полным входным сопротивлением бипо- лярных дифференциальных усилителей, а также играюших важную роль ОУ и ком- параторов, которые мы встретим в сле- дующей главе. Как отмечалось выше, значительный разброс Uw у ПТ приведет, вообще говоря, к большим значениям входного напряжения сдвига и его дрей- фа, чем у аналогичного усилителя, по- строенного исключительно на биполяр- ных транзисторах; зато входное полное сопротивление колоссально возрастет. Генераторы. Вообще говоря, ПТ по своим характеристикам могут быть хоро- шей заменой биполярных транзисторов почти в любой схеме, которая выигрывает благодаря их уникально высокому пол- ному входному сопротивлению и малому входному току смещения. Примерами та- ких схем являются высокостабильные LC-генераторы и кварцевые генераторы, которые мы представим в разд. 5.18, 5.19 и 13.11. Активная нагрузка. Так же как и для усилителей на биполярных транзисторах, в усилителе на ПТ можно заменить ре- зистор нагрузки стока активной нагруз- кой, т.е источником тока. При этом можно получить очень большой коэффи- циент усиления по напряжению: &и ~ — 9тКс (резистор нагрузки стока), ~ дтВ-® (источник тока). где /0- полное сопротивление в цепи стока, обычно лежащее в диапазоне зна- чений от 100 кОм до 1 МОм. Одним из вариантов активной нагрузки является токовое зеркало, включенное в качестве нагрузки стока в дифференциаль- ном каскаде на ПТ (см. разд. 2.18); эта схема однако, не обеспечивает стабиль- ного смещения, если не охватить ее общей цепью обратной связи. Токовое зеркало можно построить как на ПТ. так и на оиполярных транзисторах Часто это схемное решение применяется в ОУ на ПТ, которые мы увидим в следующей главе. Другой прекрасный пример приме- нения метода активной нагрузки вы уви- ДИТе в разд. 3.14. когда мы будем рас- сматривать линейный усилитель на КМОП-транзисторах. 3.08. Истоковые повторители Ввиду относительно малой крутизны ПТ часто предпочитают использовать пост- роенный на ПТ «истоковый повторитель» (являющийся аналогом эмиттерного пов- торителя) в качестве входного буферного каскада для усилителя на обычных би- полярных транзисторах, вместо того, чтобы пытаться прямо изготовить усили- тель на ПТ с общим истоком. При этом сохраняются высокое входное сопротив- ление и нулевой постоянный входной ток ПТ, а большая крутизна биполярного транзистора позволяет получить большой коэффициент усиления в одном каскаде. Кроме того, у дискретных ПТ (т.е. не являющихся частью интегральной схемы) межэлектродные емкости выше, чем у биполярных транзисторов, вследствие чего в усилителях с общим истоком более сильно проявляется эффект Миллера (разд. 2.19); в схеме истокового повтори- теля, как и в эмиттерном повторителе, эффект Миллера отсутствует. Повторители на ПТ с их высоким пол- ным входным сопротивлением обычно применяются как входные каскады в осциллографах и других измерительных приборах. Во многих случаях высокое полное сопротивление бывает неотъем- лемой особенностью источника сигнала, как, например, у конденсаторных микро- фонов, р//-метров. детекторов заряжен- ных частиц или микроэлектродов для сня- тия сигналов с живых объектов в биоло- гии и медицине; во всех этих случаях полезен входной каскад на ПТ (дискрет- ных или в составе интегральной схемы). В схемотехнике встречаются случаи, когда и последующий каскад должен иметь ма- лый входной ток или вообще его не иметь. Примеры тому-схемы «слежения и хранения» и пиковые детекторы, в кото- рых конденсатор, запоминающий уровень напряжения, «сбросится», если вход по- следующего усилителя проводит слишком большой ток. Во всех этих случаях пре- небрежимо малый входной ток ПТ явля- ется более важной характеристикой, чем его малая крутизна, что делает истоковый повторитель (или даже усилитель с об-
142 Глава 3 Полевые транзисторы 143 щим истоком) весьма выгодной заменой эмиттерного повторителя на биполярных транзисторах. На рис. 3.25 показан простейший исто- ковый повторитель. Мы можем выразить амплитуду выходного сигнала, как де- лали это для эмиттерного повторителя в разд. 2.11, через крутизну. Имеем: = Rr ic, так как z3 пренебрежимо мал; при этом, поскольку ic = gmuw = дт(и3 - - ни), то и„ = lRRgJ(l + Rsgm)]u3. При Ra>> 1/дт мы имеем хороший повтори- тель (ии % и3) с коэффициентом усиления, близким к единице, хотя всегда меньше единицы. Выходное сопротивление. Предыдущую формулу для ни можно было бы считать не приближенным, а точным выражением, если бы выходное сопротивление истоко- вого повторителя было равно l/gm, (по- пробуйте произвести соответствующие расчеты, рассматривая напряжение источ- ника как источник, который будучи вклю- чен последовательно с \/дт, работает на нагрузку 7?н). Это точный аналог ситуа- ции с эмиттерным повторителем, у кото- рого выходное полное сопротивление равно гэ = 25 /к или 1 дт. Легко показать, что истоковый повторитель имеет полное выходное сопротивление \/дт. определив ток истока при сигнале, приложенном к выходу при заземленном затворе (рис. 3.26). Ток стока в этом случае равен *с = 0тЫзи = #„,«. а гвых = u/ic - 1 дт Обычно гвых составляет несколько сот ом при токах в несколько миллиампер Как- легко видеть, истоковые повторители не столь совершенны, как эмиттерные пов- торители. У данной схемы два недостатка: 1. Относительно большое выходное пол- ное сопротивление означает, что ампли- туда выходного сигнала может быть зна- чительно меньше, чем амплитуда вход- ного, даже при высоком полном сопро- тивлении нагрузки, так как любое Rr об- разует в сочетании с выходным сопротив- лением истока делитель. Кроме того, так как ток стока меняется на протяжении периода сигнала, поэтому дт и вместе с ней выходное полное сопротивление будут изменяться, внося в выходной сиг- нал некоторую нелинейность (искажения). Эту ситуацию можно улучшить, исполь- зуя ПТ с большой крутизной, но лучшим решением является комбинированный (ПТ - биполярный транзистор) повтори- тель. 2. Так как величина 1/зи, необходимая для задания определенного рабочего то- ка,-трудно контролируемый при изго- товлении параметр, то истоковый повто- ритель имеет непредсказуемое смещение по постоянному току - серьезный минус при использовании в схемах со связями по постоянному току. Активная нагрузка. Путем добавления нескольких элементов истоковый повто- ритель может быть очень сильно улуч- шен Рассмотрим это поэтапно. Во-первых, заменим Rr источником тока (отбирающим ток, рис. 3.27). По- стоянный ток истока стабилизирует на- пряжение С7ЗИ, а это устраняет нелиней- ности. Для простоты можно считать, что значение Rr становится бесконечным - эф- фект, создаваемый источником тока в ка- честве нагрузки. Схема на рис. 3.27,6 имеет еще одно преимущество в виде малого выходного сопротивления при со- хранении приближенного постоянства тока истока СБЭ/Т?см. По-прежнему, прав- да, существует проблема непредсказуе- мого (а потому ненулевого) напряжения смешения от входа к выходу <7ЗИ (для схемы 3.27,6-С/зи 4-ПБЭ). Можно было бы, конечно, просто отрегулировать /см к значению 7Свач для конкретного ПТ в схеме 3.27, а или отрегулировать также /гсм на схеме 3.27, б. Но это решение плохо по двум причинам: а) требуется индиви- дуальная регулировка для каждого ПТ; б) даже и при этом 1С может сильно меняться (почти двукратно) при измене- нии температуры в рабочем диапазоне при данном Пзи. В более качественных схемах приме- няются согласованные пары ПТ с нуле- вым смещением (рис. 3.28). Т\ и Т2-это согласованная пара на отдельном крем- ниевом кристалле. Т2 отбирает ток точно отвечающий условию Сзи = 0, поэтому, так как для обоих ПТ С3и = 0, Tj есть повторитель с нулевым смещением Так как оба ПТ находятся в одних и тех же температурных условиях, смешение остается почти нулевым при любой тем- пературе. Обычно в предыдущей схеме добав- ляют небольшие истоковые резисторы (рис. 3.29). Если чуть подумать, то будет ясно, что резистор R необходим, а ра- венство Rr — R2 гарантирует, что С'вых = = СВХ, если Т и Т2 согласованы. Эта модификация схемы улучшает предска- зуемость /с. позволяет установить значе- ние тока стока, отличное от /Снач и улуч- шает линейность, поскольку ПТ как ис- точник тока работает лучше при значе- ниях рабочего тока, меньших /с нач Такой повторитель широко применяется в ка- честве входного каскада усилителя верти- кального отклонения осциллографа. Чтобы «выжать» из схемы все возмож- ное, можно добавить в нее цепь следящей обратной связи со стока (чтобы скомпен- сировать входную емкость) и выходной каскад на биполярном транзисторе для Рис. 3.28. Рис. 3.29. получения низкого полного выходного сопротивления. Тот же выходной сигнал можно затем использовать для запитки внутреннего «защитного» экрана, эффек- тивно понижающего влияние емкости экранированного кабеля, которая в про- тивном случае катастрофически ухудшила бы параметры схемы с высоким сопро- тивлением источника сигналов и свела бы на нет большое полное входное сопро- тивление. свойственное буферному усили- телю. 3.09. Ток затвора ПТ Мы уже говорили вначале, что ПТ во- обще и МОП-транзисторы в особенности имеют практически нулевой ток затвора. Это, возможно, наиболее важное свойство ПТ и оно использовалось в описанных в предыдущем разделе высокоомных уси- лителях и повторителях. Существенным оно будет и в тех применениях, о которых речь впереди - самые существенные из них аналоговые ключи и цифровые логические схемы. Разумеется, при пристальном рассмот- рении мы увидим, что какой-то ток через затвор все же течет. Это важно знать, поскольку наивная модель с нулевым током гарантирует, что раньше или поз- же, но вы ошибетесь. Фактически к воз- никновению конечного (ненулевого) тока затвора приводит ряд механизмов. Даже
144 Глава 3 Полевые транзисторы 145 у МОП-транзисторов изоляция затвора (двуокись кремния) несовершенна, что приводит к токам утечки, находящимся в пикоамперном диапазоне. У ПТ с р- /7-переходом «изоляция» затвора на са- мом деле является обратносмещенным диодным переходом и механизмы тока утечки через него те же. что и у обычного диода. Кроме того, ПТ с р- «-переходом («-канальные в особенности) подвержены дополнительному эффекту, известному как ток «ударной ионизации» затвора; он может достигать астрономических уров- ней. И наконец, как ПТ с />-«-переходом, так и МОП-транзисторы имеют дина- мический ток затвора, возникающий при воздействии сигналов переменного тока на емкость затвора: это может вызвать эффект Миллера, совсем как у биполяр- ных транзисторов. В большинстве случаев входной ток затвора пренебрежимо мал в сравнении с током базы биполярного транзистора. Есть, однако, ситуации, когда ПТ может фактически иметь более высокий входной ток! Рассмотрим ряд из них. Утечка затвора. Полное входное напря- жение усилителя (или повторителя) на ПТ на низких частотах ограничено утечкой затвора. В паспорте ПТ обычно указы- вается напряжение пробоя П3макс, опре- деляемое как напряжение между затвором Рис 3.30. Входной ток усилителя на ПТ-это ток утечки затвора, который удваивается при повышении температу- ры на каждые 10сС и каналом (исток и сток закорочены), при котором ток затвора достигает 1 мкА. При меньших напряжениях затвор-канал ток утечки затвора /Зут, опять-таки при соединенных накоротко истоке и стоке, значительно меньше, и этот ток быстро падает до пикоамперного диапазона, когда напряжение затвор-сток сущест- венно меньше напряжения пробоя. У МОП-транзисторов никогда нельзя до- пускать пробоя изоляции затвора; в дан- ном случае утечка затвора определяется как некоторый максимальный ток утечки при определенном заданном в специфика- ции напряжении затвор-канал. В интег- ральных усилительных схемах на ПТ (на- пример, в ОУ на ПТ) для спецификации входного тока утечки применяется не дающий правильного представления о сути дела «входной ток смешения» /см; обычно его величина лежит в пикоампер- ном диапазоне. Хорошо здесь то, что ток утечки нахо- дится в пикоамперном диапазоне при комнатной температуре. Плохо, что он быстро нарастает (фактически экспонен- циально) с ростом температуры, грубо говоря удваивается на каждые 10°С. В противоположность этому ток утечки базы у биполярного транзистора практи- чески отсутствует, в действительности имеется даже слабая тенденция к его уменьшению с ростом температуры. На рис. 3.30 даны в сравнении графики зави- симости входного тока от температуры для нескольких операционных усилителей в интегральном исполнении. ОУ с ПТ-входом имеют наименьшие значения входного тока при комнатной темпера- туре (и ниже), однако их входной ток быстро растет с температурой, и их гра- фики пересекают кривые усилителей с хо- рошо спроектированными входными кас- кадами на биполярных транзисторах, таких как LMI1 и LTI012. Эти биполяр- ные ОУ наряду с «призерами» среди ОУ на ПТ с р - «-переходом по минимуму входного тока, такими как ОРА111 и AD549, весьма дороги. При этом, чтобы дать представление о том. чего можно ожидать от недорогих (ценой меньше доллара) ОУ, мы включили сюда также и ОУ, являющиеся повседневной «похлеб- кой», такие как биполярный ОУ типа 358 и ОУ на ПТ с р «-переходом LF411. Ток ударной ионизации ПТ с р «-пере- ходом. В дополнение к обычным эффек- там утечки затвора в «-канальных ПТ с р- «-переходом в гораздо большей сте- пени проявляются токи утечки при работе с существенными уровнями 17си и 1С (ток утечки, оговариваемый в паспорте, изме- ряется при совершенно нереальных усло- виях (7СИ = 1С = 0!). Рис. 3.31 показывает, что происходит Ток утечки затвора остается близким к 73ут до тех пор, пока мы не достигнем критического напряже- ния сток-затвор, при котором кривая круто взмывает вверх. Этот дополнитель- ный ток «ударной ионизации» пропорцио- нален току стока и он растет экспонен- циально с ростом напряжения и темпера- туры Появление этого тока наблюдается при напряжении сток-затвор, состав- ляющем приблизительно 25% от Е3макс. и он может добавлять в ток затвора микроампер и более. Очевидно, что «вы- сокоомный буфер» с микроамперным входным током лишен смысла. Это то. что получится, если попытаться исполь- зовать 2N4868A в качестве повторителя с током стока 1 мА при напряжении пи- тания 40 В. Этот дополнительный ток утечки за- 011--'---i--1---:-----и 10 20 30 40 50 БО V Рис. 3.31. Утечка затвора ПТ с р «-переходом быст- ро растет с ростом напряжения сток затвор и про- порциональна току стока. твора есть недостаток, свойственный в первую очередь «-канальным ПТ и про- является он при повышении напряжения сток-затвор. Проблема допускает не- сколько решений: а) работайте при ма- лых напряжениях сток-затвор, либо при малом напряжении питания стока, либо используйте каскодные связи: б) исполь- зуйте р-канальные ПТ с ^-«-переходом, у которых этот эффект намного слабее или в) применяйте МОП-транзисторы Самое главное, что позволит вам избе- жать неприятностей, - это не дать воз- можности захватить вас врасплох. Динамический ток затвора. Утечка за- твора-это эффект, проявляющийся на постоянном токе. Любой сигнал, подан- ный на затвор, неминуемо вызовет также переменный ток благодаря наличию ем- кости затвора. Рассмотрим усилитель с общим истоком. Как и в схеме на бипо- лярных транзисторах, можно наблюдать эффект, вызванный просто емкостью вхо- да относительно земли (Свх), но есть еще мультипликативный емкостной эффект Миллера, который влияет на емкость об- ратной связи (Сос). Есть две причины, почему емкостной эффект проявляется
146 Глава 3 Полевые транзисторы 147 У ПТ более серьезно, чем у биполярных транзисторов. Во-первых, полевым тран- зисторам отдают предпочтение перед би- полярными. когда хотят получить очень малый входной ток; при этом емкостные токи при тех же величинах емкостей при- нимают более угрожающие размеры. Во-вторых, полевые транзисторы часто имеют значительно более высокие зна- чения емкостей, чем эквивалентные би- полярные. Чтобы оценить емкостный эффект, рас- смотрим усилитель на ПТ, предназначен- ный для работы с источником сигнала, имеющим сопротивление 100 кОм. Что касается постоянного тока, то здесь нет проблем, так как ток, равный пикоамперу, создает на внутреннем сопротивлении указанного источника падение напряже- ния всего в микровольт. Однако на час- тоте, скажем, 1 МГц входная емкость в 5 пФ создает шунтирующее полное со- противление приблизительно 30 кОм, что серьезно ослабляет сигнал. Фактически любой усилитель попадает в неприят- ности, имея дело с высокоомным источ- ником сигналов на высоких частотах, и обычное решение состоит в том, чтобы работать с низким полным сопротивле- нием (типичное значение 50 Ом) или ис- пользовать подстраиваемый LC-контур для резонансной компенсации паразитной емкости. Ключ к пониманию проблемы состоит в том, чтобы не смотреть на ПТ-усилитель как на нагрузку сопротив- лением 1012 Ом на частоте сигнала. В качестве еше одного примера пред- ставим себе переключение 10-ампер- ной нагрузки с помощью моптного МОП-транзистора (сколько-нибудь мощ- ные ПТ с р—«-переходом отсутствуют), в духе рис. 3.32. Кто-то может наивно предположить, что затвор можно возбу- дить от слаботочного выходного сигнала цифровой логической схемы, например от так называемой КМОП-логики, которая способна выдать ток порядка 1 мА при размахе сигнала от нуля до -г 10 В. На самом деле такая схема тут же вышла бы из строя, так как при токе возбуждения затвора 1 мА емкость 350 пФ обр. связи транзистора 2N6763 растянула бы про- + 50В [нагрузка RH~500^ цесс переключения на неспешные 20 мкс Но что еще хуже, динамические токи за- твора (z3 = CdUc!dt) могут проходить на выход логического устройства и вывести его из строя благодаря непредсказуемым образом возникающему эффекту, извест- ному как «защелкивание кремниевой по- лупроводниковой структуры» (более по- дробно о нем в гл. 8 и 9). При этом оказывается, что мощные биполярные транзисторы имеют сравнимые с ПТ ве- личины емкостей и. следовательно, срав- нимые динамические входные токи: од- нако когда вы проектируете схему воз- буждения мощного биполярного 10-ам- перного транзистора, вы заранее знаете, что в цепи возбуждения базы нужно обес- печить ток 500 мА или около того (через пару Дарлингтона или еще каким-либо образом), в то время как у ПТ вы скорее всего будете ожидать гарантированно низкий входной ток. И вновь в этом примере несколько потускнел блеск ПТ как прибора со сверхвысоким полным сопротивлением Упражнение 3.4. Покажите, что схема на рис. 3.32 переключается за время около 20 мкс. в предположе- нии что допустимый ток возбуждения затвора со- ставляет 1 мА ЗЛО. ПТ в качестве переменных резисторов На рис. 3.17 показаны характеристики ПТ с р-«-переходом (зависимость тока стока от (7СИ при различных Пзи) как в нормальном («насыщенном») режиме, так и в «линейной» области малых значе- Кь напряжения сток-исток. В начале этой главы мы привели также эквивалент- gyjo пару графиков для МО Т-транзисто- ров (рис. 3.2). Зависимость Ic-Ucvl приб- лизительно линейна в области Uси, мень- ших (7ЗИ-Пп, и кривые могут быть про- должены в обе стороны, так что устройст- во можно использовать в качестве управ- ляемого напряжением резистора для ма- лых сигналов любой полярности. Из фор- мулы, выражающей 1С через Сзи в линей- ной области (разд. 3.04) легко найти, что отношение ic/LT3M равно 1/7?Сп = = 2к [(L3H - Vn) - Uси/2] Последний член в этом выражении представляет со- бой нелинейность, т. е отклонение от ре- зистивности характеристики (сопротивле- ние резистора не должно зависеть от на- пряжения) Однако при напряжениях сто- ка существенно меньших напряжения от- сечки (при Пси->0) этот последний член становится совершенно незначимым, и ПТ ведет себя приблизительно как ли- нейное сопротивление 7?си « l/[2k(U3H — — Ln)]- Поскольку зависящий от конкрет- ного устройства параметр к-не та коли- чественная характеристика, которую нам хотелось бы знать, полезнее записать ^си ~ ^0(L30 — Ln)/(L3 — Lp), 1де со- противление 7?си при любом напряжении затвора можно определить через извест- ное сопротивление 7?0, измеренное при некотором напряжении затвора Пзо. Упражнение 3.5. Выведите предыдущую «мас- штабную» формулу. Обе приведенные выше формулы пока- зывают, что проводимость (равная 1/ 7?си) пропорциональна величине, на которую напряжение затвора превышает напряже- ние отсечки Другой полезный факт со- стоит в том, что Rc„ = 1 /дт. т. е. сопро- тивление канала в линейной области есть величина, обратная крутизне в области насыщения Это удобная в пользовании зависимость, поскольку дт-параметр, ко- торый почти всегда приводится в пас- порте ПТ Упражнение 3.6. Покажите, что = ^/дт- выведя крутизну из приведенной в разд. 3.04 формулы для ^ка стока в области насыщения. Как правило, сопротивление, которое можно получить с помощью ПТ. изме- няется от нескольких десятков ом (даже от 0,1 Ом для мощных МОП-транзисто- ров) до бесконечности. Типичным при- менением ПТ в качестве сопротивления является использование его в схеме авто- матической регулировки усиления (АРУ); в ней коэффициент усиления меняется с помощью обратной связи таким образом, чтобы выходной сигнал удерживался в границах линейного диапазона. Применяя ПТ в схеме АРУ, следует внимательно следить, чтобы амплитуда сигнала была невелика-не более 200 мВ Диапазон значений Пси, в котором ПТ ведет себя как хороший резистор, зависит от конкретного ПТ, у которого сопротив- ление в первом приближении пропорцио- нально напряжению, на которое потен- циал затвора превосходит Ln (или LOTC). Как правило, при Пси < 0,1 (Пзи — Ln) нелинейности составляют 2%, а при Пси % 0.25 (Пзи — Пп) возможны нелиней- ности порядка 10%. Согласованные пары ПТ дают возможность строить наборы сопротивлений для управления сразу не- сколькими сигналами. ПТ с д-«-перехом для работы в качестве переменных ре- зисторов (серия VCR Siliconix) имеют до- пуск по сопротивлению порядка 30%, за- данный при некотором значении Пзи. Можно улучшить линейность и одно- временно расширить диапазон Сси, в ко- тором ПТ ведет себя как резистор, с по- мощью простой компенсационной схемы. Проиллюстрируем это на практическом примере Метод линеаризации: электронное управ- ление усилением. Из последней формулы для 1 Rf-y, видно. что линейность была бы почти идеальной, если бы к напряжению затвора мы добавили половину напряже- ния сток-исток. На рис. 3.33 показаны две схемы, которые именно это и делают В первой из них ПТ с р- «-переходом образует нижнее плечо резистивного де- лителя напряжения, формируя тем самым управляемый напряжением аттенюатор (или «регулятор громкости»). Резисторы R и R 2 улучшают линейность добавле- нием напряжения 0,5 Пси к Пзи, как только что говорилось. Показанный на
148 Глава 3 Полевые транзисторы 149 Рис 3-33 схеме ПТ с р- «-переходом имеет в про- водящем состоянии (при заземленном за- творе) сопротивление 60 Ом (максимум), что дает диапазон ослабления сигнала от 0 до 40 дБ. Во второй схеме используется МОП-транзистор в качестве перестраи- ваемого эмиттерного сопротивления в усилителе переменного тока с эмиттерной обратной связью. Обратите внимание на то. что по постоянному току эмиттерная обратная связь обеспечивается источни- ком стабильного тока (зеркало Вилсона или диодный стабилизатор тока на ПТ), эта часть схемы несет две нагрузки: а) она ведет себя на частоте сигнала как цепь с очень высоким полным сопротивлением, что позволяет ПТ с перестраиваемым со- противлением задавать коэффициент уси- ления, изменяющийся в широком диапа- зоне (включая Kv « 1), и б) обеспечивает простое смещение. За счет применения разделительного конденсатора мы орга. низовали схему таким образом, что Щ воздействует только на коэффициент усц. ления по переменному току (на усиление сигнала). Без этого конденсатора смеще. ние биполярного транзистора изменялось бы с изменением сопротивления ПТ. Упражнение 3.7. МОП-транзистор VN13 имеет 8 проводящем состоянии (Сгэи = + 5 В) сопротивление 15 Ом (макс.). Чем) равен диапазон изменения коэф, фициента усиления усилителя во второй схеме (8 предположении, что источник тока веде! себя ка8 сопротивление 1 МОм)? Какова нижняя частота среза (на уровне 3 дБ) при таком смещении ПТ, что коэф, фициент усиления усилителя равен а) 40 дБ 0 б) 20 дБ? Линеаризация А’си при помощи резис- тивного делителя напряжения затвора, представленная выше, исключительно эффективна. На рис. 3.34 приведены тля сравнения полученные путем измерений графики зависимости /с от (7СИ в линей- ной (с низким Пси) области характеристик ПТ при наличии и в отсутствие схемы линеаризации Такая линеаризующая схе- ма особенно важна для тех применений, где требуются малые искажения при раз- махе сигнала свыше нескольких милли- вольт. Применяя ПТ для регулировки усиле- ния. а именно в схемах АРУ или модуля- торов. т. е. устройств, в которых ампли- туда высокочастотного сигнала меняется пропорционально сигналу звуковой час- тоты. есть смысл обратиться также к ИМС «аналогового умножителя». Это- высокоточные устройства с хорошим ди- намическим диапазоном, обычно приме- няются для получения произведения двух напряжений. Один из этих сомножителей может быть управляющим сигналом по- стоянного тока, устанавливающим мас- штабный множитель для второго вход- ного сигнала, т. е. коэффициент усиления. В аналоговом умножителе используется зависимость дт от /к. свойственная би- полярному транзистору (<7т = [/к (мА) 25] См), и применяются группы согласо- ванных транзисторов, чтобы избежать проблем разброса параметров и сдвига На очень высоких частотах (100 МГц я выше) часто для этой же цели лучше Рис. 3.34. Измеренные зависимости 7с(Сси) для отдельно взятых ПТ (стева) и ПТ со схемами линеаризации (справа). д-ПТ с р-«-переходом 2N5484; б —МОП-транзистор VN0106. использовать простые пассивные «баланс- ные смесители» (разд. 13.12). Важно помнить что ПТ в смысле про- водимости ведет себя при малых напря- жениях Тси как линейное сопротивление, а не как источник тока, что характерно Для коллектора биполярного транзисто- ра, и он работает как сопротивление во всем диапазоне до 0 В между истоком и стоком (здесь нет ни диодных перепа- дов. ни чего-нибудь в этом роде, о чем стоило бы беспокоиться). Существуют ОУ и семейства логических элементов (КМОП), в которых используется это по- лезное свойство, так что насыщение на выходе у этих схем наступает именно на Уровне напряжения питания КЛЮЧИ НА ПТ Две первые схемы на ПТ. которые в ка- честве примера мы привели в начале этой главы, были ключами: схема логического Ключа и схема переключателя линейного сигнала. Они попадают в перечень наи- более важных применений ПТ, и в них используются те преимущества, которые дают уникальные характеристики ПТ: вы- сокое полное сопротивление затвора и резистивный характер проводимости в обоих направлениях, четко просматри- вающийся вплоть до напряжения 0 В На практике обычно используют МОП-тран- зисторные интегральные микросхемы (а не схемы на дискретных транзисторах) во всех цифровых и линейных ключах, и только для мощных ключей дискретные ПТ предпочтительнее. Однако и в этих случаях важно (и интересно!) понимать, как работают эти чипы; в противном случае вы почти гарантированы пасть жертвой какого-нибудь загадочного не- нормального поведения схемы. 3.11. Аналоговые ключи на ПТ Очень часто ПТ, в основном МОП-тран- зисторы, применяются в качестве анало-
Полевые i ранзнсторы 151 150 Глава 3 Управ- ление Рис. 3.35. говых ключей. В силу таких свойств, как малое сопротивление в проводящем со- стоянии («ВКЛ») при любом напряжении сигнала вплоть до 0 В. крайне высокое сопротивление в состоянии отсечки («ВЫКЛ»), малые токи утечки и малая емкость, они являются идеальными клю- чами. управляемыми напряжением, для аналоговых сигналов. Идеальный анало- говый (или линейный) ключ ведет себя как совершенный механический выключатель: во включенном состоянии пропускает сиг- нал к нагрузке без ослаблений или нели- нейных искажений, в выключенном - ведет себя как разомкнутая цепь. Он имеет пре- небрежимо малую емкость относительно земли и вносит ничтожно малые наводки в сигнал от переключающего его уровня, приложенного к управляющему входу. Рассмотрим пример (рис 3.35). Т\- н-канальный МОП-транзистор обогащен- ного типа, не проводящий ток при зазем- ленном затворе или при отрицательном напряжении затвора. В этом состоянии сопротивление сток-исток (Квыкя), как правило, больше 10000 МОм. и сигнал не проходит через ключ (хотя на высоких частотах будут некоторые наводки через емкость сток-исток; подробнее об этом см. дальше). Подача на затвор напряже- ния -15 В приводит канал сток-исток в проводящее состояние с типичным со- противлением от 25 до 100 Ом (2?вкл) для ПТ. используемых в качестве аналоговых ключей Схема не критична к значению уровня сигнала на затворе, поскольку он существенно более положителен, чем это необходимо для поддержания малого 7?вкл, и поэтому его можно задавать от логических схем (можно использовать внешний полевой или биполярный тран- зистор для получения уровней, соответст. вуюших полному диапазону питания) илй даже ОУ: вполне годится +13 В с выхода схемы 741, так как напряжение пробоя затвора МОП-транзистора обычно равно 20 В или более. Обратное смещение за. твора при отрицательных значениях вы- хода ОУ будет давать дополнительное преимущество-можно переключать сиг- налы любой полярности, как опишем позже. Заметим, что ключ на ПТ-дву. направленное устройство, т. е. он может пропускать сигнал в обе стороны. Это легко понять, так как механический вы- ключатель тоже обладает этим свойст- вом. Приведенная схема будет работать при положительных сигналах, не выше 10 В; при более высоком уровне сигнала на- пряжение на затворе будет недостаточ- ным. чтобы удержать ПТ в состоянии проводимости (RBK, начинает расти): от- рицательные сигналы вызовут включение ПТ при заземленном затворе (при этом появится прямое смешение перехода ка- нал - подложка; см разд. 3.02). Если надо переключать сигналы обеих полярностей (т.е. в диапазоне от —10 до + 10 В), то можно применить такую же схему, но с затвором, управляемым напряжением — 15 В (ВЫКЛ) и + 15 В (ВКЛ); подложка должна быть подсоединена к напряжению -15 В Для любого ПТ-ключа сопротивление нагрузки должно быть в диапазоне от 1 до 100 кОм, чтобы предотвратить емкостное прохождение входного сигнала в состоя- нии «ВЫКЛ», которое имело бы место при большем сопротивлении. Сопротив- ление нагрузки выбирается компромис- сным. Малое сопротивление уменьшит емкостную утечку, но вызовет ослабление входного сигнала из-за делителя напря- жения. образованного сопротивлением проводящего ПТ 7?вкл и сопротивлением нагрузки. Так как /?вкл меняется с измене- нием входного сигнала (при изменении Сзи). это ослабление приведет к некото- рой нежелательной нелинейности. Слиш- ком низкое сопротивление нагрузки проявляется также и на входе ключа, на- гружая источник входного сигнала. В Зд 3.12 и 4 30 предложены некоторые Решения этой проблемы (многоступенча- тое ключи, компенсация сопротивления п ) Привлекательная альтернатива - п^менение еще одного ПТ-ключа, зако- рачивающего выход на землю, если по- следовательно включенный ПТ находится в состоянии «ВЫКЛ»; таким образом формируется однополюсный ключ на два направления (подробнее об этом см. в следующем разделе). Диалоговые ключи на КМОП. Часто необходимо переключать сигналы, срав- нимые по величине с напряжением пита- ния. В этом случае описанная выше про- стая «-канальная схема работать не будет. поскольку при пиковом значении сигнала затвор не будет иметь смещения в прямом направлении. Переключение таких сигна- лов обеспечивают переключатели на комплементарных МОП-транзисторах (КМОП, рис 3.36). Треугольник на схе- ме-это цифровой инвертор, который мы вкратце опишем’ он преобразует высокий уровень входного сигнала в низкий уро- вень выходного и наоборот. При высоком уровне управляющего сигнала Тг пропус- кает сигналы с уровнями от земли до Uсс без нескольких вольт (при более высоких уровнях сигнала 7?вкл начинает драма- тическим образом расти) Аналогично Т2 при заземленном затворе пропускает сиг- нал с уровнями от Ucc до значения на несколько вольт выше уровня земли. Та- ким образом, все сигналы в диапазоне от земли до Ucc проходят через схему с малым сопротивлением (рис. 3.37). Пере- ключение управляющего сигнала на уро- Входнои выходной сигнал Выходной/ входной “сигнал Рис- 3.36. Аналоговый ключ на КМОП-транзисторах. Напряжение сигнала — Рис. 3.37. 7-л-канальный; 2-р-канальный. вень земли запирает оба ПТ, размыкая таким образом цепь. В результате полу- чается аналоговый переключатель для сигналов в диапазоне от земли до Ucc. Это основа схемы КМОП «передающего вентиля» 4066. Как и описанные ранее ключи, схема работает в двух направле- ниях-любой ее зажим может служить входным. Выпускается большое количество ин- тегральных КМОП-ключей в разных кон- фигурациях (например, несколько секций с несколькими полюсами каждая). Схема 4066-классическая КМОП-схема «анало- гового запорного вентиля» серии 4000- это просто другое название для аналого- вого ключа, переключающего сигналы в диапазоне от земли до положительного напряжения питания Серии IH5040 и IH5140 фирмы Intersil и серии DG305 и DG400 фирмы Siliconix очень удобны в употреблении; они используют управ- ляющий сигнал от ТТЛ, оперируют ана- логовыми сигналами до + 15 В (тогда как у серии 4000 этот диапазон составляет всего лишь +7,5 В), легко включаются в разнообразные конфигурации и имеют сравнительно малое сопротивление в со- стоянии «ВКЛ» (у некоторых из них 25 Ом). Фирмы Analog Devices. Maxim и PMI также выпускают хорошие аналого- вые ключи Мультиплексоры. Хорошим приложе- нием ПТ-ключей являются мультиплек- соры-схемы. которые позволяют вы- брать один из нескольких входов по ука- занию управляющего цифрового сигнала. Аналоговый сигнал с этого выбранного входа будет прямо проходить на (един-
152 Глава 3 Полевые транзисторы 153 Рис. 3.38. Аналоговый мультиплексор. ственный) выход. На рис. 3.38 показана функциональная схема такого устройства Каждый из ключей от КлО до КлЗ есть аналоговый КМОП-ключ. «Выбирающая логика» декодирует адрес и «задействует» (жаргонный аналог слова «включает») только адресованный ключ, блокируя остальные Такой мультиплексор обычно используется в сочетании с цифровыми схемами, вырабатывающими адрес. Ти- пичная конфигурация может включать в себя блок накопления данных, в котором несколько входных сигналов поочередно опрашиваются, преобразуются в цифро- вую форму и используются как входные данные для каких-то вычислений Так как аналоговые ключи являются двунаправленными устройствами, анало- говый мультиплексор является одновре- менно и «демультиплексором», т. е. сиг- нал может быть подан на выход и снят с избранного входа. В гл. 8 и 9 будет показано, что аналоговый мультиплексор может применяться в качестве «цифрово- г о мультиплексора-дему льтиплексора». поскольку цифровые логические уровни - это не что иное, как значения напряжения, трактуемые как двоичные единицы и нули. Типичные аналоговые мультиплек- соры-схемы серий DG506-509, а так^ схемы IH6108 и IH6116 (8- и 16-входовце мультиплексоры), воспринимающие в ка. честве кода адреса логические уровни ТТЛ и КМОП и работающие с аналоге, выми сигналами до +15 В. Прибору 4051-4053, которые входят в семейство цифровых схем КМОП, являются анало. г овыми мультиплексорами-демул ьщ. плексорами, имеющими до 8 входов, нс уровень аналогового сигнала, ограничев 15 В; у них есть вывод иээ (внутренний уровень смещения), так что их можно использовать для работы с биполярными аналоговыми сигналами и однополяр- ными управляющими сигналами с уров- нями цифровых логических схем. Другие применения аналоговых ключей. Управляемые напряжением аналоговые ключи образуют блоки, существенно важ- ные для построения схем на ОУ, которые мы увидим в следующей главе - интегра- торы. схемы слежения-хранения и пико- вые детекторы. К примеру, с помощью ОУ мы сможем построить «подлинный» интегратор (в отличие от приближения к интегратору, которое мы видели i разд. 1.15): постоянный входной сигнаэ генерирует линейно (не экспоненциально) нарастающий сигнал на выходе и т.д. При таком интеграторе мы должны имел способ «сброса» (восстановления) выхода: с этой задачей справляется ПТ-ключ, шунтирующий интегрирующий конденса- тор. Мы не хотели бы здесь полностью описывать данные схемы; поскольк) основную часть этих схем составляют ОУ. они естественным образом попадают в следующую главу. Не будем предвос- хищать событий 3.12. Недостатки ПТ-ключей Быстродействие. ПТ-ключи имеют сопро- тивление во включенном состоянии RKf} от 25 до 250 Ом В комбинации с ем- костью подложки и паразитными емкос- тями это сопротивление образует фильтр нижних частот, ограничивающий рабочие частоты значениями порядка 10 МГн 0 даже ниже (рис. 3.39). Полевые транзис- торы с меньшим 7?вкл имеют обычно /?вкл= 300 Ом Вход---------- Рис 3 39. Параметры аналогового мультиплексора Hf-508 (значения даны для замкнутого канала). /3яБ = = 1/(2пЛ.клСвых) = 24 МГн. большую емкость (у некоторых мультип- лексоров до 50 пФ), так что выигрыша в скорости нарастания сигнала они нс дают. Значительная доля ограничения частотной характеристики вызвана эле- ментами зашиты - последовательными токоограничивающими резисторами и шунтирующими диодами. Существует не- сколько аналоговых «телерадио частот- ных» ключей, обеспечивающих пропуска- ние сигналов более высокой частоты, возможно за счет отказа от некоторых видов зашиты. Например, ключи IH5341 и IH5352 оперируют аналоговыми сиг- налами в обычном диапазоне +15 В и имеют полосу пропускания 100 МГц; се- рии «высокоскоростных» мультиплексо- ров 74НС4051-53 также обеспечивают полосу пропускания аналоговых сигналов на уровне 3 дБ, равную 100 МГц. но об- рабатывают при этом сигналы только до ±5 В МАХ453-5 фирмы Maxim соче- тают в себе видеомультиплексор с выход- ным видеоусилителем, так что их можно непосредственно подключать к низкоом- ным (обычно 75 Ом) кабельным или иным нагрузкам, они имеют типичную полосу пропускания 50 МГц и предназна- чены для сигналов видеочастоты — 1 В от члзкоомных источников. Сопротивление в открытом (включен- ном) состоянии Ключи КМОП работаю- щие от относительно высокого напряже- ния питания (скажем. 15 В), будут иметь малые значения Авкл во всем диапазоне начений сигнала, так как всегда тот или Другой проводящий транзистор будет иметь прямое смещение затвора, равное По крайней мере половине напряжения питания. Но при меньшем напряжении Выход питания сопротивление ключа 2?вкл будет расти, и максимум его имеет место при уровне сигнала, среднем между напряже- нием питания и землей (или между двумя напряжениями питания при двуполярном питании) (рис. 3 40). При уменьшении Ucc сопротивление ПТ во включенном состоянии становится значительно выше (особенно вблизи точки Гзи = Ucc/2), так как для ПТ обогащенного типа Un состав- ляет по крайней мере несколько вольт и для достижения малых значений 7?вкл требуется напряжение затвор-исток не меньше чем 5-10 В Кроме того, что па- раллельное сопротивление двух ПТ рас- тет при уровне сигнала, среднем между напряжением питания и землей, этот пик (при 0,5 Ucc) будет увеличиваться по мере уменьшения Ucc, и при достаточно низ- ком Ucc ключ для сигналов с уровнем около 0,5 Ucc будет представлять разомк- нутую цепь. Имеются различные приемы, которые разработчики ИМС аналоговых ключей применяют, чтобы сохранить значение /?вкл малым и примерно постоянным (для малых искажений) во всем диапазоне из- мерения сигналов. Например, в первона- чально выпускавшемся аналоговом ключе 4016 использовалась простая схема рис. 3 36, дающая графики 7?вкл подобные тем, что показаны на рис. 3.41. В улуч- шенном ключе 4066 разработчики доба- вили несколько ПТ таким образом, что напряжение «-канальной подложки сле- дует за напряжением сигнала, давая в результате кривые Авкл, показанные на рис. 3.42. «Вулканообразная» форма этих кривых с понижением Яъкл в центре заме- нила «Эверест» на графиках для 4016.
154 Г лава 3 Рис. 3.41. Сопротивление включен- ного (замкнутого) канала аналогово- го КМОП-ключа типа 4016 Рис. 3.42. Сопротивление замкнуто- го улучшенного аналогового КМОП- ключа типа 4066; обратите внимание на изменение масштаба по сравне- нию с рис. 3.41. Напряжение сигнала, В Рис. 3.43. Сопротивление замкнутого аналогового ключа из семейства IH5140, позволяющего переклю- чать сигнал обеих полярностей; обратите внимание на масштаб вертикальной оси Рис. 3.44. Емкости аналоговых ключей (на пример* 4-канального переключателя AD7510). Я№л = 75 Ом-
Полевые транзисторы 155 усложненные ключи, такие как IH5140 (или AD7510), предназначенные для серь- езных применений, дают еще лучший ре- зультат, представленный в виде кривых / на рис. 3.43. Недавно выпущенные фирмой Siliconix ключи DG400 дают пре- восходные Авкл в 20 Ом ценой увеличения «передачи заряда» (см ниже подраздел «динамические помехи»): это семейство ключей, как и серия IH5140, имеет еще одно достоинство - нулевой ток покоя. Емкость. ПТ-ключи обладают следую- щими емкостями: между входом и выхо- дом (Сси), между каналом и землей (Сс, Ск), между затвором и каналом и между двумя ПТ в пределах одного кристалла (Ссс, Сии); см- Рис- 3.44. Рассмотрим, ка- кие эффекты они вызывают. Сси (емкость вход-выход). Наличие этой емкости приводит к прохождению сигнала через разомкнутый ключ, которое на высоких частотах возрастает. На рис. 3.45 показан этот эффект для ключей серии IH5140 Обратите внимание на ис- пользование 50-омной нагрузки - сопро- тивления, обычного для радиосхем, но много меньше нормального для низко- частотных сигналов, где типичное значе- ние полного сопротивления нагрузки сос- тавляет 10 кОм и более Даже при на- грузке 50 Ом сквозное прохождение сиг- нала на высоких частотах становится зна- чительным (на частоте 30 МГц емкость 1 пФ имеет полное сопротивление 5 кОм. Частота, Гц Рнс. 3.45. Изолирующие характеристики ключа из се- мейства IH5140 (ключ разомкнут). Рис. 3.46. Рис. 3.47. что вызывает сквозное прохождение — 40 дБ). И разумеется, имеется значи- тельное ослабление (и нелинейность в пе- редаче) сигнала при работе на 50-омную нагрузку, поскольку типичное значение /?вкл составляет 30 Ом (75 Ом в худшем случае). При нагрузке 10 кОм ситуация со сквозной передачей сигнала, конечно же, намного хуже. Упражнение 3.8. Рассчитайте сквозное прохождение сигнала в нагрузку 10 кОм на частоте 1 МГц, приняв Сси — 1 пФ В большинстве низкочастотных при- менений емкостное сквозное прохождение не создает проблем. Если они возникают, наилучшим решением является использо- вание пары каскадно-включенных ключей (рис. 3.46) или, что еще лучше, комбина- ции из последовательного и шунтирую- щего ключей, включаемых попеременно рис. 3.4"'). Последовательный каскад удваивает ослабление (в децибелах) ценой дополнительного Авкл, в то время как последовательно-параллельная схема (фактически это однополосный ключ на два направления-1П2Н) уменьшает пря- мое прохождение, снижая эффективное сопротивление нагрузки до 7?вкл когда последовательный ключ разомкнут Упражнение 3.9. Пересчитайте сквозное прохожде- ние в нагрузку 10 кОм на частоте 1 МГц, приняв Сси = 1 пФ и = 50 Ом для схемы рис. 3.47.
156 Глава 3 Полевые транзисторы 157 Однополярные двусторонние КМОП- ключи с управлением, гарантирующим размыкание перед замыканием, выпус- каются отдельными блоками. На практи- ке можно встретить и пару ключей 1Н2П в одном корпусе. Примерами являются ИМС DG188 и IH5142, а также DG191, IH5143 и AD7512 (сдвоенные приборы 1Н2П в одном корпусе). Благодаря до- ступности таких КМОП-ключей легко с помощью подобных однополюсных на два направления конфигураций получать превосходные параметры. Радиовидеочас- тотные ключи, о которых говорилось выше, имели встроенную последователь- но-параллельную схему. Сс, Си (емкость относительно земли). Шунтирующая на землю емкость приво- дит к упомянутому ранее спаду частотной характеристики. Ситуация усугубляется при высокоомном источнике сигналов, однако даже при фиксированном сопро- тивлении источника сопротивление ключа 7?вкл в сочетании с шунтирующей емко- стью на выходе образует фильтр нижних частот. Следующее упражнение показы- вает, как это происходит У пражнение 3.10. AD7510 (все паспортные значения его емкостей можно определить из рис. 3.44) подклю- чен к входному источнику сигналов, имеющему со- противление 10 кОм, а сопротивление нагрузки на выходе ключа составляет 100 кОм. Чему равна верх- няя частота среза на уровне — 3 дБ? Повторите вы- числения, приняв жестко фиксированное сопротивле- ние источника сигнала и сопротивление ключа = 75 Ом9 Емкость затвор-канал. Емкость между управляющим затвором и каналом вызы- вает еще один эффект, а именно наводку неприятных (даже когда они малы) пере- ходных помех на цепь сигнала при замы- кании или размыкании ключа. Сей пред- мет заслуживает серьезного обсуждения, так что мы отложим его до следующего раздела. Ссс> Сии (емкость между ключами). Если разместить несколько ключей на одном кристалле кремния размером с ку- курузное зерно, то не следует удивляться, заметив наводки между каналами («пере- крестные помехи»). Виновницей, разуме- ется, является емкость между каналами ключей. Эффект усиливается по мере роста частоты и увеличения полного со. противления источника сигнала, к кото, рому подключен канал. Предоставим ва^ возможность самим удостовериться в сказанном. Упражнение 3.11. Рассчитайте величину наводка в децибелах между парой каналов с Ссс = Сии = 0.5 пФ (рис. 3.44) для полных сопротивлений ис- точника и нагрузки, приведенных в последнем упра«. нении. Примите частоту сигнала помехи равной 1 МГц. Рассчитайте величину наводки для каждого следующего случая: а) оба ключа разомкнуты, б) от разомкнутого ключа к замкнутому, в) от замкнутого ключа к разомкнутому и г) оба ключа замкнуты. Из этого примера должно быть ясно, почему для большинства широкополос- ных радиочастотных схем применяются низкоомные источники сигналов, обычно сопротивлением 50 Ом. Если перекрест- ные помехи создают серьезные трудности, не подавайте на один кристалл более од- ного сигнала. Динамические помехи. Во время пере- ходных процессов от включенного сос- тояния к выключенному и обратно в ана- логовых ПТ-ключах могут возникать не- приятные эффекты. Скачок управляющего сигнала, поданный на затвор(ы), может создавать емкостную наводку в канале (каналах) и исказить коммутируемый сиг- нал до неузнаваемости. Это наиболее серьезно при уровнях сигнала, соответст- вующих высокому сопротивлению ключа. Подобные эффекты возникают и в муль- типлексорах (типа 4066) во время изме- нения адреса канала; кроме того, в муль- типлексоре возможно кратковременное соединение входов через открытые ключи, если задержка выключения канала пре- восходит задержку включения. Рассмотрим этот вопрос более подроб- но. На рис. 3.48 изображена форма вы- ходного сигнала, которую можно увидеть на выходе «-канальной схемы аналого- вого МОП-ключа, схема которого пока- зана на рис. 3.35, при нулевом уровне входного сигнала и нагрузке, состоящей из сопротивления 10 кОм и параллельной ему емкости 20 пФ.-вполне реальные значения для схемы аналогового ключа. Эти красивые переходные процессы вы- званы переносом заряда в канал через емкость затвор канал при изменении Сигнал воз- буждения напряжения затвора. Последнее делает резкий скачок от одного уровня питания к другому, в нашем случае от +15 к — 15 В (или в обратном направлении), перенося заряд Q — ^"зк(^3выс ^Зниз) где Сзк-емкость затвор-канал, обычно около 5 пФ. Заметим, что величина пере- носимого заряда зависит только от пол- ного изменения напряжения затвора и не зависит от времени, за которое это изме- нение происходит Замедление изменения сигнала на затворе вызывает меньшую по амплитуде, но более долгую динамичес- кую помеху с той же площадью под гра- фиком. Фильтрация выходного сигнала ключа фильтром нижних частот дает тот же эффект. Такие меры могут помочь в тех случаях когда важно добиться ма- лого пика амплитуды динамической по- мехи, однако в смысле исключения про- пускания управляющего напряжения с затвора на выход они неэффективны. В некоторых случаях можно предсказать емкость затвор канал с достаточной точ- лостью, для того чтобы погасить вы- бросы путем добавки инвертированного сигнала затвора через небольшой пере- менный конденсатор. Емкость затвор-канал распределена по всей длине канала, а это значит, что часть заряда (помехи) попадает обратно на вход ключа. В результате величина динамичес- кой помехи выходного сигнала зависит от полного сопротивления источника сиг- нала и будет наименьшей в том случае, когда ключ будет управляться источни- ком напряжения Конечно, уменьшение полного сопротивления нагрузки умень- шает величину динамической помехи, но при этом нагружается источник и вно- сятся дополнительные статическая по- грешность и нелинейность за счет конеч- ного значения параметра 7?вкл. И наконец, при прочих равных, ключ с меньшей величиной емкости затвор-канал будет вносить меньшие переходные помехи в процессе переключения, хотя за это мы платим увеличением Двкл. На рис. 3.49 приведены для сравнения кривые переноса заряда для трех типов аналоговых ключей, в том числе и ключа на ПТ с р- «-переходом Во всех трех случаях сигнал на затворе меняется в полном диапазоне, т. е. на 30 В или в пре- делах обозначенных на графике уровней напряжения питания для МОП-транзис- торов и от — 15 В до уровня сигнала для ключей на «-канальных ПТ с ^-«-пере- ходом Для последних существует сильная зависимость величины динамической по- мехи от сигнала, поскольку диапазон из- менения напряжения затвора пропорцио- нален разности между уровнем сигнала и уровнем — 15 В Хорошо сбалансиро- ванные КМОП-ключи имеют относитель- но малую динамическую помеху, по- ис 3.49. Зависимость заряда поме- Хи У различных линейных ПТ-ключей От напРяжения управляющего сигна- J.a ^~^Т с р-п-переходом: 2 МОП-ключ из семейства DG400; КМОП-ключ из семейства DG200.
158 Глава 3 Полевые транзисторы 159 Рис. 3.50. Цепи защиты входа (выхо. да) КМОП-схем. Последовательно включенный резистор на выходе час. то не ставится. скольку попадающие в канал заряды у комплементарных МОП-транзисторов стремятся скомпенсировать друг друга (когда на одном затворе напряжение растет, на другом - падает). Чтобы дать представление о масштабе этих эффектов, скажем, что заряд 30 пКл соответствует разности потенциалов (скачку) в 3 мВ на конденсаторе емкостью 0.01 мкФ. Это значительная емкость для конденсатора фильтра, и видно, что это действительно проблема, так как динамическая помеха в 3 мВ является существенной погреш- ностью при работе с аналоговыми сиг- налами низкого уровня. Защелкивание и входной ток. Все интег- ральные КМОП-схемы имеют ту или иную схему защиты входа, так как в противном случае изоляция затвора легко разрушается (см. разд. 3.15). Обычная схема такой зашиты показана на рис. 3.50. Хотя в ней можно использовать распре- деленную диодную матрицу, однако дан- ная цепь эквивалентна фиксирующим диодам, подключенным к Ucc и 17ии. в сочетании с резистивной токоограни- чивающей цепью. Если напряжение на входе (или на выходе) превысит напряже- ние питания более чем на падение напря- жения на диодном переходе, соответст- вующий диод перейдет в состояние про- водимости. и для входа (или выхода) образуется цепь с низким полным сопро- тивлением относительно соответствую- щего источника питания. Но что еще хуже, чип при возбуждении входа может войти в так называемое «КУВ-зашелки- вание» - ужасное (и разрушительное) сос- тояние. которое мы более подробно опи- шем в разд. 14.16. Все что необходимо нам знать о нем сейчас-это то, что дан- ное состояние нежелательно! КУВ-защел- кивание происходит спусковым (триггер, ным) переключением за счет входного тока (через цепь защиты) величиной где-то около 20 мА или более. Таким образом, необходимо быть осторожны^ и не подавать на аналоговые входы на- пряжение, превышающее напряжение пи- тания. Это, в частности, означает, что _мц всегда должны обеспечить подачу напря- жения питания прежде, чем поступит ка- кой бы то ни было сигнал, способный вызвать ток значительной величины. Между прочим, этот запрет столь же справедлив и для цифровых КМОП ИС. как и для только что рассмотренных нам аналоговых ключей. Неприятности, связанные с диодно-ре- зисторными цепями защиты, состоят « том, что они ухудшают параметры кли- ча, увеличивая 7?вкл, шунтирующую ем- кость и утечку. При искусном проектиро- вании чипа (с использованием «изоляций диэлектриком») можно исключить КУВ-защелкивание. не ухудшая серьезна параметров схемы, что обычно происхо- дит за счет схемы защиты. Многие бол» «свежие» разработки аналоговых ключей имеют «защиту от дурака»; например аналоговые мультиплексоры IH5108 й IH5116 фирмы Intersil имеют схемы фик- сации. которые позволяют подавать й аналоговые входы до —25 В даже пр нулевом напряжении питания (за эТ) устойчивость мы платим 7?вкл. вчетверо превышающим этот параметр для обьЯ’ВхОД ного IH6108/16) Будьте, однако, осте рожны, поскольку существует множестве ИМС аналоговых ключей, которые этог® не прощают! Существуют аналоговые ключи- Рес * ’ Jc построенные не на комплементарны* МОП-транзисторах, а на ПТ с р-и-пер» ,Ис 3.51. дом- Они работают очень хорошо, по некоторым параметрам опережая КМОП-ключи. В частности, ключи на ПТ р- «-переходом фирмы PMI имеют со- вершенно неизменное RBK„, не зависящее от аналогового напряжения, полное от- сутствие эффекта защелкивания и мало подвержены электростатическому про- бою. _ Другие недостатки ключей. Вот некото- рые дополнительные параметры аналого- вых ключей, которые могут быть важ- ными или не являться таковыми в том или ином конкретном применении: время переключения, время установления, за- держка размыкания перед замыканием, ток утечки канала (как в замкнутом, так и в разомкнутом состоянии- см. разд. 4.15), согласованность Квкл и темп. коэф. диапазоны изменения сигнала и на- пряжения питания. Мы проявим недю- жинное самообладание, поставив на этом точку и предоставив читателю самому входить во все подробности, если кон- кретное применение потребует этого. 3.13. Несколько схем на ПТ-ключах Как мы отмечали ранее, многие естест- венным образом возникающие примене- ния аналоговых ПТ-ключей-это схемы на ОУ, которые мы будем рассматривать в следующей главе. В этом разделе мы покажем несколько применений, не тре- бующих ОУ, с тем чтобы дать почувство- вать, в какого вида схемах можно исполь- зовать эти ключи. Переключаемый RC фильтр нижних частот. На рис. 3.51 показано, как можно 4-канальный мультиплексор Выбор крутизны спада АЧХ Рис. 3.52. АС-фильтр нижних частот с возможностью выбора 15 значений постоянной времени, равноот- стоящих друг от друга. построить простой 7?С-фильтр нижних частот с возможностью выбора частоты среза. В схеме использован мультиплек- сор для выбора одного из четырех пред- варительно подобранных резисторов пу- тем набора 2-разрядного двоичного (циф- рового) адреса. Мы решили поставить переключатель на входе, а не после резис- торов, так как при этом уменьшается «впрыск» заряда в точку с более низким сопротивлением источника сигнала. Еще одна возможность, конечно же, состоит в том, чтобы использовать ПТ-ключи для выбора конденсатора фильтра. Чтобы получить очень широкий диапазон по- стоянных времени, можно было бы по- пробовать это сделать, но при этом ко- нечное значение 7?вкл ключа ограничит коэффициент передачи фильтра на высо- ких частотах максимум 7?ВКЛ/7?ПОСЛ. На схеме обозначен также буфер с единич- ным усилением, стоящий вслед за фильт- ром. поскольку выходное сопротивление схемы велико. В следующей главе вы увидите, как построить «совершенный» повторитель (с точно заданным коэффи- циентом усиления, высоким ZBX, низким ZBblx, отсутствием сдвига UE3 и т. п.). Разумеется, в том случае когда стоящий вслед за фильтром усилитель имеет высо- кое входное сопротивление, повторитель не нужен. На рис. 3.52 показан простой вариант предыдущей схемы: здесь мы использо- вали вместо 4-входового мультиплексора
160 Глава 3 Рис. 3.53. Аналоговый мультиплексор выбирает соот- ветствующий резистор автоматического смещения в цепи эмиттера для получения декадно-переключае- мого коэффициента усиления. * Подбирается для получения К = 100: (7?ил + г, -г + R) = 100 Ом. четыре независимых ключа. При таком масштабном соотношении сопротивлений резисторов, которое приведено здесь, можно задавать 16 равноотстоящих зна- чений частоты среза путем замыкания этих ключей в различных комбинациях. Упражнение 3.12. Чему равны частоты среза (на уровне —3 дБ) в схеме рис 3.52? Усилители с переключаемым коэффи- циентом усиления На рис. 3.53 показано, как можно применить ту же самую идею переключаемых резисторов для создания усилителя с возможностью выбора коэф- фициента усиления. Хотя эта идея естест- венным образом требует ОУ, можно при- менить ее и к усилителю с эмиттерной обратной связью. В качестве эмиттерной нагрузки мы использовали источник (тоц. нее. приемник) неизменного тока, как эт0 было сделано в более раннем примере чтобы можно было получить коэфф^ циент усиления много меньше единиц^ Далее, мы применили мультиплексор выбора одного из четырех резисторов Обратите внимание на разделительный конденсатор, который нужен, чтобы сде- лать ток покоя не зависящим от коэффд. циента усиления. Схема слежения хранения. Рис. 3.54 демонстрирует, как можно сделать схему «слежения-хранения». которая будет кстати, когда мы захотим преобразовать аналоговый сигнал в поток цифровые комбинаций («аналого-цифровое преобра- зование»), При этом схема будет сохра- нять неизменным каждый уровень анало- гового сигнала, пока вычисляется его ве- личина. Данная схема проста. Входной буферный усилитель с единичным усиле- нием выдает на низкоомный выход копию входного сигнала, направляя ее на кон- денсатор малой емкости. Чтобы сохра- нить (запомнить) уровень аналогового сигнала в любой заданный момент, вы просто размыкаете ключ. Высокое полное входное сопротивление второго буфера (у которого на входе должны быть полевые транзисторы, чтобы входной ток не слиш- ком отличатся от нуля) предотвращает нагрузку конденсатора, так что напряже- ние на нем «хранится» до тех пор. пока ПТ-ключ не замкнется снова. Упражнение 3.13. Входной буфер должен выдавал ток такой величины, чтобы напряжение на конденса- торе следовало за изменяющимся сигналом. Рассчи- тайте пиковый выходной ток буфера при подаче вг вход схемы синусоидального сигнала амплитудой 1 В и частотой 10 кГц. Рис. 3.54. Схема слежения хранения Выход
Полевые транзисторы 161 рис 3.55. Инвертор напряжения с «плавающим» кон- денсатором. Конвертер напряжения с «плавающим» конденсатором. Существует прекрасный способ (рис 3.55) создавать нужное нам напряжение питания отрицательной по- лярности в схеме, запитанной от однопо- лярного положительного источника пита- ния. Пара левых по схеме ПТ-ключей подключает С к положительному источ- нику питания заряжая его до 17вх, в то время как правые ключи разомкнуты Вслед за тем входные ключи размы- каются а правая пара ключей замыка- ется, подключая заряженный С к выходу, при этом часть егэ заряда передается на С2- Схема организована столь хитроум- ным способом, что С, переворачивается вверх тормашками, выдавая на выход на пряжение отрицательной полярности! Данная конкретная схема выпускается в виде чипа конвертера напряжения 662 о котором мы поговорим в разд. 6.22 и 14.07 Это устройство, названное «ин- вертором», превращает напряжение «вы- сокого» уровня в напряжение «низкого» Уровня, и наоборот. В следующем разделе МЫ покажем, как делается один из таких инверторов (и мы фактически подготовим вас к тому, что вы быстрее поймете, как ускорить их работу, о чем идет речь в гл. 8-11!). 3-14. Логически ? и мощные ключи ва MOI1-транзисторах Другие виды применений ПТ-ключей-это логические и мощные переключающие сх£Мы. Отличить их просто При пере- ключении аналогового сигнала мы используем ПТ как последовательный ключ, разрешающий или блокирующий прохождение аналогового сигнала, кото- рый представляет собой изменяющееся в некотором диапазоне (непрерывным, т.е. аналоговым образом) напряжение. Аналоговый сигнал-это обычно сигнал, имеющий низкий уровень напряжения и незначительную мощность. С другой сто- роны. при логическом переключении клю- чи на МОП-транзисторах замыкаются и размыкаются, перебрасывая выход схемы от одного источника питания к другому. Фактически эти «сигналы» являются циф- ровыми а не аналоговыми - они скачком переходят от уровня питания одного источника к другому, представляя тем самым два состояния «высокое» и «низ- кое». Промежуточные уровни напряжения не являются полезными или желатель- ными, фактически, они даже незаконны! И наконец, понятие «мощные переключа- тели» относится к включению и выключе- нию питания нагрузки, такой как лампа, обмотка реле или двигатель вентилятора. В таких применениях обычно и напряже- ния, и токи велики Рассмотрим вначале логические переключатели. Логические ключи. На рис. 3.56 показан простейший тип логического переключа- теля на МОП-транзисторе В обеих схе- мах в качестве нагрузки используется ре- зистор и обе они осуществляют логичес- кую функцию инвертирования-высокий логический уровень на входе создает низ- кий уровень на выходе, и наоборот. Ва- Рис. 3.56. Логические инверторы на п-канальном («) и р-канальном (6) МОП-транзисторах. 6-626
162 Глава 3 риант схемы на /7-канальном транзисторе включает выход на землю при подаче на затвор высокого уровня, тогда как в p-ка- нальном варианте на резисторе обра- зуется высокий логический уровень при заземленном (низкий уровень) входе. Об- ратите внимание на то, что МОП-тран- зисторы в этих схемах используются как инверторы с общим истоком, а не как истоковые повторители. В цифровых ло- гических схемах подобных представлен- ным нас обычно интересует выходное на- пряжение («логический уровень»), проду- цируемое некоторым входным напряже- нием; резистор служит просто пассивной нагрузкой в цепи стока, обеспечивая при запертом ПТ выходное напряжение, рав- ное напряжению питания стока. С другой стороны, если мы заменим резистор осве- тительной лампочкой, реле, приводом печатающей головки или какой-то другой мощной нагрузкой, получим схему мощного переключателя (рис. 3.3). Хотя мы используем ту же самую схему «ин- вертора», однако при переключении мощ- ной нагрузки нас интересует ее включе- ние и выключение, а не напряжение вы- хода. Инвертор на КМОП. Представленные выше инверторы на «-канальном или p-ка- нальном МОП-транзисторе имеют не- достатки: они потребляют ток в состоя- нии «ВКЛ» и имеют относительно высо- кое выходное сопротивление в состоянии «ВЫКЛ». Можно уменьшить выходное сопротивление (уменьшив R), но только ценой увеличения рассеиваемой мощ- ности. и наоборот. За исключением источ- ников тока иметь высокое выходное со- противление. конечно же. всегда плохо. Даже если подключенная к выходу на- грузка имеет высокое сопротивление (на- пример. это затвор другого МОП-тран- зистора), все равно возникают проблемы шумов из-за емкостных наводок и умень- шается скорость переключения из состоя- ния «ВКЛ» в состояние «ВЫКЛ» («хвост переключения») за счет паразитной ем- кости нагрузки. В этом случае, например, инвертор на /7-канальном МОП-транзис- торе со стоковым резистором, имеющим компромиссное сопротивление, скажем 10 кОм, даст на выходе форму сигнала, показанную на рис. 3.57. Ситуация напоминает однокаскадный эмиттерный повторитель из разд. 2.15, в котором потребляемая мощность в сос- тоянии покоя и мощность, направляемая в нагрузку выбираются из тех же комп- ромиссных соображений. Решение здесь одно - использование пушпульной схемы, особенно хорошо подходящей для пе- реключателей на МОП-транзисторах. Взгляните на рис. 3.58; здесь показано. Рис. 3.58. Логический КМОП-инвертор.
Полевые транзисторы 163 как можно было бы организовать пуш- дульный (двухтактный) ключ. Потенциал земли на входе вводит нижний транзистор в состояние отсечки, а верхний - во вклю- ченное (замкнутое) состояние, в резуль- тате чего на выходе будет высокий логи- еский уровень. Высокий (+ Ucc) уровень входа действует противоположным обра- зом, давая на выходе потенциал земли. Это инвертор с низким выходным сопро- тивлением в обоих состояниях и в нем совершенно отсутствует ток покоя. Назы- вают его КМОП-инвертор (инвертор на комплементарных МОП-транзисторах), и он является базовой структурой для всех цифровых логических КМОП-схем - семейства которое уже стало преобла- дающим в больших интегральных схемах (БИС) и которому, похоже, предопреде- лено заменить более ранние семейства логических схем (так называемые ТТЛ- схемы), построенные на биполярных тран- зисторах. Обратите внимание на то, что КМОП-инвертор представляет собой два комплементарных МОП-ключа, соединен- ных последовательно и включаемых по- переменно, в то время как аналоговый КМОП-ключ (рассмотренный ранее в этой главе)-это параллельно соединен- ные комплементарные МОП-ключи. включаемые и выключаемые одновре- менно. Упражнение 3.14. Комплементарные МОП-тран- зисторы в КМОП-инверторе оба работают как инвер- торы с общим истоком, тогда как комплементарные биполярные транзисторы в пушпульных схемах разд. 2.15 являются (неинвертирующими) эмиттер- ными повторителями. Попробуйте нарисовать «комп- лементарный биполярный инвертор», аналогичный КМОП-инвертору. Почему он не сможет работать? О цифровых КМОП-схемах гораздо больше будет сказано там, где будут рас- сматриваться цифровые логические схемы и микропроцессоры (гл. 8-11). На сей момент остановимся на очевидном: КМОП-схемы-это семейство маломощ- ных логических схем (с нулевым потреб- лением мощности в состоянии покоя), имеющих высокое полное входное сопро- тивление и жестко заданные уровни вы- ходного напряжения, соответствующие полному диапазону напряжений питания. Однако прежде чем оставить сей предмет, мы не можем устоять против соблазна показать еще одну КМОП-схему (рис. 3.59). Это логический вентиль И-НЕ, на выходе которого будет низкий логический уровень только в том случае, если на обоих входах-на входе Л и на входе В -будет высокий уровень. Понять, как он работает, исключительно просто. И-НЕ Инвертор а РйС- 3.59. КМОП-вентили И-НЕ и И. 6
164 Глава 3 Если уровни А и 2?-оба высокие, то оба последовательно включенные «-каналь- ные МОП-ключи и Т2 находятся в проводящем состоянии, жестко фиксируя на выходе потенциал земли; р-канальные ключи Т3 и Т4 оба разомкнуты, так что ток через них не течет. Однако если уро- вень на любом из входов А или В (или на обоих) низкий, то соответствующий /?-ка- нальный МОП-транзистор открыт, пода- вая на выход высокий уровень, так как один (или оба) транзистор последова- тельной цепи Т\ Т2 закрыт и ток через них не проходит. Схема называется вентилем И-НЕ, по- скольку она осуществляет логическую функцию И, но с инверсным (НЕ) выхо- дом. Хотя вентили и их варианты - предмет рассмотрения гл. 8, вы можете доставить себе удовольствие, попытав- шись набить руку на решении следующих проблем. Упражнение ЗЛ5. Нарисуйте КМОП-вентиль И Подсказка: И = НЕ-И-НЕ. Упражнение 3.16. Теперь нарисуйте схему вентиля ИЛИ-HE. На выходе этой схемы низкий уровень, если на любом из входов А или В (или на обоих) уровень высокий. Упражнение 3.17. Небольшая загадка-как будет выглядеть КМОП-вентиль ИЛИ? Упражнение 3.18. Нарисуйте 3-входовый КМОП-вентиль И-НЕ. Цифровые логические КМОП-схемы, которые мы будем рассматривать позже, строятся путем комбинирования этих ба- зовых вентилей. Сочетание очень малой потребляемой мощности и жестко задан- ного выходного напряжения, привязан- ного к шинам питания, делает выбор се- мейства логических схем на КМОП-тран- зисторах предпочтительным для боль- шинства цифровых схем, что и объясняет их популярность. Кроме того, для микро- мощных схем (таких как наручные часы и малые измерительные приборы с бата- рейным питанием) это вообще единствен- ное решение. Однако, если мы не хотим впасть в заб- луждение. стоит отметить, что мощность, потребляемая КМОП-логикой, хотя и очень мала, но не равна нулю. Сущест- вуют два механизма, вызывающие появ- ление тока стока. Во время переходных Рис. 3.60. Емкостной зарядный ток. процессов через выход КМОП-схемы д01. жен проходить кратковременный ток I = CdU/dt, чтобы зарядить имеющую! на выходе емкость той или иной величины (рис. 3.60). Емкость нагрузки образуется как за счет емкости проводников («пара- зитная» емкость), так и за счет входной емкости дополнительной логической схемы, подключенной к выходу. Факти- чески, поскольку сложный чип на комп- лементарных МОП-транзисторах содер- жит много вентилей, каждый из которых нагружен на некоторую внутреннюю ем- кость, в любой КМОП-схеме имеется не- который ток стока, который участвует в переходных процессах, даже если сам чип не подключен ни к какой нагрузке. Неудивительно, что этот «динамический» ток стока пропорционален скорости, с которой происходит этот переходный процесс. Второй механизм появления тока стока в КМОП-схеме показан на рис. 3.61. При переходе напряжения на Рис 3.61. Проводимость в КМОП-схеме в режим® класса А
Полевые транзисторы 165 скачком от потенциала земли к напряжения питания и обратно УР ествует область, в которой оба мОП-транзиСТ°Ра нах°лятся в состоянии Сводимости, в результате чего возни- Ст всплеск тока от 1/сс на землю. Его * огда называют «ток класса А» или Сомовой ток питания». Некоторые след- ствия которые он вызывает, вы увидите гл 8, 9 и 14. Коль скоро мы сделали ставку на КМОП-схемы, нужно отметить и ДРУГ0® нх неД°статок (фактически, он присущ всем МОП-транзисторам)-это незащищенность от повреждения стати- ческим электричеством. Дополнительно мы поговорим об этом в разд. 3.15. Линейный усилитель на КМОП-тран- зисторах. КМОП-инверторы, как впрочем и все цифровые логические схемы, пред- назначены для работы с цифровыми ло- гическими уровнями сигналов. Поэтому, за исключением времени переходных про- цессов, входы и выходы подключены к земле или к шине (7СС (обычно + 5 В) И опять-таки за исключением времени, которое длятся эти переходные процессы (типичная величина-несколько наносе- кунд). здесь нет тока стока в состоянии покоя. Оказывается, КМОП-инвертор обла- дает некоторыми интересными свойст- вами, когда он работает с аналоговыми сигналами Взгляните снова на рис. 3.61. Можно рассматривать Т{ как активную (источник тока) нагрузку для инверти- рующего усилителя Т2, и наоборот. Когда на входе потенциал, близкий к Ucc или к потенциалу земли, токи указанных транзисторов сильнейшим образом отли- чаются друг от друга и усилитель нахо- ДИтся в насыщении (или в «прижатом» соответственно к земле или Ucc состоя- вии) Это, разумеется, нормальная ситуа- ^Ия Для цифровых сигналов. Однако гДа напряжение на входе равно прибли- зительно половине напряжения питания. неоолыпая область, где токи стоков J 1 и т 2 12 примерно одинаковы в этой ли" СТИ схеМа является инвертирующим фИ1^1НЬ1М Усилителем с большим коэф- Хап еНТ0м Усиления. Его передаточная актеРистика представлена на рис. 3.62. Частота, кГц Рис. 3.62 Вариации RH и дт с изменением тока стока таковы, что наибольший коэффициент усиления наблюдается при относительно малых значениях тока стока, т. е. при низком напряжении питания (порядка 5 В). Эта схема не является хорошим усили- телем; у нее есть недостатки - это очень высокое выходное сопротивление (особен- но при работе с низким напряжением питания), плохая линейность и непредска- зуемая величина коэффициента усиле- ния. Однако она проста и недорога (КМОП-инверторы по 6 в одном корпусе продаются по цене менее полдоллара за корпус), и ее иногда используют для уси- ления малых сигналов, форма которых несущественна. Примеры применения та- ких схем - сигнализатор близости элект- росети (который усиливает емкостные на- водки переменного тока сетевой частоты), генераторы с кварцевыми резонаторами и устройства с частотной модуляцией и частотной манипуляцией (см. гл. 15).
166 Глава 3 Полевые транзисторы 167 4,7 МОм 4,7 МОм 10 МОм 0,1 мкФ 0,1 мкФ фо.1 4^ мкФ а 6 Рис. 3.63. Схемы линейных усилителей на КМОП-транзисторах. Чтобы КМОП-инвертор работал как линейный усилитель, необходимо подать на вход смещение такое, чтобы усилитель находился в активном режиме. Обычный метод состоит в том, что со входа на выход включается резистор с большим сопротивлением (который мы определим в следующей главе как «обратная связь по постоянному току»), как показано на рис. 3.63. Это приведет нас в точку <7ВЫХ = 1/вх на графике рис. 3.62, а. Как мы позже увидим, такое включение, как на рис. 3.63, а, снижает входное полное со- противление за счет «шунтирующей об- ратной связи». Поэтому если важно иметь высокое входное полное сопротивление на высоких частотах, то предпочтение сле- дует отдать схеме рис. 3.63,6. Третья схема (рис. 3.63,в)-это использующий КМОП-усилитель классический генератор с кварцевой стабилизацией, описанный в разд. 5.13. На рис. 3.64 дан вариант схемы рис. 3.63, а, который используется для генерации сигналов строго прямо- угольной формы частотой 10 МГц (так- товый генератор для цифровых логичес- ких схем) ____ сигнала. Эта схема работает хорошо при I амплитуде сигнала на входе от 50 мВ до I 5 В (эффективные значения). Вот хороший пример применения, где действует прин-1 цип «Я не знаю, каково усиление, и меня I это не волнует». Обратите внимание на цепь зашиты входа, состоящую из после- довательно включенного токоограничи- вающего резистора и фиксирующих дио- дов. Мощные переключатели. МОП-транзис-1 торы хорошо работают как насыщенные! ключи в таких схемах, как та простейшая I схема, что была предложена нами в разд. 3.01. В настоящее время мощные МОП-транзи торы выпускаются многими I фирмами что позволяет использовать положительные особенности МОП-тран- зисторов (высокое входное сопротивле- ние, простота параллельного включения, I отсутствие «вторичного пробоя») и в мощных схемах. Вообще говоря, м шные МОП-транзисторы проще в применении, чем обычные мощные биполярные тран- зисторы Есть, однако, некоторые т< нкие из синусоидального входного Е^ис. 3.64. нудные для анализа эффекты, так что 0 ^алерийский наскок» в замене на мОП-транзисторы в переключательных ппинести к внезапному схемах ВЫХОДУ телями предотвратить „ составленный нами краткий обзор. С Мощные МОП-транзисторы. ПТ были -ллыми слаботочными устройствами, способными пропускать ток не более чем несколько десятков миллиампер, до тех пор пока в конце 1970-х годов японские компании не выпустили так называемые умОП-транзисторы (вертикальная МОП-структура с V-образной канав- кой). Сегодня мощные МОП-транзисторы выпускают все изготовители дискретных полупроводниковых приборов (например, в США такие фирмы, как GE, IR, Motorola, RCA, Siliconix, Supertex, TI; наряду с ними европейские компании, такие как Amperex, Ferranti, Siemens и SGS, а также многие из японских компаний) под такими назва- ниями, как УМОП, ТМОП, вертикальные ДМОП и HEXFET (гексагональные ПТ). Они могут оперировать высокими напряжениями допускают пиковые токи стояние через них может до 70 А), а /?В1£Л очень мало-0,02 Ом. Небольшие мощные МОП-транзисторы стоят существенно меньше доллара, и вы- пускаются они во всех обычных транзис- торных корпусах, а также по несколько транзисторов в удобном корпусе DIP. в котором выпускаются и большинство ИМС. Ирония судьбы заключается в том, тго теперь уже трудно найти дискретные маломощные МОП-транзисторы, зато нет проблем с мощными МОП-транзис- торами. В табл. 3.5 перечислены наиболее представительные типы мощных МОП-транзисторов. Высокое сопротивление, температурная с^а°илъностъ. Два важных преимущества Щных МОП-транзисторов, отличаю- их от мощных биполярных транзис- высокое входное сопротивле- ной ОДНако остерегайтесь высокой вход- емкости, особенно для сильноточных может привести к внезапному схемы из строя. Мы были свиде- такого рода аварий и надеемся их повторение. Прочтите с удивительно (до 1000 В) и до 280 А (по- проходить ток устройств; см. ниже) и полное отсутствие терморазогрева и вторичного пробоя. Этот последний эффект очень важен в мощных схемах и труден для понимания. Большую площадь перехода мощного транзистора (будь то биполярный или полевой) можно рассматривать как боль- шое количество малых переходов, вклю- ченных параллельно (рис. 3.65), причем к ним ко всем приложено одинаковое напряжение. В случае мощного биполяр- ного транзистора положительный темпе- ратурный коэффициент коллекторного тока при фиксированном 1/БЭ (приблизи- тельно + 9%/°С, см. разд. 2.10) означает, что локальная точка разогрева перехода будет иметь более высокую плотность тока, что вызовет дополнительный на- грев. При достаточно больших С'кэ и 1К эта «токовая деформация» может привес- ти к локальному саморазогреву, извест- ному под названием «вторичный пробой». В результате «площадь безопасной рабо- ты» биполярного транзистора (на графике зависимости коллекторного тока от на- пряжения на коллекторе) меньше, чем если учитывать только допустимую мощ- ность рассеяния транзистора (подробнее об этом см. в гл. 6). Важный момент здесь состоит в том, что ток стока МОП-транзистора падает при увеличении температуры (рис. 3.13) и это полностью исключает появление «горячих точек» в переходе. МОП-транзисторы не подвер- жены вторичному пробою и их область безопасной работы ограничена только допустимой мощностью рассеяния (см. рис. 3.66, где сравниваются области без- опасной работы биполярного п-р—п- транзистора и мощного и-канального МОП-транзистора при одних и тех же 1макс ’ ^ макс и Ррасс). По тем же причинам усилители мощности на МОП-транзисто- рах не имеют тех неприятных тенденций к температурному уходу параметров, за которые мы «так любим» биполярные транзисторы (см. разд. 2.15), и наконец, мощные МОП-транзисторы могут быть включены параллельно без токовыравни- вающих резисторов, которые для бипо- лярных транзисторов необходимы (см. разд. 6.07).
168 Глава 3 Таблица 3.5. Мощные МОП-транзисторы и *> , В СИ пр Постоян- Лв«л- Гзи> гп, В Сзи, пФ Cjc, пФ Q в.л, нКл Корпус б) Тип и примечания"* ный ток Ом при В (макс.) (тип.) (тип.) (тип.) стока, А (макс.] «-канальные 30 0,8 1,8 5 2,5 ПО 35 DIP 14 VQ3001J «>; 2N, 2Р в пусе DIP 40 4 2,5 5 1,5 60 5 0,8 ТО-92 TN0104N3; низкий notX)r VN0610L3’; защита зат*. ра; подобен VbP?22 60 0,2 6 5 2,5 60 5 - ТО-92 60 0.4 5 5 2,5 60 10 DIP-14 VQ1004J *>; счетверенный । в корпусе DIP । 60 15 0,14 5 2 900 180 ТО-220 RFP15N06L2’; низкий по- рог 100 0,25 15 5 2,4 27 3 0,6 ТО-92 VN1310N3, BSS100 100 0,8 2,5 5 2,4 70 12 2,6 ТО-92 VN0210N3 100 1,3 0,3 10 4 450 50 11 DIP-4 IRFD120 100 2 1 5 7 200 20 - ТО-220 RFP2N10L2’; низкий по- рог 100 4 0,6 10 4 180 15 5 ТО-220 IRF510, MTP4N10, VN1110N5, 2SK295 100 8 0,25 10 4 350 24 10 ТО-220 IRF520, BUZ72A, 2SK383 VN1210N5 | 100 25 0,08 10 4 1500 90 39 ТО-220 IRF540, MTP25N10 100 40 0,06 10 4 2000 350 63 ТО-3 IRF150, 2N6764 100 65 0,04 10 5 5200 640 — ТО-3 VNE003A” 120 0,2 10 2.5 7 125 20 - ТО-92 VN1206L1’; низкий порог 200 0,1 40 5 3.5 25 3 0,5 ТО-92 VN1320N3 200 0,1 24 10 2 40 5 - ТО-92 VN2020L *>. BS107 200 0,25 15 5 3 40 5 1,0 ТО-92 VN0120N3. BSS101 200 0,4 8 5 3 75 7 2,5 ТО-92 VNO22ON3, BSS89 200 3 1,5 10 4 140 9 6 ТО-220 IRF610, VN1220N5 200 5 0,8 10 4 450 40 11 ТО-220 1RF620, MTP5N20, BUZ30, 2SK440 200 9 0,4 10 4 600 80 19 ТО-220 IRF630, MTP8N20, BUZ32 200 18 0,18 10 4 1300 93 43 ТО-220 IRF640 200 30 0,09 10 4 2600 150 80 ТО-3 IRF250, 2N6766, MTM40N20 500 0,05 85 5 4 45 7 ТО-92 VN0550N3 500 0.2 20 5 4 75 10 ТО-92 VN0650N3 500 2,5 3 10 4 350 10 13 ТО-220 IRF820, BUZ74, MTP3N5O 500 4 1,5 10 4 610 18 21 ТО-220 IRF830, BUZ41A, VN5001D1’, MTP4N50 500 8 0,85 10 4 1300 45 42 ТО-220 IRF840. MTP8N50, 2SK5554’ 500 12 0,4 10 4 2700 75 86 ТО-3 IRF450, 2N677C 2SK560 500 20 0,3 10 5 4500 100 ТО-3 VNP006A ” 1000 1 10 10 4.5 1200 м’ 80 м’ 33 ТО-220 MTP1NIO0. BUZ50B 1000 5 3 10 4.5 2600 м’ 220 м’ НО ТО-3 MTM5N100 BUZ54. IRFAG50 р- канальнЫ6 30 0,6 2 12 4,5 150 60 DIP-14 VQ3001J 2N 2Р в кор- nvce DIP 60 0,4 5 10 4,5 150 20 DIP-14 VQ2004J п; четыре в кор- пусе DIP 100 0.15 40 5 3,5 20 3 0,4 ТО-92 VP1310N3 100 0,4 8 5 3.5 90 15 3 ТО-92 VP210N3, VP1008L 100 1 0.6 10 4 300 50 16 DIP-4 IRFD9120 100 6 0,6 10 4 300 50 16 ТО-220 IRF9520, VP121ON5. МТР8Р10
Полевые транзисторы 169 Продолжение табл. 3.5 и ® 1 Постоян- ный ток стока, А Лвжл, Ом при (макс.) в в (макс.) С"зИ, пФ (тип.) См. пФ QM.,, нКл Корпус6’ (тип.) (тип.) Тип и примечания"’ 19 0,2 10 4 1100 250 70 ТО-220 IRF9540, МТР12Р10 100 006 100 5 3.5 35 2 0,5 ТО-92 VP1320N3 200 200 200 oj 3,5 Ц 40 4 0,5 5 5 10 3,5 3,5 4 50 600 1100 5 1 20 10 150 70 ТО-92 ТО-220 ТО-220 VP0120N3, BSS92 VP1220N5, IRF9622 IRF9640 200 0,07 150 5 5 35 3 ТО-92 VP0550N3 500 500 500 0,1 1 25 9 5 5 4 4.5 75 550 10 20 ТО-92 ТО-220 VP0650N3 VP0350N5 2 6 10 4,5 1000 м’ 80 м’ 20 ТО-220 МТР2Р50 а| и„. = +20 В, за исключением' ” ±40 В, 2) +10 В ” +15, —0,3 Ви4’ ± 1 5 В б) 0 ГМ Р-4 = 120 С/Вт; DIP-14 = 100 °C/Вт; ТО-92 = 200 C/Вт; ОП1: ТО-220 =: 2,5 C/Вт ТО-3 = 0,8 °С/Вт. ипс" Р ... при Т = 75 °C: DIP-4 = 0,6 Вт: DIP-14 - 0,8 Вт; ТО-92 = 0,3 Вт: Ррасс (при Т1орп = 75 °C): ТО-220 = 30 Вт; т5д = 90Вт. в) Ожидаются вариации параметров у различных изготовителем: здесь представлены типичные параметры. “> Максимум. Примеры мощных переключательных схем и необходимые предосторожности. Часто бывает желательно управлять мощным МОП-транзистором с выхода цифровых логических схем. Хотя имеются семейства логических схем, выдающие напряжение 10 В и более («КМОП-серия 4000»), однако в большинстве семейств логических ИМС используются уровни + 5 В («высокоскоростные КМОП») или + 2,4 В («ТТЛ»), На рис. 3.67 показано, как переключать нагрузку, подавая управ- ляющие сигналы от логических схем этих трех семейств В первой схеме сигнал возбуждения затвора +10 В полностью откроет любой МОП-транзистор, так что мы выберем VN0106-недорогой тран- зистор, у которого Явкл < 5 Ом при Ци = 5 В. Диод в схеме защищает от индуктивньх всплесков (разд. 1.31); вклю- ченный последовательно с затвором ре- зистор хотя не обязательно необходим, однако полезен, так как емкость сток- затвоо МОП-транзистора может передать ИвДуктивньш переходный процесс в на- грузке обратно на чувствительную КМОП-логику (вскоре мы расскажем об этом более подробно). Во второй схеме на затвор подается 5 В, что все еще неплохо для серий VN01/VP01; для разнообразия мы применили здесь /^-канальный МОП- транзистор, переключающий нагрузку, подключенную к земле. Две оставшиеся схемы демонстрируют два способа обработки сигнала +2.4 В (в худшем случае; обычно это где-то около + 3,5 В)-высокого логического уровня цифровой логики ТТЛ. Можно исполь- зовать «подтягивающий» к +5 В резис- тор, чтобы обеспечить полный перепад + 5 В на выходе ТТЛ. который затем возбуждает обычный МОП-транзистор; можно выбрать и другой путь - использо- вать что-нибудь вроде TN0106-«низко- порогового» МОП-транзистора. рассчи- танного на сигнал возбуждения с уровнем ТТЛ. Будьте, однако, внимательны к пас- портным данным Например, в специфи- кации на TN01 указано «БЗИпор=1.5В (макс.)», что звучит прекрасно до тех пор, ЧЛоц3'65' ^РаНЗИС эр с большой •Мат ЬК> пеРеходов можно рас- как много параллель- Ма_1Юченных транзисторов с площадью переходов. к
Рис. 3.66. Мощные МОП-транзисторы не подверже- ны вторичному пробою. +24 В (пост, ток) КМОП тиль 100 Рис. 3.67. МОП-транзисторы способны переключать мощные нагрузки, при управляющих сигналах с уровнями цифровых логических схем
Полевые транзисторы 171 Рис. 3 68. Стоковые характеристики и-канального МОП-транзистора типа TN0104 с низким пороговым напряжением а-выходные характеристики; б-пере- даточные характеристики пока вы не прочтете превосходную сноску '<при Ic — 1 мА»). Это означает, что для полного открытия МОП-транзистора на затвор нужно подать напряжение намного выше С7ЗИпор (рис. 3.68). Однако эта схема, возможно, будет работать хорошо, по- скольку а) высокий уровень выхода ТТЛ редко бывает ниже 4-3 В и типичное его начение составляет -4-3,5 В и б) в паспор- те на TN01 далее указано: «1/В1£Л (тип.) — = 5 Ом при С’зи = 3 В». Этот пример иллюстрирует часто воз- никающие у разработчика затруднения, а именно-что выбрать: сложную схему, полностью удовлетворяющую критериям разработки в наихудшем случае и тем самым гарантирующую работоспособ- ность, или простую схему, не отвечаю- щую спецификациям в наихудшем случае, которая, однако, в подавляющем боль- шинстве случаев будет работать без проблем Не раз еще возникнут моменты, когда вы поймаете себя на том, что выби- раете последнее, не обращая внимания на слабый внутренний голос, подсказываю- щий обратное Емкость. В предыдущем примере мы включали последовательно с затвором резистор (в схеме с индуктивной нагруз- кой). Как отмечалось ранее (разд. 3.09), МОП-транзисторы имеют практически бесконечное резистивное сопротивление затвора, но конечное полное сопротивле- ние из-за емкости затвор-канал. У силь- ноточных МОП-транзисторов эта емкость может быть очень разной: сравните вход- ную емкость 45 пФ у 1-амперного VN01 с Свх = 450 пФ 10-амперного IRF520; 70- амперный SMM70N05 фирмы Sihconix имеет Свх — 4300 пФ’ Быстро изменяю- щееся напряжение стока может вызвать в затворе переходный ток в миллиампе- рах, что достаточно для перегрузки (и даже для повреждения) нежных управ- ляющих КМОП-чипов. Последовательно включаемое сопро- тивление выбирается из соображений компромисса между быстродействием и необходимостью защиты, при этом ти- пичными являются значения от 100 Ом до 10 кОм. Даже без индуктивной нагрузки динамический ток затвора будет, конечно, иметь место: емкость относительно земли C1XS будет заряжаться током I = Cis^dU3K/dt, а (меньшая) емкость обратной связи Crss создает входной ток I = CrssdL\~Jdt. Этот последний будет доминировать в ключе с общим истоком, поскольку Д17о обычно намного больше, чем сигнал возбуждения затвора ДПЗИ (эффект Миллера). Упражнение 3.19. МОП-транзистор IRF520, пере- ключающий 2-амперную нагрузку, выключается за 100 нс (при переключении потенциала затвора с -1-10 В до потенциала земли), в течение которых напряжение стока изменяется от 0 до 50 В Чему равно среднее значение тока затвора в течение этих
172 Глава 3 Заряд затвора,нКл Рис. 3.69. Зависимость заряда затвора МОП-транзис- тора типа IRF520 от 17зи. Рис. 3.70. Емкости в мощном МОП-транзисторе типа IRF520. Измерения проводились при Uw = 0. Cus = = Сзи + Сзс (сток и исток закорочены); Cr3S = С^; Сом = + (Сзи Сзс) / (Сзи + Сзс) ~ Сси + Сх 100 нс в предположении, что Сзи (называемое также С^,) равно 450 пФ, а Сст (называемое также Сги) равно 50 пФ? В ключе с общим истоком вклад эф- фекта Миллера в ток затвора имеет место все время, пока не завершится переход- ный процесс в цепи стока, а емкость затвор-исток создает ток только при из- менении напряжения затвора. Эти эффек- ты часто рисуются в виде графика «зави- симости заряда затвора от напряжения затвор-исток», как это сделано на рис. 3.69 Горизонтальная полка на графике наблюдается при напряжении включения, когда быстро падающее напряжение стока вынуждает схему возбуждения затвора впрыскивать дополнительный заряд в CrS5 (эффект Миллера). Если бы емкость об- ратной связи не зависела от напряжения, то эта горизонтальная часть графика бы- ла бы пропорциональна напряжению сто- ка. после чего кривая продолжалась бы с прежним наклоном. На самом деле ем- кость обратной связи Crss при малом на- пряжении быстро возрастает (рис. 3.70). а это означает, что эффект Миллера боль- ше всего проявляет себя на той части сигнала, когда напряжение на стоке мало. Этим объясняется изменение наклона кривой заряда затвора, а также тот факт, что длина горизонтальной полки почти не зависит от напряжения стока. Упражнение 3.20. Как зависимость Сги от напряже- ния объясняет изменение наклона кривых заряда зат- вора? Дополнительные моменты, требующие внимания. Есть еще некоторые веши, ко- торых не выносят МОП-транзисторы и о которых вам следует знать. Все изготови- тели мощных МОП-транзисторов соеди- няют подложку с истоком прямо в кор- пусе. Поскольку подложка образует с каналом диод, то это означает, что факти- чески в этих транзисторах между истоком и стоком имеется диод (рис. 3.71); неко- торые изготовители даже явно рисуют этот диод на схемном изображении вы- пускаемого ими МОП-транзистора, что- бы вы об этом не могли забыть. Это Рис. 3.71. В мощных МОП-транзисторах подложку соединяют с истоком, в результате чего образуется диодный переход сгок -исток.
Полевые транзисторы 173 свО1о очередь означает, что вы не може- те использовать мощный МОП-транзис- тОр как ненаправленный прибор или же по меньшей мере не можете подать на переход сток-исток напряжение обрат- ной полярности, превышающее прямое падение напряжения на диоде. Например, вы не сможете использовать мощный МОП-транзистор для сброса в нуль ин- тегратора, возбуждаемого биполярным сигналом; не получится также применить его и в качестве аналогового ключа для биполярных сигналов. Эта проблема не возникает в ИМС на МОП-транзисторах (аналоговых ключах, например)-в них подложка соединена с выводом источника питания отрицательной полярности. Еще один капкан для неосторожных - это тот факт, что напряжение пробоя затвор-исток (обычная величина ±20 В) меньше, чем напряжение пробоя сток- исток (оно изменяется в диапазоне от 20 до 1000 В). Это не имеет значения, если на затвор подаются сигналы возбуждения от низковольтной цифровой логики, однако транзистор мгновенно выйдет из строя, если на затвор ему подать сигнал со стока предыдущего МОП-транзистора с полной амплитудой напряжения стока. И наконец, о защите затвора. В послед- нем разделе этой главы мы говорим о том, что все МОП-транзисторы исключи- тельно чувствительны к электростатиче- ским разрядам, вызывающим пробой изолирующего затвор окисла. В отличие от ПТ или других устройств ср- «-перехо- дами в которых лавинный ток перехода может безопасным образом разрядить возникшее перенапряжение. МОП-тран- зисторы необратимо повреждаются при однократном мгновенном пробое затво- ра. Поэтому очень полезно включать в цепь затвора последовательные резисто- ры сопротивлением 1-10 кОм. особенно там, где сигнал на затвор поступает с дру- гой печатной платы. Это сильно умень- шает возможность повреждения транзис- тора, а также предотвращает перегрузку выхода предыдущей схемы, так как самый общий симптом такого рода повреждения состоит в том, что через затвор начинает пРоходить значительной величины посто- янный ток. Еще одно, за чем необходимо следить - не оставить затвор МОП-тран- зистора неподключенным, так как он намного более подвержен пробою, когда на нем накапливается плавающий потен- циал (нет цепи разряда статического элект- ричества, которая в некоторой мере сни- жает опасность пробоя). Это может слу- читься неожиданно, если сигнал на затвор поступает с другой печатной схемы. В этом случае, т. е. там, где источник сигнал находится вне данной платы, лучше всего поставить в схему между затвором и ис- током любого такого МОП-транзистора резистор (скажем, 100 кОм-1 МОм). Сравнение сильноточных ключей на МОП-транзисторах и биполярных тран- зисторах. Мощные МОП-транзисторы в большинстве случаев являются хорошей заменой мощным биполярным транзис- торам. Сегодня они при тех же парамет- рах стоят несколько больше, однако они проще в управлении и не подвержены вторичному пробою, ограничивающему область безопасной работы (см. рис. 3.66). Помните, что МОП-транзистор во включенном состоянии ведет себя как ма- лое сопротивление (а не как насыщенный биполярный транзистор). Это может ока- заться выгодным, так как «напряжение насыщения» явным образом стремится к нулю при малых токах стока. Существует общее представление о том, что МОП- транзисторы не насыщаются так же при больших токах, однако наши исследова- ния показали, что это представление глу- боко ошибочно. В табл. 3.6 мы выбрали несколько сравнимых пар (биполярный п-р-«-транзистор и «-канальный МОП- транзистор) и выписали для них паспорт- ные данные по Пкэнас или ЛсиВКл- Слабо- точный МОП-транзистор выглядит слабо в сравнении со своим биполярным со- братом, однако в диапазоне 10-50 А, 0-100 В МОП-транзистор работает луч- ше. Обратите особое внимание на исклю- чительно высокий ток базы, необходимый для того, чтобы биполярный транзистор вошел в глубокое насыщение - 10% и бо- лее от величины коллекторного тока (!)- в сравнении с 10 В смещения (ток нулевой), при которых обычно специфицируются
174 Глава 3 Полевые транзисторы 175 Таблица 3.6. Сравнение биполярных и МОП-транзисторов Класс Тип A CHac, 25 °C В (макс.) 125 °C /Б, мА (Сзи. В) Свых пФ (10 В) макс. Цена, долл США. за ' 100 шт. 60 В; 0,5 А прп - 2N4400 0,5 0,75 0,8 50 8 0,09 ziMOn-VN0610 0,5 2,5 4,5 (Ю) 25 0,43 60 В; 10 А npn-2N3055 10 3 3,3 600 0,65 ИМОП-МТР3055А 10 1,5 2,3 (Ю) 300 0,57 100 В; 50 А прп- 2N6274 20 1 1,4 2 600 11,00 иМОП-УКЕООЗА 20 0,7 1,1 (Ю) 3000 12,50 400 В; 15 А npn-2N6547 15 1,5 2,5 2 500 400 nMOn-IRF350 15 3 6 (Ю) 900 12.60 +12В ЮОкОм 5 ।— Вил. ILZvNOl 0 —1Выкл. ----1|—А К нагрузке 12В VP12(6A, 0,80м) IRF9533 (ЮА 0,40м) данные на МОП-транзистор. Отметим также, что высоковольтные МОП-тран- зисторы (например, с <7СИпроб > 200 В) имеют как правило большее 7?СИвкл и бо- лее высокие значения температурных ко- эффициентов, чем низковольтные устрой- ства. Наряду с параметрами насыщения в таблице приведены значения емкостей, так как их величина у мощных МОП- транзисторов часто больше, чем у би- полярных транзисторов с такой же токо- вой нагрузочной способностью; для неко- торых схемных применений (особенно там где важна скорость переключения) можно рассматривать произведение емкости на напряжение насыщения как показатель качества применяемого тран- зистора. Запомните мощные МОП-транзисторы можно использовать в качестве замены биполярных транзисторов в мощных ли- нейных схемах, например в усилителях звуковой частоты и стабилизаторах на- пряжения (о последних мы будем гово- рить в гл. 6). Мощные МОП-транзисторы выпускаются также в виде //-канальных приборов, хотя среди «-канальных при- боров их разновидностей гораздо больше Некоторые примеры мощных переклю- чательных схем на МОП-транзисторах. На рис. 3.72 показаны три разных способа использования МОП-транзистора для уп- равления мощностью постоянного тока, которая направляется в некоторую под- схему и подачу которой нам хотелось бы включать и выключать. Если мы имеем измерительный прибор с батарейным пи- танием, и измерения с его помощью про- изводятся от случая к случаю, тогда мож но применить схему а, которая отключает потребляющий значительную мощность микропроцессор на все время, пока изме- рения не проводятся. Здесь мы применили //-канальный МОП-ключ, переключаемый 5-вольтовым логическим сигналом. Эта «5-вольтовая логика» представляет собой цифровые КМОП-схемы, которые нахо- дятся в рабочем состоянии даже тогда; когда микропроцессор отключен (напом- ним' КМОП-логика имеет статическую мощность рассеяния, равную нулю). В гл. 14 мы предлагаем гораздо подробнее рассказать о такого рода схеме «отключе- ния питания». Вторая схема (рис. 3.72,6) переключает подачу в нагрузку питания +12 В при значительном токе нагрузки; это может быть радиопередатчик или что-то подоб- ное Поскольку у нас есть лишь 5-вольто- выи диапазон логического сигнала, то для создания «полномасштабного» сигнала амплитудой 12 В, который будет управ- лять //-канальным МОП-вентилем мы использовали слаботочный «-канальный ключ. Обратите внимание на высокое со- противление резистора в цепи стока «-ка- нального МОП-транзистора, что здесь совершенно оправдано, так как ток в цепи затвора //-канального МОП-вентиля не течет (даже при полном токе через ключ 10 А) и нам не требуется высокая ско- рость переключения в такого рода приме- нениях. Третья схема в) является развитием схемы 6) и содержит схему на р-п-p-тран- зисторе, ограничивающую ток короткого замыкания. Применять такую защиту в схемах с большой потребляемой мощно- стью всегда полезно, поскольку короткое замыкание такого рода весьма вероятно, особенно при макетных испытаниях В этом случае схема ограничения тока пре- дотвращает также возникающий на ко- роткий момент при подаче + 12 В в на- грузку резкий всплеск тока короткого за- мыкания через первоначально незаряжен- ный конденсатор. Попытайтесь понять, как работает эта схема ограничения тока Упражнение 3.21. Как работает схема ограничения тока? Какова максимальная величина тока, пропус- каемая ей в нагрузку? Для чего резистор в цепи стока n-канального МОП-транзистора разделен на два? Ограниченная величина напряжения пробоя затвора МОП-транзисторов (обыч- но л:20 В) может создать здесь реальную проблему, если вы попытаетесь заставить эту схему работать от источника питания с более высоким напряжением. В этом случае можно заменить резистор 100 кОм на 10 кОм (что позволит работать при питании до 40 В) или выбрать другое приемлемое соотношение номиналов двух схемных резисторов, такое чтобы напря- * ние возбуждения затвора VP12 всегда было меньше 20 В. 12-В нагрузка Рис. 3.72. Мощные схемы переключения цепей посто- янного тока на МОП-транзисторах. На рис. 3.73, а показана в качестве при- мера простая переключательная схема на МОП-транзисторе, одна из тех, где ис- пользуется высокое сопротивление затво- ра Пусть вам нужно включить уличное освещение автоматически с наступлением темноты Показанный на схеме фоторе- зистор имеет при солнечном освещении низкое сопротивление, а в темноте - высо- кое Он образует часть резистивного дели- теля напряжения, непосредственно воз- буждающего затвор транзистора (нагруз- ка делителя по постоянному току отсут- ствует!). Освещение включается, когда напряжение на затворе достигает величи- ны, обеспечивающей ток стока, достаточ- ный для включения реле. Внимательный читатель может заметить, что эта схема не особенно точна и стабильна; тут все в порядке, поскольку сопротивление фо- торезистора при наступлении темноты изменяется колоссальным образом (ска- жем, с 10 кОм до 10 МОм). При этом малая точность и стабильность порога означает лишь то, что свет может вклю- читься несколькими минутами раньше или позже Заметим, что в течение време- ни, пока смешение затвора медленно нарастает, превысив пороговое значение, на МОП-транзисторе будет рассеиваться некоторая мощность, так как при этом он
юв + 1кВ Схема защиты Рис 3.75. Возбудитель пьезокристалла малой мощности на 1 кВ
Полевые транзисторы 177 аботает в линейном режиме. Эту проб- еМУ устраняет схема на рис. 3.73,6, где пара каскадно включенных МОП-тран- зисторов обеспечивает намного более вы- сокий коэффициент усиления, чему спо- собствует также некоторая положитель- ная обратная связь через резистор О МОм; этот последний заставляет схему при достижении порога регенеративным образом опрокидываться На рис. 3.74 дана реально работающая схема на мощном МОП-транзисторе- 200-ваттный усилитель для возбуждения погруженного в воду электрического пре- образователя с частотой 200 кГц. Здесь мы использовали пару больших /г-каналь- ных МОП-транзисторов, включающихся и выключающихся попеременно, так что в первичной обмотке (высокочастотного) трансформатора создается сигнал воз- буждения переменного тока. Биполярные двухтактные схемы возбуждения затворов с небольшими резисторами в цепях зат- воров необходимы для того, чтобы ис- ключить емкостную нагрузку, так как МОП-транзисторы должны полностью включаться за время несколько меньше 1 мкс И наконец, на рис. 3.75 мы представили пример линейной схемы на мощных МОП-транзисторах. Керамические пьезо- электрические преобразователи часто ис- пользуются в оптических системах для осуществления небольших управляемых перемещений, например, в адаптивной оптике можно применить пьезоэлектри- чески управляемое «эластичное зеркало» Для компенсации локальных изменений коэффициента преломления атмосферы Пьезопреобразователи прекрасно ведут сеоя в эксплуатации благодаря своей вы- сокой износостойкости К несчастью, чтобы вызвать в них заметные перемеще- ния требуется напряжение не ниже кило- вольта. Кроме того, они имеют очень высокую емкость (типичное значение й-01 мкф и более) и механический резо- нанс в килогерпевом диапазоне, а потому Как нагрузка они отвратительны. Нам требовалось множество таких силовых Усилителей, которые по тем или иным причинам обошлись бы нам по несколько тысяч долларов каждый, если бы мы их покупали. Мы решили свои проблемы представленной здесь схемой. BUZ-50 В- это недорогой (4 долл.) МОП-транзистор. хорошо подходящий для работы при 1 кВ и 2 А. Первый транзистор-инвертиру- ющий усилитель с общим истоком, воз- буждающий истоковый повторитель. На и-р-«-транзисторе собран токовый огра- ничитель; это может быть низковольтное устройство, поскольку он привязан к по- тенциалу выхода и плавает вместе с ним. У этой схемы есть одна не бросающаяся в глаза особенность - по сути это пуш- пульная схема, хотя она и выглядит как однополярная. Необходим достаточно большой ток (определите какой величи- ны?), чтобы обеспечить «накачку» 10000 пФ со скоростью где-то около 2 В мкс; выходной транзистор может обеспечить подачу такого тока, однако резистор в нижнем плече не обеспечит его отвод (вернитесь к разд. 2.15, где мы мотивировали необходимость пушпуль- ной схемы для решения подобной же проблемы). В данной схеме выходной транзистор обеспечивает второй такт (прием тока) через диод, включенный между истоком и затвором’ Остальная часть схемы обеспечивает обратную связь (с помощью ОУ)-тема, которую мы за- претили себе трогать до следующей гла- вы; в данном случае магическая обратная связь делает всю схему в целом линейной (100 В выхода на 1 В входа), тогда как в ее отсутствие выходное напряжение зависе- ло бы от (нелинейной) характеристики 7С-ПЗИ входного транзистора 3.15. Необходимые предосторожности в обращении с МОП-транзисторами Затвор МОП-транзистора изолирован от канала слоем стекла (SiO2) толщиной в несколько тысяч ангстрем (1 А = 0,1 нм) В результате мы имеем очень высокое сопротивление, но не имеем резистивной или полупроводниковой цепи для стока заряда статического электричества по ме- ре его накопления. В классической ситуа- ции вы берете МОП-транзистор (или МОП-транзисторную ИМ С) в руку, под-
178 Глава 3 Полевые транзисторы 179 Таблица 3.7. Типичные значения электростатического напряжения (по данным из справочника фирмы Motorola по мощным МОП-транзисторам) Механизм Электростатическое напряжение. В Влажность 10 20% Влажность 65-90% Ходьба по KOBpv 35000 1 500 Ходьба по линолеуму Работа на электро монтаж- 12000 250 ном столе 6000 100 Одевание кембриковых трубок 7 000 600 Пластиковые пакеты 20000 1200 Работа на стуле с обтяжкой 18000 1 500 ходите к схеме, вставляете устройство в разъем, включаете питание, и все это только для того, чтобы обнаружить - МОП-транзистор мертв. А убили его вы сами! Вам следовало взяться другой ру- кой за печатную схему, прежде чем встав- лять в нее устройство. Таким образом был бы снят ваш статический заряд, ко- торый зимой может достигать нескольких тысяч вольт. МОП-транзистор не любит, когда его «стукает током». Как проводник статического электричества вы представ- ляете собой последовательное соединение конденсатора 100 пФ и резистора около 1,5 кОм. зимой этот конденсатор может зарядиться до 10 кВ и более от трения подошв о пушистый ковер (даже простое движение руки в рукаве рубашки или сви- тера может дать напряжение в несколько киловольт; см. табл. 3.7). Хотя любое полупроводниковое уст- ройство можно вывести из строя хорошей искрой, однако устройства на МОП-тран- зисторах особенно чувствительны к про- бою. поскольку энергия, запасаемая в ем- кости затвор - канал, при достижении на- пряжения пробоя становится достаточной для того, чтобы пробить отверстие в тон- ком слое изоляции затвора. (Если эта искра проскакивает от вашего пальца, то ваши 100 пФ лишь вносят дополнитель- ный вклад в этот процесс.) Рис. 3.76 (взя- тый из серии тестовых испытаний мощно- го МОП-транзистора на стойкость к электростатическому разряду) показы- вает, какого рода неприятности могут произойти. Назвать это «пробоем затво- Рис. 3.76. Сканирующая электронная микрофотогра- фия высокого разрешения (х 1200) 6-амперного МОП-транзистора, разрушенного зарядом в 1 кВ от «эквивалента человеческого тела» (1.5 кОм. включен- ного последовательно с емкостью 100 пФ), приложен- ного к его затвору. (С разрешения фирмы Motorola, Inc.). ра» было бы ошибкой; ближе к получае- мой картине будет выразительный тер- мин «прободение». В электронной промышленности проб- лема электростатического разряда стоит очень серьезно. Возможно, она является лидирующей среди причин по которым на выходе линии сборки появляются не- работоспособные полупроводниковые устройства. На данную тему написаны целые книги, и вы может с ними ознако- миться МОП-приборы, так же как и дру- гие чувствительные к электростатике по- тупроводниковые устройства (а сюда от- носятся почти все они, например, всего в 10 раз большее, че.м МОП-транзисторЫ- напряжение выдерживает биполярный транзистор), можно перевозить в прово- дящей фольге или упаковке Следует так- же быть осторожными при работе с паяльником и т. д. Лучше всего заземлять корпуса паяльников, крышки столов % т. п., а также пользоваться проводяшй'1 браслетом. Кроме того, можно исполь- зовать «антистатические» покрытие пола- обивку мебели и даже одежду (например- тистатическии халат из ткани, содер- ^щей 2% стального волокна). Хорошая Жаганизация рабочего помешения и про- °^сса производства включает регулировку влажности, применение ионизаторов воз- а (которые делают воздух в слабой Степени электропроводным, что препят- ствует накоплению зарядов на предме- тах) а также обученный персонал. Если этого нет, то зимой степень выхода год- ных изделий катастрофически падает. Как только устройство впаяно на свое место в схеме, шансы на его повреждение резко падают, тем более что многие МОП-транзисторные устройства (такие, например, как логические КМОП-устрой- ства но не мощные МОП-транзисторы) имеют предохранительные диоды во входных пепях затворов. Хотя цепи внут- Входы видео-' сигналов Р®-- 3.77. а-повторитель с большим входным сопротивлением' б-видеомультиплексор на МОП-транзисторах с Усилителем компенсирующим потери на ; в - переключение сигнала с использованием диодного моста - альтернатива полевым транзисторам; г-логический переключатель для р-канального высоковольтного ключа НТ01 -преобразователь уровня ТТЛ в высокое напряжение фирмы Supertex; 8 в одном корпусе). ренней защиты, состоящие из резисторов и обратно включенных (иногда зенеров- ских) диодов, несколько ухудшают пара- метры, часто их все же надо применять для уменьшения риска повреждения ста- тическим электричеством. В случае неза- щищенных устройств, например мощных МОП-транзисторов, устройства с малой площадью затвора (слаботочные) подвер- гаются наибольшей опасности поврежде- ния, поскольку их малая входная емкость легко заряжается до высокого напряже- ния, когда она входит в контакт с заря- женной емкостью человека 100 пФ. Наш собственный опыт работы с МОП-тран- зистором VN13. имеюшим малую пло- щадь затвора, был настолько удручаю- щим, что мы больше не используем его в промышленных разработках. ? MAX3I0 о 5 4 3 2 1 О МАХ 450 1750м i (Компоненты, задающие КУ, - не показаны) "Выбор входа" + Unn при “высоком входе +Unn-14B при "низком"входе ' +220В . 0IRFD92OO 1 P^APQl и ДР- Нагрузка Напряжение поднимается 1—1---- до +200В при "низком" вх. де
180 Глава 3 ОБРАТНАЯ СВЯЗЬ И ОПЕРАЦИОННЫЕ УСИЛИТЕЛИ < ►— Выход I ЕЕ-] ^2 Ту ,Т2 : согласованные ; ПН л-канальные обогащен- " ные МОП-транзисторы _^ии Э Рис 3.78. «-аналоговые ключи; б-усилитель с коэффициентом усиления 1000; «-логический ключ; г ментарный инвертор на ПТ с р- «-переходом; д- повторитель с нулевым сдвигом. компле- Трудно переоценить проблему повреж- дения затвора МОП-транзистора вслед- ствие его пробоя статическим электриче- ством. К счастью, разработчики МОП- транзисторов осознают серьезность этой проблемы и отвечают на нее новыми раз- работками с более высоким напряжением пробоя затвор—исток. Например, фирма Motorola выпустила новую серию «ТМОП IV» с напряжением пробоя зат- вор-исток ±50 В. СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ 3.16. Удачные схемы На рис. 3.77 представлена подборка хо- роших схем на ПТ. 3.17. Негодные схемы На рис. 3.78 дана подборка некорректных схемных идей; в некоторых из этих схем имеются кое-какие тонкости. Можно мно- гому научиться, разбираясь, почему этВ схемы не будут работать ВВЕДЕНИЕ Понятие «обратная связь» (ОС) относится к числу распространенных, оно давно вышло за рамки узкой области техники и употребляется сейчас в широком смыс- ле В системах управления обратная связь используется для сравнения выходного сигнала с заданным значением и выпол- нения соответствующей коррекции. В ка- честве «системы» может выступать что угодно, например процесс управления движущимся по дороге атомобилем - за выходными данными (положением ма- шины и ее скоростью) следит водитель, который сравнивает их с ожидаемыми значениями и соответственно корректи- рует входные данные (с помощью руля, переключателя скоростей, тормоза). В усилительной схеме выходной сигнал дол- жен быть кратен входному, поэтому в усилителе с обратной связью входной сиг- нал сравнивается с определенной частью выходного сигнала 4.01 . Предварительные сведения об обратной связи Отрицательная обратная связь-это про- песс передачи выходного сигнала обратно на вход при котором погашается часть входного сигнала. Может показаться, что это глупая затея, которая приведет тишь * Уменьшению коэффициента усиления Именно такой отзыв получил Гарольд Блэк, который в 1928 г попытался запатентовать отрицательную обратную вязь. «К нашему изобретению отнеслись к же, как к вечному двигателю» (журнал Spectrum за декабрь 1977 г.). Дейст- вительно, отрицательная обратная связь уменьшает коэффициент усиления, но при этом она улучшает другие параметры схемы, например устраняет искажения и нелинейность, сглаживает частотную ха- рактеристику (приводит ее в соответствие с нужной характеристикой), делает пове- дение схемы предсказуемым. Чем глубже отрицательная обратная связь, тем мень- ше внешние характеристики усилителя за- висят от характеристик усилителя с ра- зомкнутой обратной связью (без ОС), и в конечном счете оказывается, что они за- висят только от свойств самой схемы ОС Операционные усилители обычно исполь- зуют в режиме глубокой обратной связи, а коэффициент усиления по напряжению в разомкнутой петле ОС (без ОС) дости- гает в этих схемах миллиона. Цепь ОС может быть частотно-зависи- мой, тогда коэффициент усиления будет определенным образом зависеть от часто- ты (примером может служить предусили- тель звуковых частот в проигрывателе со стандартом RIAA); если же цепь ОС яв- ляется амплитудно-зависимой, то усили- тель обладает нелинейной характеристи- кой (распространенным примером такой схемы служит логарифмический усили- тель, в котором в цепи ОС используется логарифмическая зависимость напряже- ния иъэ от тока 1К в диоде или транзисто- ре). Обратную связь можно использовать для формирования источника тока (вы- ходной импеданс близок к бесконечности) или источника напряжения (выходной импеданс близок к нулю), с ее помощью можно получить очень большое или очень малое входное сопротивление. Вообще говоря тот параметр, по которому вво- дится обратная связь, с ее помощью улуч- шается. Например, если для обратной связи использовать сигнал, пропорцио-
182 Глава 4 нальный выходному току, то получим хороший источник тока. Обратная связь может быть и положи- тельной', ее используют, например в гене- раторах. Как ни странно, она не столь полезна, как отрицательная ОС. Скорее она связана с неприятностями, так как в схеме с отрицательной ОС на высокой частоте могут возникать достаточно большие сдвиги по фазе, приводящие к возникновению положительной ОС и не- желательным автоколебаниям. Для того чтобы эти явления возникли, не нужно прикладывать большие усилия, а вот для предотвращения нежелательных автоко- лебаний прибегают к методам коррекции, о которых мы немного поговорим в конце этой главы. После этих общих замечаний рассмот- рим несколько примеров использования обратной связи в операционных усили- телях. 4.02 . Операционные усилители В большинстве случаев, рассматривая схе- мы с обратной связью, мы будем иметь дело с операционными усилителями. Опе- рационный усилитель (ОУ)-это диффе- ренциальный усилитель постоянного тока с очень большим коэффициентом усиле- ния и несимметричным выходом. Прооб- разом ОУ может служить классический дифференциальный усилитель (разд. 2.18) с двумя входами и несимметричным вы- ходом; правда, следует отметить, что реальные операционные усилители обла- дают значительно более высокими коэф- фициентами усиления (обычно порядка 105-106) и меньшими выходными импе- дансами. а также допускают изменение выходного сигнала почти в полном диа- пазоне питающего напряжения (обычно используют расщепленные источники пи- тания + 15 В). Промышленность выпус- кает сейчас сотни типов операционных усилителей: условное обозначение, приня- тое для всех типов, представлено на рис. 4.1; входы обозначают (+) и ( —). и рабо- тают они, как можно догадаться, следу- ющим образом: выходной сигнал изме- няется в положительном направлении, когда потенциал на входе (+) становится более положительным, чем потенциал на входе ( —), и наоборот. Символы «-^ и « —» не означают, что на одном входе потенциал всегда должен быть более по- ложительным, чем на другом; эти сим- волы просто указывают относительную фазу выходного сигнала (это важно, если в схеме используется отрицательная ОС). Во избежание путаницы лучше называть входы «инвертирующий» и «неинверти- рующий», а не вход «плюс» и вход «ми- нус». На схемах часто не показывают подключение источников питания к ОУ и вывод, предназначенный для заземле- ния. Операционные усилители обладают колоссальным коэффициентом усиления по напряжению и никогда (за редким иск- лючением) не используются без обратной связи. Можно сказать, что операционные усилители созданы для работы с обрат- ной связью. Коэффициент усиления схемы без обратной связи так велик, что при наличии замкнутой петли ОС характери- стики усилителя зависят только от схемы обратной связи. Конечно, при более под- робном изучении должно оказаться, что такое обобщенное заключение справедли- во не всегда. Начнем мы с того, что просто рассмотрим, как работает опера- ционный усилитель, а затем по мере необ- ходимости будем изучать его более тща- тельно. Промышленность выпускает буквально сотни типов операционных усилителей, которые обладают различными преиму- ществами друг перед другом (загляни*6 в табл. 4.1, если вам не терпится окинуть взглядом это море возможностей). По* всеместное распространение получила очень хорошая схема типа LF411 (иЛВ просто «411»). представленная на рынок фирмой National Semiconductor Как и в# операционные усилители, она представ* ляет собой крошечный элемент. разМВ' щенный в миниатюрном корпусе с ДвУх'
Обратная связь и операционные усилители 183 Рис 4.2. Интегральн я схема в корпусе мини-DIP с двухрядным расположением выводов. точки отсчета при нумерации выводов. В большинстве корпусов электронных схем нумерация выводов осуществляется в направлении против часовой стрелки со стороны крышки корпуса. Выводы «уста- новка нуля» (или «баланс», «регулиров- ка») служат для устранения небольшой асимметрии, возможно в операционном усилителе. Речь об этом пойдет позже в этой главе. 4.03. Важнейшие правила рядным расположением выводов; ее внешний вид показан на рис. 4.2. Эта схема недорога и удобна в обращении; промышленность выпускает улучшенный вариант этой схемы (LF411A), а также элемент, размешенный в миниатюрном корпусе и содержащий два независимых операционных усилителя (схема типа LF412, которую называют также «сдвоен- ный» операционный усилитель). В даль- нейшем в этой главе мы будем использо- вать схему типа LF411 как стандарт опе- рационного усилителя, мы также реко- мендуем вам эту схему в качестве хоро- шей начальной ступени в разработке электронных схем. Схема типа 411 - это кристалл кремния, содержащий 24 транзистора (21 биполяр- ный транзистор, 3 полевых транзистора, 11 резисторов и 1 конденсатор). На рис. 4 3 показано соединение с выводами корпуса Точка на крышке корпуса и вы- емка на его торце служат для обозначения Сейчас мы познакомимся с важнейшими правилами, которые определяют пове- дение операционного усилителя, охвачен- ного петлей обратной связи. Они спра- ведливы почти для всех случаев жизни. Во-первых, операционный усилитель обладает таким большим коэффициентом усиления по напряжению, что изменение напряжения между входами на несколько долей милливольта вызывает изменение выходного напряжения в пределах его полного диапазона, поэтому не будем рассматривать это небольшое напряже- ние, а сформулируем правило 1: I. Выход операционного усилителя стре- мится к тому, чтобы разность напряжений между его входами была равна нулю. Во-вторых, операционный усилитель потребляет очень небольшой входной ток (ОУ типа LF411 потребляет 0,2 нА; ОУ со входами на полевых транзисторах - по- рядка пикоампер); не вдаваясь в более глубокие подробности, сформулируем правило И: И. Входы операционного усилителя ток не потребляют. Здесь необходимо дать пояснение: пра- вило I не означает, что операционный усилитель действительно изменяет на- пряжение на своих входах. Это невозмож- но. (Это было бы несовместимо с прави- лом II.) Операционный усилитель «оцени- вает» состояние входов и с помощью внешней схемы ОС передает напряжение с выхода на вход, так что в результате разность напряжений между входами ста- новится равной нулю (если это возможно). Эти правила создают достаточную основу для рассмотрения схем на опера-
184 Глава 4 Обратная связь и операционные усилители 185 ционных усилителях. О предосторожно- стях, которые необходимо соблюдать при работе с ОУ, мы поговорим в разд. 4.08, после того как рассмотрим основные схе- мы включения ОУ. ОСНОВНЫЕ СХЕМЫ ВКЛЮЧЕНИЯ ОПЕРАЦИОННЫХ УСИЛИТЕЛЕЙ 4.04. Инвертирующий усилитель Рассмотрим схему на рис. 4.4. Проанали- зировать ее будет нетрудно, если вспом- нить сформулированные выше правила: 1. Потенциал точки В равен потенциалу земли, следовательно, согласно правилу I, потенциал точки А также равен потен- циалу земли. 2. Это означает, что: а) падение напряже- ния на резисторе R2 равно С!вых, б) паде- ние напряжения не резисторе Rx равно 3. Воспользовавшись теперь правилом II, получим Гвых//?2 = —UnJRn или коэф- фициент усиления по напряжению = 17вых/ /17вх = —R2/R1. Позже вы узнаете, что чаше всего точку В лучше заземлять не непосредственно, а через резистор. Одна- ко сейчас это не имеет для вас значения. Итак, анализ схемы на ОУ оказался даже чересчур простым. Он, правда, не позволяет судить о том, что на самом деле происходит в схеме. Для того чтобы понять, как работает обратная связь, представим себе, что на вход подан неко- торый уровень напряжения, скажем 1 В. Для конкретизации допустим, что резис- тор Rr имеет сопротивление 10 кОм. а резистор R2 - 100 кОм. Теперь представим себе, что напряжение на выходе решило выйти из повиновения и стало равно 0 В. Что произойдет? Резисторы R{ и R2 обра I зуют делитель напряжения, с помощьД которого потенциал инвертирующег входа поддерживается равным 0,91 В Операционный усилитель фиксирует рас согласование по входам, и напряжение I его выходе начинает уменьшаться. Изме нение продолжается до тех пор, пока вы ходное напряжение не достигнет значения —10 В, в этот момент потенциалы входеJ ОУ станут одинаковыми и равными по- тенциалу земли. Аналогично, если напря-1 жение на выходе начнет уменьшаться 1 дальше и станет более отрицательным 1 чем —10 В, то потенциал на инверти- рующем входе станет ниже потенциала] земли, в результате выходное напряжение j начнет расти. Как определить входной импеданс! рассматриваемой схемы? Оказывается, просто. Потенциал точки А всегда равен 0 В (так называемое мнимое заземление.] или квазинуль сигнала). Следовательно. ZBX = Ry. Пока вы еще не знаете, как подсчитать выходной импеданс; для этой! схемы он равен нескольким долям ома. | Следует отметить, что полученные ре- зультаты справедливы и для сигналов постоянного тока-схема представляет! собой усилитель постоянного тока. По- этому, если источник сигнала смешен относительно земли (источником являет- ся, например, коллектор предыдущего! каскада), у вас может возникнуть желание использовать для связи каскадов конден- сатор (иногда такой конденсатор назы- вают блокирующим, так как он блокирует сигнал постоянного тока, а передает сит-1 нал переменного тока). Немного ] озже (когда речь пойдет об отклонениях xapafr теристик ОУ от идеальных), вы узнаете- что в тех случаях, когда интерес npei- ставляют только сигналы переменного! тока, вполне допустимо использовать I блокирующие конденсаторы. Схема, которую мы рассматриваем. Я* I зывается инвертирующим усилите Недостаток этой схемы состоит в том, чТ° | она обладает малым входным импедаВ'1 сом, особенно для усилителей с болыП®11 коэффициентом усиления по напряжен®0 (при замкнутой цепи ОС), в которых Р°' | Рис 4.5. Неинвертирующий усилитель. зистор Ri, как правило, бывает неболь- шим. Этот недостаток устраняет схема, представленная на рис. 4.5. 4.05. Неинвертирующий усилитель Рассмотрим схему на рис. 4.5. Анализ ее крайне прост: UА = 17вх. Напряжение 17 А снимается с делителя напряжения: 17А = = ивыМ№г + R2)- Ес™ Еа = VB„ то коэффициент усиления - £7ВЫХ/!7ВХ ~ 1 + 4- R^RX Это неинвертирующий усили- тель. В приближении, которым мы вос- пользуемся, входной импеданс этого уси- лителя бесконечен (для ОУ типа 411 он составляет 1012 Ом и больше, для ОУ на биполярных транзисторах обычно превы- шает 108 Ом). Выходной импеданс, как и в предыдущем случае, равен долям ома. Если, как в случае с инвертирующим уси- лителем, мы внимательно рассмотрим поведение схемы при изменении напряже- ния на входах, то увидим, что она рабо- тает, как обещано. Эта схема также представляет собой усилитель постоянного тока. Если источ- ник сигнала и усилитель связаны между собой по переменному току, то для вход- Рис. 4.7. ного тока (очень небольшого по величине) нужно предусмотреть заземление, как по- казано на рис. 4.6. Для представленных на схеме величин компонентов коэффициент усиления по напряжению равен 10, а точке — 3 дБ соответствует частота 16 Гц. Усилитель переменного тока. Если уси- ливаются только сигналы переменного тока, то можно уменьшить коэффициент усиления для сигналов постоянного тока до единицы, особенно если усилитель обладает большим коэффициентом уси- ления по напряжению. Это позволяет уменьшить влияние всегда существующе- го конечного «приведенного ко входу на- пряжения сдвига». Для схемы, представ- ленной на рис. 4.7, точке —3 дБ соответ- ствует частота 17 Гц; на этой частоте импеданс конденсатора равен 2,0 кОм. Обратите внимание, что конденсатор дол- жен быть большим. Если для построения усилителя переменного тока использовать неинвертирующий усилитель с большим усилением, то конденсатор может ока- заться чрезмерно большим. В этом случае лучше обойтись без конденсатора и на- строить напряжение сдвига так, чтобы оно было равно нулю (этот вопрос мы рассмотрим позже в разд. 4.12). Можно воспользоваться другим методом - увели- чить сопротивления резисторов Rx и R2 и использовать 7-образную схему дели- теля (разд. 4.18). Несмотря на высокий входной импе- данс, к которому всегда стремятся разра- ботчики, схеме неинвертирующего усили- теля не всегда отдают предпочтение перед схемой инвертирующего усилителя. Как
186 Глава 4 Обратная связь и операционные усилители 187 мы увидим в дальнейшем, инвертирую- щий усилитель не предъявляет столь вы- соких требований к ОУ и, следовательно, обладает несколько лучшими характери- стиками. Кроме того, благодаря мнимо- му заземлению удобно комбинировать сигналы без их взаимного влияния друг на друга. И наконец, если рассматриваемая схема подключена к выходу (стабильно- му) другого ОУ, то величина входного импеданса для вас безразлична —это мо- жет быть 10 кОм или бесконечность, так как в любом случае предыдущий каскад будет выполнять свои функции по отно- шению к последующему. 4.06. Повторитель На рис. 4.8 представлен повторитель, по- добный эмиттерному, на основе опера- ционного усилителя. Он представляет собой не что иное, как неинвертирующий усилитель, в котором сопротивление ре- зистора Ry равно бесконечности, а сопро- тивление резистора Т?2-нулю (коэффи- циент усиления =1). Существуют спе- циальные операционные усилители, пред- назначенные для использования только в качестве повторителей, они обладают улучшенными характеристиками (в основ- ном более высоким быстродействием), примером такого операционного усили- теля является схема типа LM310 или ОРА633, а также схемы упрошенного ти- па, например схема типа TL068 (она выпускается в транзисторном корпусе с тремя выводами). Усилитель с единичным коэффициен- том усиления называют иногда буфером, так как он обладает изолирующими свой- ствами (большим входным импедансом и малым выходным). Рис. 4.8. Повторитель. 4.07. Источники тока На рис. 4.9 изображена схема, котора, является хорошим приближением к идеа льному источнику тока, без сдвига На пряжения иБЭ, характерного для тран зисторного источника тока. Благодар' отрицательной ОС на инвертируют^’ входе поддерживается напряжение (j под действием которого через нагрузи протекает ток I = UnR. Основной нед0. статок этой схемы состоит в том, что нагрузка является «плавающей» (она не заземлена). С помощью такого источника тока нельзя, например, получить пригод- ный к использованию пилообразный сиг- нал, напряжение которого отсчитывалось бы относительно потенциала земли. Этот недостаток можно преодолеть, если, на- пример, всю схему (источники питания и все остальное) сделать «плавающей», а нагрузку заземлить (рис. 4.10). Штрихо- вой линией обведен рассмотренный выше Рис. 4.10. Источник тока с заземленной нагруз*0* и плавающим источником питания очник тока с источниками питания. Резисторы и R2 образуют делитель " пояжения для установки тока. Чтобы н этой схемы не смущал вас, напомним. ® «земля»-это понятие относительное. Любую точку в схеме можно назвать «землей». Представленную схему исполь- зуют ДЛЯ формирования токов, проте- кающих через заземленную нагрузку, но ее существенный недостаток в том, что управляющий вход является плавающим, это значит, что выходной ток нельзя за- дать (запрограммировать) с помощью входного напряжения, отсчитываемого от потенциала земли. Методы устранения этого недостатка изложены в той части гл. 6 где рассматриваются источники пи- тания постоянного тока Источники тока для заземленных нагру- зок. С помощью операционного усилите- ля и подключенного к нему транзистора можно построить простой и высококаче- ственный источник тока для заземленной нагрузки небольшое дополнение к схеме операционного усилителя позволяет ис- пользовать на управляющем входе напря- жение, измеряемое относительно земли (рис. 4.11). В первой схеме обратная связь создает на резисторе R падение напряже- ния равное UKK — которое в свою очередь порождает эмиттерный ток (а следовательно, и выходной ток), равный Ъ = Щкк — С7ВХ)//?. При работе с этой схемой не приходится беспокоиться о на- пряжении С БЭ и его изменениях, связан- НЫХ с изменениями температуры, /к, (/кэ и т.п. Несовершенство этого источника тока (не будем принимать во внимание ошибки ОУ: /см, <7СДВ) проявляется лишь в том. что небольшой базовый ток может немного изменяться в зависимости от на- пряжения UK3 (предполагаем, что опера- ционный усилитель не потребляет вход- ной ток); этот недостаток-небольшая плата за возможность использования за- земленной нагрузки; если в качестве транзистора Ту использовать составной транзистор Дарлингтона, то погрешность будет существенно уменьшена. Погреш- ность возникает в связи с тем, что опера- ционный усилитель стабилизирует эмит- терный ток. а в нагрузку поступает кол- лекторный ток. Если в этой схеме вместо биполярного использовать полевой тран- зистор, то проблема будет полностью решена, так как затвор полевого тран- зистора тока не потребляет. В рассматриваемой схеме выходной ток пропорционален величине, на которую напряжение, приложенное к неинверти- рующему входу операционного усилите- ля. ниже, чем напряжение питания t/KK; иными словами, напряжение, с помощью которого программируется работа схемы, измеряется относительно напряжения пи- тания (/кк, и все будет в порядке, если напряжение (7ВХ является фиксированным и формируется с помощью делителя на- пряжения, если же напряжение на вход должно подаваться от внешнего источ- ника, то возможнь неприятности. Этого недостатка лишена вторая схема, в кото- С-4.Ц. Источники ток с за- ченными нагрузками, не тре- ' Щие плавающего источника питания
188 Глава 4 Обратная связь и операционные усилители 189 рой аналогичный первый источник тока с транзистором и-р-«-типа служит для преобразования входного управляющего напряжения (измеряемого относительно земли) во входное напряжение, измеряе- мое относительно Екк, для оконечного источника тока. Операционные усилители и транзисторы недороги, поэтому запом- ните такой совет, не раздумывая, вклю- чайте в схему дополнительные компо- ненты, если они позволяют улучшить ее работу и упрощают разработку. Одно существенное замечание, касаю- щееся последней схемы: операционный усилитель должен работать при условии, что напряжение на его входах близко или равно положительному питающему на- пряжению Подойдут интегральные опе- рационные усилители типа 307, 355 или ОР-41. Альтернативный вариант - исполь- зование для питания ОУ отдельного ис- точника напряжения U + , превышающего напряжение UKK. Упражнение 4.1. Для последней схемы определите выходной ток для заданного входного напряжения E.V На рис. 4.12 представлен интересный вариант схемы источника тока на основе Рис. 4.12. Источник тока на полевых/биполярных транзисторах, предназначенный для больших токов. ОУ и транзисторов. Преимущество I схемы состоит в том, что базовый приводящий к ошибке в случае hcijT| зования полевых транзисторов, здесь вен нулю, выходной ток не ограничива^I ся значением /Си(вМ.)- В этой схеме <£? тически-это не источник, а потребит тока) транзистор Т, начинает проводи?! когда через транзистор 7] протекает / стока величиной приблизительно Об».*1 При минимальном значении 7СИ дЛя у равном 4 мА, и подходящем значений р для Т2 величина тока, протекающей) через нагрузку, может достигать 100 мА ’ и более (для получения больших токов! транзистор Т2 можно заменить транзит тором Дарлингтона, при этом нужно со- ответственно уменьшить R ). В данной I схеме были использованы полевые тран- зисторы с р- «-переходом, но еще лучше было бы использовать полевые МОП- транзисторы, так как для ОУ на полевьц транзисторах с р - «-переходом требуется расщепленный источник питания, обеспе- чивающий диапазон напряжения на зат- воре, достаточный для перехода транзис-1 тора в режим отсечки. Ничего не стоит с помощью простого мощного полевого МОП-транзистора (МОП-структура с V-образной канавкой) получить ток по- больше, однако мощным полевым тран- зисторам присущи большие межэлектрод- ные емкости, а представленная здесь гибридная схема как раз и позволяет пре- одолеть связанные с этим проблемы. Источник тока Хауленда. На рис 413 показан красивый учебный источник тока. Если резисторы подобраны таким об- разом. что выполняется соотношение Ry R2 = Кд Rv то можно показать, чтИ справедливо равенство’ /н = — Uвх, К2- Упражнеше 4.2. Покажите, что приведенное равенство справедливо. Эта схема всем хороша, кроме одного- резисторы должны быть точно согласо- ваны. иначе источник тока будет далек °т совершенства Но даже при выполнен®» этого условия определенные ограничен накладывает коэффициент КОСС onePaj| ционного ; силителя. При больших вЫ*0-' ных токах резисторы должны быть Не большими тем самым ограничивается выходной диапазон. Кроме того, на высо- ких частотах (где, как мы скоро узнаем, усиление в цепи обратной связи невелико) выходной импеданс может существенно уменьшаться-от требуемого бесконечно- го значения до всего лишь нескольких сотен ом (что соответствует выходному импедансу ОУ с разомкнутой обратной связью). Хоть эта схема и хороша с виду, на практике ее используют редко 4.08. Основные предостережения о работе с ОУ 1- Правила I и II (сформулированные в разд. 4.03) справедливы для любого операционного усилителя при условии, что он находится в активном режиме, т. е его входы и выходы не перегружены. Например если подать на вход усили- еля чересчур большой сигнал, то это приведет к тому что выходной сигнал ¥дет срезаться вблизи уровня Екк или ээ- В то время когда напряжение на выходе оказывается фиксированным на ровне напряжения среза, напряжение на на Не Может не изменяться Размах ряжения на выходе операционного з еля Не может быть больше диапа- МеНапРяжения питания (обычно размах в НеШе Диапазона питания на 2 В. хотя Иояж Т°РЬ1Х размах выходного на- наппо ИЯ ОгРаничен одним или другим Жением питания). Аналогичное ог- раничение накладывается на выходной диапазон устойчивости источника тока на основе операционного усилителя. Напри- мер, в источнике тока с плавающей на- грузкой максимальное падение напряже- ния на нагрузке при «нормальном» на- правлении тока (направление тока совпа- дает с направлением приложенного на- пряжения) составляет Екк-Евх, а при обратном направлении тока (нагрузка в таком случае может быть довольно стран- ной, например, она может содержать пе- реполюсованные батареи для получения прямого тока заряда или может быть индуктивной и работать с токами, ме- няющими направление) - Евх - U ээ. 2. Обратная связь должна быть отрица- тельной. Это означает (помимо всего прочего), что нельзя путать инвертирую- щий и неинвертирующий входы. 3. В схеме операционного усилителя обя- зательно должна быть предусмотрена цепь обратной связи по постоянному току, в противном случае операционный усилитель обязательно попадет в режим насыщения. Например, в неинвертирующем усили- теле можно было цепь обратной связи заземлить через конденсатор (для того чтобы сделать коэффициент усиления по постоянному току равным единице, рис. 4.7), но просто подключить его последо- вательно между инвертирующим и неин- вертирующим входами не могли. 4. Многие операционные усилители име- ют довольно малое предельно допусти- мое дифференциальное входное напряже- ние. Максимальная разность напряжений между инвертирующим и неинвертирую- шим входами может быть ограничена ве- личиной 5 В для любой полярности на- пряжения. Если пренебречь этим усло- вием, то возникнут большие входные токи, которые приведут к ухудшению ха- рактеристик или даже к разрушению опе- рационного усилителя. Мы продолжим этот список в разд. 4.11 и в разд. 7.06. когда будем рассматривать построение прецизионных схем.
190 Глава 4 Обратная связь и операционные усилители 191 КАЛЕЙДОСКОП СХЕМ НА ОПЕРАЦИОННЫХ УСИЛИТЕЛЯХ Мы предоставляем читателю право само- стоятельно проанализировать работу приведенных ниже схем 4.09. Линейные схемы Схема с инвертированием по выбору. Схе- мы, представленные на рис. 4.14, позво- ляют инвертировать входной сигнал или пропускать его без инвертирования в за- висимости от положения переключателя Положение переключателя определяет также коэффициент усиления по напря- жению-он может быть равен или +1, или — 1. Упражнение 4.5. Покажите, что схемы, представ- ленные на рис. 4.14, работают так, как сказано выше. Повторитель со следящей связью. В транзисторных усилителях на величину входного импеданса могут влиять цепи смешения; такая же проблема возникает при использовании ОУ, особенно с меж каскадными связями по переменному то- ку. когда ко входу обязательно должен быть подключен заземленный резистор. Схема со следящей связью, представлен- ная на рис. 4.15. позволяет решить эту проблему. Как и в транзисторной схеме со следящей связью (разд. 2.17), конденсатор емкостью 0,1 мкФ вместе с верхним ре- зистором с сопротивлением 1 МОм обра- зует для входных сигналов высокоомную входную цепь. Низкочастотный спад уси- ления для этой схемы начинается на ча- Рис. 4.14. стоте 10 Гц, на более низких частотах и I спаде усиления начинает сказывать^ влияние обоих конденсаторов и ослабла ние оценивается величиной 12 дБ октава I Замечание: у вас может появиться иску шение уменьшить величину входного к01] денсатора связи, так как его нагру3ка привязана к высокому импедансу. Одца. ко, это может привести к появлению пика в частотной характеристике, как в харак. теристике схемы активного фильтра (су разд. 5.06). Идеальный преобразователь тока в на. пряжение. Напомним, что простейший преобразователь тока в напряжение-это всего-навсего резистор. Однако у него есть недостаток, который состоит в том, что для источника входного сигнала вход- ное сопротивление такого преобразовате- ля не равно нулю; этот недостаток может оказаться очень серьезным, если устрой- ство. обеспечивающее входной ток, имеет очень малый выходной рабочий диапазов или не может обеспечить постоянство то- ка при изменении выходного напряжения. Примером может служить диодный фото- элемент (фотодиод), или солнечная бата- рея Небольшой светочувствительностью обладают даже обычные диоды в про-' зрачных корпусах, которые используются почти в любой схеме (известно немало историй о загадочном поведении схем, которое в конце концов было объяснено этим эффектом). На рис. 4.16 представле- на хорошая схема для преобразования тока в напряжение, в которой потенциал входа поддерживается строго равным по- тенциалу земли. Инвертирующий вход имеет квазинуль потенциала; это очень хорошо, так как фотодиод может созда- вать потенциал, равный всего нескольким десятым долям вольта. Представленная схема обеспечивает преобразование тока в напряжение в отношении 1 В на 1 мкА входного тока. (В ОУ с биполярными плоскостными транзисторами на входах иногда между неинвертирующим входом и землей включают резистор: его функции МЬ1 определим, когда будем обсуждать недостатки операционных усилителей). Безусловно, этот преобразователь тока в напряжение можно с таким же успехом использовать с элементами через кото- рые протекает ток при наличии положи- ельного напряжения возбуждения, на- пример UKK. В такую схему часто вклю- ЧаК)т фотоумножители и фототранзисто- Ры оба элемента под воздействием света ачинают потреблять ток от положитель- ного источника питания (рис. 4.17). Упражнение 4.4. Используя ОУ типа 411 и измери- I ный прибор на 1 мА (полный размах шкалы), разработайте схему «идеального» измерителя тока (т. е. с нулевым входным импедансом) с полным размахом шкалы, рассчитанным на 5 мА Разрабо- тайте схему так, чтобы входной сигнал никогда не превышал ±150% полного размаха шкалы. Предпо- ложите. что диапазон выходного сигнала для ОУ типа 411 составляет ±13 В (источники питания ±15 В), а внутреннее сопротивление измерительного прибора равно 500 Ом. Дифференциальный усилитель. На рис. 4 18 представлена схема дифференциаль- ного усилителя, коэффициент усиления которого равен R2/R\- В этой схеме, как и в схеме источника тока с согласован- ными резисторами, для получения высо- кого значения КОСС необходимо обеспе- чить точное сот тасование резисторов Для этого лучше всего при первом удобном случае создать запас резисторов с сопро- тивлением 100 кОм и точностью 0.01%. Коэффициент усиления дифференциаль- ного усилителя будет равен единице, но этот недостаток легко устранить за счет последуюших усилительных каскадов (с несимметричным входом). Более подроб- но дифференциальные усилители рас- смотрены в гл. 7.
192 Глава 4 Обратная связь и операционные усилители 193 10 кОм Рис. 4 19. Суммирующий усилитель. Схема, пока- занная на рис 4 19, представляет собой один из вариантов инвертирующего уси- лителя. Точка X имеет потенциальный нуль, поэтому входной ток равен Ul/R + + U2/R + U3/R, отсюда Гвых = ~(Ul + + U 2 + 1/3). Обратите внимание, что входные сигналы могут быть как положи- тельными, так и отрицательными. Кроме того, входные резисторы не обязательно должны быть одинаковыми, если они неодинаковы, то получим взвешенную сумму Например, схема может иметь 4 входа на каждом из которых напряжение равно + 1 В или 0 В; входы представляют двоичные значения: 1, 2, 4 и 8. Если использовать резисторы с сопротивле- нием 10, 5, 2,5 и 1,25 кОм, то снимаемое с выхода напряжение (в вольтах) будет пропорционально двоичному числу, ко- торое задано на входе. Эту схему нетруд- но расширить до нескольких цифр. Опи- санный метод представления чисел лежит в основе цифро-аналогового преобразо- вания, правда, на входе преобразователя обычно используют другую схему (резис- тивную сетку R-2R). Упражнение 4.5. Постройте схему цифро-аналого- вого преобразователя на две десятичные цифиы. по- добрав соответствующим образом входные резисто- ры для суммирующего усилителя. Цифровой вход должен представлять собой две цифры; каждый вход должен состоять из четырех шин, соответствующих значениям 1, 2, 4 и 8, из которых формируется десятичная цифра. Потенциал входной шины может быть равен потенциалу земли или +1 В, т. е. восемь входных шин соответствуют числам 1, 2, 4. 8. 10. 20, 40 и 80 В связи с тем что диапазон выходного сигнала ограничен значениями zrl3 В, нужно сделать так. чтобы выходное напряжение (в вольтах) состав- ляло одну десятую часть числа на входе. Предусилитель для электромагнитного звукоснимателя. Предусилитель для зву- коснимателя по стандарту RIAA представ ' тяег собой пример усилителя с частотно^ | характеристикой особого вида. При запц си звука на пластинку амплитудная ха рактеристика имеет почти плоский вц с другой стороны, электромагнитный зву' косниматель реагирует на скорость двц, жения иглы в бороздке диска, следова- ( тельно, усилитель воспроизведения дОл. жен иметь подъем частотной характеру, стики на низких частотах Такую характе- ристику обеспечивает схема, показанная на рис. 4.20. График представляет собой частотную характеристику усилителя вое- произведения (построенную относительно значения коэффициента усиления 0 дБ при частоте 1 кГц), точки перегиба графика отмечены в единицах времени. Заземлен- ный конденсатор емкостью 47 мкФ уменьшает коэффициент усиления по по-1 Рис. 4.20. Операционный усилитель в схеме предУсС лителя звуковых частот для электрофонов с электро магнитной головкой и коррекцией частотной харакге" ристики по стандарту RIAA стоянному току до единицы, в противном случае он был бы равен 1000‘ как упоми- налось выше, это делается для того, что- бы устранить усиление входного сдвига по постоянному току Использованная в примере интегральная схема типа LM833 представляет собой сдвоенный ОУ, пред- назначенный для использования в звуко- вом диапазоне («золотой» для данного примера является схема типа LM1028, которая в 13 дБ раз тише ив 10 дБ раз дороже чем схема типа 833!). Усилитель мощности (бустер). Для полу-» чения больших выходных токов к выходу ОУ можно подключить мощный транзис- торный повторитель (рис. 4 21) В приме- ре использован неинвертирующий усили- тель, но повторитель можно подключать к любому операционному усилителю. Обратите внимание, что сигнал обратной связи снимается с эмиттера; следователь- но, обратная связь определяет нужное выходное напряжение независимо от па- дения напряжения ивэ. При использова- нии этой схемы возникает обычная проб- лема. связанная с тем, что повторитель может только отдавать ток (для п-р-п- транзистора). Как и в случае транзистор- ного повторителя, проблема решается применением двухтактного варианта схе- мы (рис. 4.22). В дальнейшем мы пока- жем, что ограниченная скорость, с кото- рой может изменяться напряжение на вы- ходе (скорость нарастания), накладывает серьезные ограничения на быстродействие усилителя в переходной области и вызы- вает переходные искажения. Если усили- тель будет использоваться в системе с малым быстродействием, то смешать двухтактную пару в состоянии покоя не нужно, так как переходные искажения бу- дут в основном устранены за счет обрат- ной связи. Промышленность выпускает несколько типов интегральных схем уси- лителей мощности для операционных усилителей, например LT1010, ОРА633 и 3553. Эти двухтактные усилители с еди- ничным коэффициентом усиления рабо- тают на частотах до 100 МГц и выше, их выходной ток равен 200 мА. Их смело можно охватывать петлей обратной связи (см. табл. 7.4) Источник питания. Операционный уси- литель может работать как усилитель в стабилизаторе напряжения с обратной связью (рис. 4.23). Операционный усили- тель сравнивает выходное напряжение с эталонным напряжением стабилитрона и соответственно управляет составным транзистором Дарлингтона, выполняю- щим функции «проходного транзистора» Эта схема обеспечивает стабилизирован- ное напряжение 10 В при протекании че- рез нагрузку тока до 1 А Некоторые за- мечания по этой схеме: 1 Делитель, с которого снимается выход- ное напряжение, может быть выполнен в виде потенциометра тогда выходное напряжение можно будет регулировать. 2 Для ослабления пульсаций на зенеров- ском диоде (стабилитроне) резистор с со- противлением 10 кОм полезно заменить источником тока. Другой вариант состоит в том, чтобы смещение зенеровского дио- да задавать от выходного сигнала; в этом
194 Глава 4 Обратная связь и операционные усилители 195 случае вы с пользой применяете стабили- затор, который построили. Замечание: если вы захотите воспользоваться этим трюком, то внимательно проанализируй- те вашу схему и убедитесь в том, что она запускается, когда на нее подается пи- тание. 3. Схема, подобная рассмотренной, может быть повреждена при возникновении ко- роткого замыкания на выходе. Это связа- но с тем, что при этом ОУ стремится обеспечить протекание через составной транзистор очень большого тока. В ста- билизированном источнике питания всег- да следует предусматривать схему для ограничения «аварийного» тока (более подробно вы познакомитесь с этим во- просом в разд. 6.05). 4. Промышленность выпускает разно- образные стабилизаторы напряжения в интегральном исполнении, начиная от ос- вященных временем интегральных схем типа 723 до недавно появившихся 3-вы- водных регулируемых стабилизаторов с внутренними средствами ограничения то- ка и ограничения по перегреву (см. табл. 6.8-6.10). Эти устройства, в которых имеются встроенные температурно-ком- пенсированный источник эталонного на- пряжения и проходной транзистор, Так удобны в работе, что операционные yCJ1. лители общего назначения теперь почти никогда не используются в стабилизато- рах напряжения. Исключением являются случаи, когда стабильное напряжение нужно сформировать внутри схемы. уЖе имеющей стабилизированный источник напряжения. В гл. 6 мы подробно поговорим о ста- билизаторах напряжения и источниках питания и рассмотрим специальные ин- тегральные схемы, предназначенные для использования в качестве стабилизаторов напряжения. 4.10. Нелинейные схемы Усилитель с переключением мощности. В тех случаях когда нагрузка может пере- ключаться, т. е. может быть либо под- ключена, либо отключена, к операцион- ному усилителю можно подключить транзистор, который работает как пере- ключатель. На рис. 4.24 показано, как это можно сделать. Обратите внимание на диод, который предотвращает пробой пе- рехода база-эмиттер (на выходе ОУ легко может возникнуть отрицательное напряжение, превышающее —5 В). Для ; некритичных условий подойдет мощный транзистор типа 2N3055. Если ток в схеме может превышать 1 А, то рекомендуем использовать составной транзистор Дар- лингтона (или мошный полевой МОП- транзистор). Активный выпрямитель. Если амплиту- да сигналов меньше, чем падение напря- Рис 4.24. Ряс 4.25. Простейший активный выпрямитель. жения на диоде, то выпрямлять такие сигналы с помощью диодно-резистивной схемы нельзя. Как правило, для выпрямле- ния таких сигналов прибегают к помощи ОУ и помещают диод в цепь обратной связи (рис. 4.25). Для положительного на- пряжения UBX диод обеспечивает отрица- тельную обратную связь; выходной сиг- нал за счет диода повторяет сигнал на входе, причем исключается влияние паде- ния напряжения С БЭ. При отрицательном напряжении UBX операционный усилитель переходит в режим насыщения и напряже- ние С'вых становится равным потенциалу земли. Для получения меньшего выход- ного импеданса (при нуле на выходе) можно взять резистор R с меньшим со- противлением, но это ведет к увеличению выходного тока операционного усилите- ля. Лучше всего использовать на выходе схемы повторитель на ОУ так, как пока- зано на рис. 4.25, при этом выходное сопротивление будет очень небольшим не- зависимо от величины сопротивления R. Представленная схема обладает недо- статком. который сказывается при рабо- те с быстро изменяющимися сигналами Выходной сигнал операционного усили- теля не может изменяться бесконечно °ыстро в связи с этим восстановление при переходе из режима насыщения в активный режим (выходной сигнал про- ходит через нуль в направлении снизу BBeDx) занимает некоторое время, в тече- ние которого состояние выхода является неправильным Это явление иллюстри- руется графиком на рис 4.26. Выходной СиРнал (жирная линия) в точности пред- ставляеч собой выпрямленный входной икнг- Падение напряжения на диоде Рис. 4.26. Проявление эффекта конечной скорости на- растания в простейшем активном выпрямителе. сигнал (тонкая линия), за исключением короткого интервала времени после уве- личения входного напряжения относи- тельно 0 В. На этом интервале опера- ционный усилитель стремительно выхо- дит из режима насыщения, при котором напряжение на его выходе было равно — иээ, поэтому напряжение на выходе схемы равно потенциалу земли. Для опе- рационного усилителя общего назначения типа 411 скорость нарастания (макси- мальная скорость изменения выходного сигнала) составляет 15 В/мкс; следова- тельно. восстановление при переходе из режима насыщения в активный режим занимает приблизительно 1 мкс-это мо- жет привести к серьезным выходным ошибкам для быстрых сигналов. Положе- ние дел можно исправить, если восполь- зоваться модификацией рассмотренной схемы (рис. 4.27). Благодаря диоду Дх с отрицательными входными сигналами схема работает как инвертор с единичным коэффициентом усиления. Для положительных входных сигналов диод Д2 ограничивает выходное напряжение первого ОУ по уровню, кото- Рис. 4.27. Улучшенный активный выпрямитель.
196 Глава 4 Обратная связь и операционные усилители 197 рый ниже потенциала земли на величину падения напряжения на диоде, и так как диод Дх смешен в обратном направлении, то ^вых равно потенциалу земли. Эта схема дает лучший результат, так как при переходе входного сигнала через нуль напряжение на выходе изменяется всего лишь на удвоенную величину падения напряжения на диоде. В связи с тем что напряжение на выходе операционного усилителя должно измениться только на 1,2 В, вместо того чтобы изменяться на величину иээ, динамическая ошибка при переходе через нуль уменьшается более чем в 10 раз. Кстати говоря, этот выпря- митель является инвертирующим Если же выходной сигнал должен быть неин- вертированным, то к выходу нужно под- ключить инвертор с единичным коэффи- циентом усиления. Характеристики приведенных здесь схем будут лучше, если использовать в них операционные усилители с большой Рис. 4.28. Принципиальная схема наиболее широко используемого ОУ типа 741 (фирма Fairchild Camera and Instrument Corp.). скоростью нарастания. Скорость нара стания влияет на характеристики простуд усилителей напряжения. Здесь стоит оста, новиться и рассмотреть, чем реальные О отличаются от идеальных, так как это различие влияет, как мы уже упоминали выше, на разработку схем с операцией, ными усилителями. Если вы будете знать в чем состоят недостатки операционных усилителей и как они влияют на разра- ботку схем и на их характеристики, то это поможет вам правильно выбирать ОУ и эффективно разрабатывать схемы на их основе ПОДРОБНЫЙ АНАЛИЗ РАБОТЫ ОПЕРАЦИОННЫХ УСИЛИТЕЛЕЙ На рис 4.28 показана схема широко рас- пространенного интегрального ОУ типа 411. Эта схема относительно незамысло- вата, если рассматривать ее с точки зре- ния транзисторных схем, которым была посвяшена предыдущая глава. Она имеет „фференциальныи входной каскад с на- грузкой в виде токового зеркала, далее подключен каскад с общим эмиттером на транзисторах д-р-«-типа (который так- же имеет активную нагрузку), обеспечи- вающий большую часть усиления по на- пряжению К эмиттерному повторителю и-^-типа подключен выходной каскад, представляющий собой двухтактный эмиттерный повторитель, в состав кото- рого входит схема ограничения тока. Эта хема является типичной для многих ОУ, выпускаемых промышленностью в на- стоящее время. Для многих задач харак- теристики таких ОУ близки к идеальным А сейчас мы рассмотрим, в какой степени реальные ОУ отличаются от идеальных, как это учесть при разработке схем и что делать с этими отличиями. 4.11. Отличие характеристик идеального ОУ от реального Идеальный операционный усилитель име- ет следующие характеристики: 1. Входной импеданс (и для дифферен- циального, и для синфазного сигнала) ра- вен бесконечности, а входные токи-нулю 2. Выходной импеданс (при разомкнутой ОС) равен нулю. 3 Коэффициент усиления по напряжению равен бесконечности. 4 Коэффициент усиления синфазного сиг- нала равен нулю. 5 . Выходное напряжение равно нулю, когда напряжение на обоих входах одина- ково (напряжение сдвига равно нулю). 6 Выходное напряжение может изменя- ться мгновенно (бесконечная скорость на- растания). Перечисленные характеристики не зави- сят от температуры и изменении напря- жения питания Отличие характеристик реальных опе- рационных усилителей от идеальных со- оит в следующем (типичные значения Приведены в табл. 4.1). Входной ток. Небольшой ток. называе- входным током смещения. /см, вте- кает во входные зажимы (или вытекает, в зависимости от типа ОУ). Этот ток равен половине суммы входных токов, измеренных при соединении входов меж- ду собой (два входных тока примерно равны между собой и представляют собой просто базовые токи входных транзисто- ров). Для операционного усилителя типа 411, на входе которого используются по- левые транзисторы с р- «-переходом, ти- пичным значением тока смещения являет- ся 50 пА при комнатной температуре (и 2 нА при температуре 70°С). В то же время для операционного усилителя со входами на биполярных транзисторах, например типа ОР-27, типичным значе- нием тока смещения является 15 нА с небольшими колебаниями в зависимости от температуры. По грубой оценке для операционных усилителей со входами на биполярных транзисторах тока смещения оцениваются десятыми долями наноам- пер, а для операционных усилителей со входами на полевых транзисторах-деся- тыми долями пикоампер (т.е. в 1000 раз меньше). В обшем, если вы имеете дело с операционным усилителем на полевых транзисторах, можете пренебречь вход- ным током; с операционным усилителем на биполярных транзисторах так посту- пать нельзя Роль входного тока смещения состоит в том, что он создает падение напряжения на резисторах цепей обратной связи и смешения, а также на сопротивлении ис- точника сигнала От того, насколько ма- лы сопротивления этих резисторов, зави- сит влияние этого тока на параметры вашей схемы по постоянному току и от- клонения выходного напряжения Харак- тер этой зависимости скоро станет вам ясен Промышленность выпускает опера- ционные усилители с входными токами смешения порядка наноампер и ниже для схем со входами на биполярных транзис- торах и порядка нескольких пикоампер (10~6 мкА) для схем со входами на поле- вых транзисторах Самые малые токи смешения имеют схема с транзисторами Дарлингтона со сверхбольшим значением коэффициента [3 типа LM11 (максималь- ный входной ток равен 50 пА), схема типа AD549 (входной ток равен 0,06 пА) и схе-
Таи лица 4.1. усилители Тип О Коли- чество ОУ 0? § Предельное напряжение р Напряжение Ток в одном X питания, В В-И Сдвиг, мВ Дрейф, мкВ/С — о корпусе 6) с >Х - 5Е Смеще- Сдвиг ние. нА ад ’ о ей о X мин. макс. S X S п. CQ О о <* « s - 1 2 4 X н к X 3 S X X X X о V0 О тип. макс. тип. макс. макс. макс. X е <D Си X 22 2 X О Я с 3 Биполярные, прецизионные ОР-07А РМ + * V — 4с — 1 6 44 4 0,01 0,025 0,2 0,6 2 2 ОР-07Е РМ + * V — ♦ — 1 6 44 4 0,03 0,08 0,3 1,3 4 3,8 ОР-21А РМ * V V 4с — 1 5 36 0,3 0,04 0,1 0,5 1 100 4 ОР-27Е РМ + * V V 4с — 1 8 44 5 0,01 0.025 0.2 0.6 40 35 OP-27G РМ + * V V 4с — 1 8 44 6 0,03 0,1 0,4 1,8 80 75 ОР-37Е РМ + * V — 4с — 5 8 44 5 0,01 0,025 0,2 0,6 40 35 ОР-50Е РМ * - - 4с 4с 5 10 36 4 0,01 0,025 0,15 0,3 5 1 ОР-77Е РМ * V V 4с - 1 6 44 2 0.01 0.025 0,1 0,3 2 1,5 ОР-90Е РМ * V V 4с — 1 1,6 36 0,02 0.05 0,15 0,3 2 15 3 ОР-97Е РМ * — — 4с — 1 4.5 40 0,6 0.01 0.025 0.2 0.6 0.1 0,1 МАХ400М МА * — — 4с — 1 6 44 4 0,004 0,01 0,2 о,з 2 2 LM607A NS 4с — — * Ж 1 6 44 1,5 0,015 0,025 0,2 0,3 2 2 AD707C AD 4с V — 4с — 1 6 36 3 0,005 0,015 0,03 0,1 1 1 AD846B AD * - - - 4с 2 10 36 6,5 0,025 0,075 0,8 3,5 250 (л) LT1001A LT 4с V — 4с — 1 6 44 3,3 0,01 0,025 0,2 0.6 4 4 LT1007A LT 4с — — 4с - 1 5 44 4 0,01 0,025 0.2 0.6 35 30 LT1012C LT + 4с V - 4с 4с 1 4 40 0,6 0.01 0,05 ОД 1,5 0,15 0,15 LT1028A LT 4с - - 4с Ж 1 8 44 9,5 0.01 0.04 0,2 0,8 90 50 LT1O37A LT * - - * - 5 5 44 4.5 0.01 0.025 0.2 0,6 35 30 RC4O77A RA 4с - - 4с - 1 6 44 1.7 0,004 0,01 0.1 0,3 2 1,5 НА5134А НА - * - 1 10 40 8 0,05 0.1 0.3 1.2 25 25 НА5135 НА Ж — 4с — 1 8 40 1,7 0,01 0,08 0,4 1,3 4 4 НА5147А НА 4с — — 4с — 10 8 44 4 0,01 0,025 0,2 0,6 40 35 Биполярные, с малым смещением (см также «Биполярные, прецизионные») ОР-08Е РМ * - — — » НК 10 40 0.5 0,0'’ 0,15 0.5 2.5 2 0.2 LM10 NS-1- * - - » - 1 1 45 0.4 0.3 2 2 — 20 0.7 LMI1 NS- » - - ♦ . 1 5 40 0.6 0.1 0,3 1 3 50пА ЮпА ОР-12Е PM-t- ♦ - - - - 1 10 40 0,5 0,07 0.15 0,5 2.5 2 0.2 LM308 NS- . V - - » НК 10 36 0,8 э 7.5 6 30 -
при 1 кГц Ско- рость нарас- /ср КОСС, дБ КОНП, дБ К S к о 5 < о Макс, диффе- ренци- Равен ли размах напря- жению пит.ж) Примечания ТИП.д_ пВ/УГп тания тип.д>, В/мкс ТИП., МГц X о к W -е- 1 *•© дч Г) i О s d й Ьс! S dJlbHOC выход- ное на- пряже- ние, Ве) Вход Выход U_ и+ и_ 9,6 9,6 0,17 0,17 0,6 0,6 110 106 100 94 ПО 106 10 10 30” 30” — — — — 21 0,25 0,6 100 104 120 — 30” — — — — Маломощный 3,0 2,8 8 114 100 120 20 0.5 — — — — С низким уровнем шума 3,2 2,8 8 100 94 117 20 0,5 — — — — Дешевая серия 3,0 17 63 114 100 120 20 0,5 — — — — С низким уровнем шума. 4,5 3 25 126 140 140 70 10” подкорректированный ОР-27 Большой ток, низкий 9,6 0,3 0,6 120 НО 134 12 30” — — — — уровень шума Улучшенный вариант 60 0,01 0,02 100 104 117 6 36 — 4- — т ОР-07 Микромощный 14 0,2 0,9 114 114 110 10 0,5 — — — — Маломощный ОР-77 9,6 0,3 0.6 114 100 114 12 30 — — — — Самое низкое непрерыв- 6,5 0,7 1.8 124 100 134 10 0,5 — — — — ное значение UCM 9,6 0.3 0,9 130 120 138 12 44 — — — — Улучшенный ОР-07; сдво- 2 450 310 110 НО 50 18 — енный = 708 Обратная связь по току; 9,6 0,25 0,8 114 но 113 30 30 — быстродействующий 2,5 2,5 8 117 но 137 20 0,5 — — — — Низкий уровень шума. 14 0,2 0.8 НО но 106 12 1 — — — — ОР-27 Улучшенный вариант 312: 0,9 15 75 114 117 137 20 1 — — — сдвоенный = 1024 Очень низкий уровень 2,5 15 60 117 НО 137 20 0.5 — — — — шума Подкорректированный 9,6 0.25 0.8 120 НО 128 15 30 — — — — вариант 1007, ОР-37 Самое низкое непрерыв- 7 1 4 94 100 108 20 40 — — ное значение L?CM Четыре ОУ в одном кор- 9 3,0 0,8 35 2.5 140 106 114 94 80 120 120 20 15 15” 0.5 - - - пусе, низкий уровень шума С низким уровнем шума. 20 0,12 0.8 104 104 98 5 0.5 быстродействующий. нескорректированный Прецизионный 308 47 0,12 0,1 93 90 102 20 40 — -t- — «Одновольтный ОУ», 150 0,3 0.5 НО 100 100 2 0.5 прецизионный, может работать в цепях опор- ного напряжения Прецизионный самое ма- 20 0,12 0,8 104 104 98 5 0,5 лое смещение среди би- полярных ОУ Прецизионный 312 35 0,15 0.3 80 80 88 5 0.5 — — — — Оригинальный малым смещением (со сверхвы- соким значением коэф- фициента Р)
Тип jS Ь Соли- § § Предельное напряжение питания, В Потребляемый ток, (макс.) мА Напряжение Ток « чесз гво ОУ 3 g и в ' одном Смеще- ние, нА макс. Сдвиг нА ’ макс. О КО] рпусе6) Е ’5 мин. макс. Сдвиг, мВ Дрейф, мкВ/°С о Sox Й 1П ТГ _ о к S 5 тип. макс. 2 4 « s 5с ТИП. макс. £ В X е i- " S S О X 1—4 Q Й / z LM312 NS+ * - _ * ♦ 1 10 40 0,8 2 7,5 6 30 7 ——. 1 LP324 NS - * - - 1 4 32 0,25 2 4 10 - 10 2 Биполярные, с одним 324А NS+ V источником питания V * - - 1 3 32 3 2 3 7 30 100 30 LP324 NS - * - - 1 4 32 0,25 2 4 10 - 10 2 LT1013C LT * V - - 1 4 44 1 0.06 0,3 0.4 2.5 50 2 НА5141А НА * V V - - 1 2 40 0,07 0,5 2 3 - 75 10 Биполярные, с одним LT1006A LT * источником питания, - - * - 1 прецизионные 2,7 44 0,5 0,02 0,05 0,2 1,3 15 0,5 LT1013A LT • V - - 1 4 44 1 0,04 0,15 0.4 2 35 1,3 Биполярные, быстродействующие ОР-62Е РМ ♦ — — ♦ ♦ 1 16 36 7 — 0,2 — — 300 100 ОР-63Е РМ * — — * ♦ 1 16 36 7 — 0,75 — — 300 100 ОР-64Е РМ * — — ♦ ♦ 5 16 36 7 — 0,75 — — 300 100 ОР-65Е РМ * — — ♦ — 1 9 14 25 — 2 — — 3 мкА 1 мкА CLC400 CL * — — ♦ — 1 — 7 15 2 5,5 20 40 25 мкА (л) AD509K AD * — — * * 3 10 40 6 4 8 — 40 200 25 SL541B PL * — - * — 10 — 24 21 — 5 15 — 25 мкА 10 VA705L VT * V V ♦ — 1 8 12 10 1 2 20 900 -к VA706K VT * V V * - 1 8 12 10 4 10 20 - 1 мкА 120 VA707K VT * V V » — 12 8 12 10 3 6 20 - 1 мкА 120 LM837 NS - - » - - 1 8 36 15 0,3 5 2 1 мкА 200 AD840K AD » - - * - 10 10 36 12 0,1 0,3 3 - 5 мкА 200 AD841K AD ♦ - - » - 1 10 36 12 0,5 1 35 20 5 мкА 200
Продолжение табл. 4.1 '— при 1 кГц ТИП- йВлГц Ско- рость нарас- тания тип.д>, В/мкс /ср ТИП., МГц КОСС, дБ конп, дБ Коэффициент усиления, мин., дБ Макс. вых. ток, мА Макс, диффе- ренци- альное выход- ное на- пряже- ние, Ве* Равен ли размах напря- жению пит.ж) гт Примечания Вход и_ Выход и+ и_ -- 35 0,15 0,3 80 96 88 5 0,5 — — Скорректированный ва- 0,05 0,1 80 90<Ф> 94 5 32 — 4- риант 308 — + Маломощный, с одним 0,5 1 65 65 88 20 30 источником питания — -+- Классический ОУ; сдвоен- 0,05 0,1 80 90**’ 94 5 32 — -1- ный вариант 358А — + Маломощный, с малым ?? 0,4 0,8 97 100 122 25 30 — смещением — + Улучшенный вариант 20 1,5 0,4 80 94 94 1 7 - + 358/324; учетверен- ный = 1014 — + Микромощный 22 0.4 1 100 106 120 20 30 — + — + Дополнительно 7„ = 22 0,4 0,6 100 103 124 25 30 — + 90 мкА — + Улучшенный вариант 2,5 15 50 НО 105 111 20 5 358/324; учетверен- ный = 1014 — — Прецизионный 7 50 50 ПО 105 100 20 5 — — — — 7 200 200 НО 105 100 20 5 — — — — — 200 150 85 90 100 50 5 — — — — 12 700 280 40 40 — 50 — _ — — — Преобразователь тока в 19 120 20 80 80 80 15 напряжение подкоррек- тированный = 401 — — Быстродействующий — 175 100 60 46 46 6,5 9 — — — — Быстродействующий, ви- — 35 25 60 60 80 50 9 _ — деоусилитель — — Видеоусилитель, управля- 42 25 60 60 66 50 9 ет нагрузкой 50 Ом; с быстрым установлени- ем — — Видеоусилитель, управля- 4,5 105 10 300 25 60 80 60 120 74 90 50 40 9 30 - - ет нагрузкой 500 Ом: с быстрым установлени- ем - Подкорректированный, быстродействующий, 50 Ом — — С низким уровнем шума. 4 400 400 100 04 104 50 6 с небольшим искажени- ем — — Подкорректированный 13 300 40 90 90 88 50 6 ОУ типа 841; для 842 коэфф, усиления > 2 — — С быстрым у станов лени- ем, имеются подкор- ректированные версии схемы
Тип Фирма-изготови гель Коли- чество ОУ в одном корпусе6* Per улировка Внешняя компенсаци Минимальный коэфф усиленияг) Предельное напряжение питания, В Потребляемый гок, (макс.) мА Напряжение Ток Сдвиг, мВ Дрейф, мкВ/°С Смеще- ние, нА Сдвиг нА ’ мин. макс. 1 2 4 ТИП. макс. тип. макс. макс. макс. AD847J AD ♦ — - * - 1 9 36 5,6 0.5 1 15 - 7 мкА 50<* AD848J AD ♦ — - * - 5 9 36 5,6 0,5 1 2 10 5 мкА 15<*> AD849J AD ♦ — - ♦ - 25 9 36 5,6 0,5 1 1 10 5 мкА 15‘* НА2539 НА * — - - - 10 10 35 25 8 15 20 - 20 мкА 6 мкА SL2541B PL ♦ — - * - 1 14 30 7д(Ф) 10 - 20 - 20 мкА - HA254I НА ♦ — - ♦ - 1 10 35 45 - 2 20 - 35 мкА 7 мкА НА2542 НА * — — * * 2 10 35 40 10 20 35 мкА 7 мкА НА2544 НА ♦ — — * * 1 10 33 10 6 15 10 - 15 мкА 2 мкА СА3450 RC — * ♦ 1 10 14 35 8 15 350 150 НА5101 НА * V V ♦ — 1 4 40 6 0,5 3 3 — 200 75 НА5111 НА * V V * * 10 4 40 6 0,5 3 3 - 200 75 НА5147А НА ♦ — - * - 10 8 44 4 0,01 0,025 0,2 0,6 40 35 НА5195 НА ♦ — - - - 5 20 35 25 3 6 20 — 15 мкА 4 мкА LM6361 NS ♦ — - * - 1 5 36 6,5 5 20 10 - 5 мкА 2 мкА LM6364 NS ♦ — - * - 5 5 36 6,5 2 9 6 — 5 мкА 2 мкА LM6365 NS ♦ — — * - 25 5 36 6,8 1 6 3 — 5 мкА 2 мкА Биполярные, прочие ОР-20В РМ * V V * — 1 4 36 0,08 0.06 0,25 0,75 1,5 25 1,5 LM833 NS — * - - 10 36 8 0.3 5 2 — 1 мкА 200 САЗ 193 А RC ♦ — * — - 36 3,5 0,14 0.2 3 20 5 XR4560 XR — ♦ — — 8 36 2 0.5 6 — — 500 200 НА5151 НА ♦ V V 1 п 40 0,25 2 3 3 150 30 NE5534 SN- * V — * * 3 6 44 8 0.5 4 — — 2 мкА 300 МС33078 МО — * V — 10 36 5 0,15 2 2 — 750 150 МС33171 МО ♦ V V * — 1 3 44 0,25 2 4,5 10 100 20 МС34071А МО * V V ж - 1 3 44 2,5 0.5 1.5 10 — 500 50
Продолжение табл. 4.1 при 1 кГп тип hB<v Ско- рость нарас- тания тип.я>, В/мкс /_ КОСС, конп, тип., дБ дБ МГн < Макс. 2 диффе- g ренци- н альное * выход- 3 ное на- пряже- й ние, Ве> Равен ли размах напря- жению пит.ж| Примечания Вход Выход с. с_ с+ и_ 15“’ 300 50 78 75 82 25 6 — — — — Подкорректированный вариант 847 4“ 300 250 104<ф) Ю4<*> 90 25 6 — — — — Нескорректированный ва- риант 847 4<«) 300 725 110**» ioo<*> 80 10 6 — — — — С низким уровнем шума, подобен ОУ типа 2540 6 600 600 60 85 45 10 10 — — — — Имеет буфер с единичным усилением - 900 800 47 40’*' 80 10 6 — — — — С быстрым установлени- ем, с небольшим иска- жением 10 280 40 70 60 80 100 6 — — — — С быстрым установлени- ем, подкорректирован- ный 10 375 120 70 70 70 35 6 — — — — Видеоусилитель - 150 33 75 70 96 75 5 — — — — Видеоусилитель линейная управляющая схема 420 190 50 60 136(*’ 30 7 — — — - С низким уровнем шума 3,3 10 10 100<*> 80 136<ф> 30 7 — — — — С низким уровнем шума, нескорректированный 3,3 50 100 юо<*> 1001*’ 120 15 0,7 — — — — С низким уровнем шума, прецизионный, нескор- ректированный 3.0 35 140 114 80 80 25 6 — — — — Улучшенный вариант схе- мы EL2195 фирмы Elantec 6 200 150 74 70 52 30 8 — — — — С вертикальной р-п-р- структурой 15 300 50 70 66<*> 30 8 — — — — С вертикальной р-п-р- структурой 8 5 300 160 102'*' 70 75 30 8 — — — — С вертикальной р-п-р- структурой 58 0,05 0,1 96 100 114 0,5 30 — J- — — Небольшая мощность, точное значение 45 15 80 80 90 10 30 — — - — Низкий уровень шума, не- большое искажение 24 0,25 НО 100 ПО 7 S _ — _ _ 8 4 10 70 76 86 100 30 — — — — Предназначен для ис- пользования в качестве усилителя звуковых частот 15 4,5 1,3 80 80 94 3 •7 — — - Небольшая мощность 4 6 10 70 80 88 20 0,5 - — — — Низкий уровень шума, предназначен для ис- пользования в качестве усилителя звуковых частот 4.5 32 1,3 2,1 16 1,8 80 80 80 80 90 94 20 4 36 44 - — - — Низкий уровень шума, не- большое искажение — -4- — 32 10 4.5 80 80 94 25 44 — ч- — — Управляет конденсато- ром 0.01 мкФ
Тип Фирма-изготовительа) Коли- чество ОУ в одном Q £ Предельное напряжение питания, В Потребляемый юк, (макс.) мА Напряжение Ток Внешняя комиен Минимальный ю усиления’1 Сдвиг, мВ Дрейф, мкВ/°С Смеще- ние, нА Сдвцг ДА —— макс. корпусе6’ Регулировка МИН. макс. 1 2 4 ТИП. макс. тип. макс. макс. *—-- Биполярные, выходящие из употребления ОР-01Е РМ * — — * - 1 10 44 3 1 2 3 10 50 5 ОР-02Е РМ * V - * - 1 10 44 2 0,3 0,5 2 8 30 2 ОР-05Е РМ + * V - * — 1 6 44 4 0,2 0,5 0.7 2 4 38 ОР-11Е РМ — — * — — 1 10 44 6 0,3 0,5 2 10 300 20 307 NS + * — — - - 1 10 44 2,5 2 7,5 6 30 250 50 LM318 NS + * — — * ж 1 10 40 10 4 10 - - 500 200 349 NS — — * - - 5 10 36 4.5 1 6 - - 200 50 AD517L AD * — — * — 1 10 36 3 0.025 0,5 1 0.25 AD518J AD * ж 1 10 40 10 4 10 10 — 500 200 NE530 SN * V - * — 1 10 36 3 2 5 6 — 150 40 NE531 SN ♦ ж НК 12 44 10 э 6 2 мкА 200 NE538 SN * м * 5 10 36 2,8 2 5 6 - 150 40 цА725 FA + * — — * ж НК 6 44 3 0,5 1 2 5 100 20 рА739 FA — ж НК 8 36 14 1 6 2 мкА 10 мкА 741С FA + * V V ж — 1 10 36 2,8 2 6 — — 500 200 748С FA + * — — * ж НК 10 36 з,з 2 6 — — 500 200 цА749 FA — * — — ж НК 8 36 10 1 3 3 750 400 1435 ТР * — — ж ж 10 24 32 30 2 5 5 25 20 мкА - 1456 МО * — ж 10 36 3 5 10 30 10 НА2505 НА * — — ж ж 20 40 6 4 8 20 250 50 НА2515 НА * — — ж ж 1 20 40 6 5 10 30 э50 50 НА2525 НА * — — ж ж 3 20 40 6 5 10 30 250 50 НА2605 НА * — ж ж 1 10 45 4 3 5 10 25 25 НА2625 НА ж — — ж ж 5 10 45 4 3 5 10 25 САЗ 100 RC * — — ж ж 10 13 36 11 1 5 — п мкА 400 4558 R А + — * — — - 1 8 36 5,6 2 6 - - 500 200 NE5535 SN V « - ж — 10 36 2,8 -V 5 6 150 40 5539 SI + * — — ж 6 24 15 15 - 5 10 20 мкА -
Продолжение табл. 4 1 и; X Ско- < Макс. Равен ли оость | 2 диффе- размах напря- и^г. напас- £„ КОСС, КОНП, >> « ренци- жению пит.ж> „ 1 кГц нараи jcp „к н, £ Примечания тяп тания тип., дБ дБ g н альное к 11111 fT тип.д>, МГц g * выход- Вход Выход нВ,<1ц В/мкс gtfi § ное на- U^U.U^U. -G-п . пряже- эф н., КС § р W ох 5 1Z 2 S - 18 2,5 80 80 94 6 30 — — — — Быстродействующий, прецизионный 21 0,5 1,3 90 90 100 6 30 — — — — Прецизионный, неболь- шой ток 9,6 0,17 1 0,67 ПО 94 106 10 30(J) _ — _ _ 12 2 110 90 100 6 30 — — — — Презиционный, счетве- ренный 16 0,5 1 70 70 84 10 30 + — — — Классический ОУ, нескор- ректированный вариант ОУ типа 301 14 70 15 70 65 86 10 0,5 — — — — В свое время был популя- рен 60 2 4 70 77 88 15 36 — — — — Подкорректированный вариант ОУ типа 348 (счетверенный ОУ типа 741) 20 0,1 0,25 110 96 120 10 30 — — — — 70 12 70 65 88 15 — — — — — 30 35 3 70 76 94 10 30 + — — — Быстродействующий; сдвоенный вариант ОУ типа 5530 — 35 1 70 76 86 — 15 — — — 18 60 5 70 76 94 10 30 + — — — Быстродействующий, сдвоенный вариант ОУ типа 5538 - 0,005 0,08 НО 100 108 15 5 — — — — Оригинальный прецизи- онный ОУ — 1 6 70 85,ф) 76 1,5 5 — — — + Подобен ОУ типа 739 0,5 1,2 70 76 86 20 30 — — — — Старая классическая схе- ма ОУ; сдвоенный ва- риант ОУ типа 1458. счетверенный вариант ОУ типа 348 — 0,5 1.2 70 76 94 15 30 — — — — Нескорректированный ва- риант ОУ типа 741 — 2 6 70 74 86 1,5 5 — — — + Подобен ОУ типа 739 — 300 1 ГГц 80 75<ф> 80 10 2 — — — — С быстрым установлени- ем 45 2,5 1 70 74 97 5 40 _ _ _ _ — 30 12 7Д 74 84 10 15 — — — — — 60 12 Т4 74 78 10 15 — — — — — 120 20 74 74 78 10 15 — 7 12 74 74 98 10 12 — — _ — — 35 100 74 74 98 10 12 — — — — — 25 30 76 60 58 15 12 — — — — 43 1 2,5 70 74 86 15 30 — — — — Быстродействующий ва- риант ОУ типа 1458 17 15 1 70 76 94 10 30 — - — — Быстродействующий ОУ 4 600 1200 70 66 46 40 10 — — — -г- Небольшой выходной размах
Продолжение табл. 4.1 Тип £ Коли- § 5Г Предельное . Напряжение Ток X Ско- g < Макс. Равен ли «> честно ОУ go напряжение с _ . рость § s диффе- размах напря- § в одном = « питания, В —-— о корпусе6’ = Сдвиг, мВ Дрейф, Смеще- Сдви nPJ? напас- Ег, КОСС, КОНП, >• * рении- жению пит.ж> „ 1 кГд нарас jCp „е н ° а Примечания п тания тип., дБ дБ с t- альное о g о х мин. макс. 2 мкВ/ С ние, нА нд ' тип.д>, МГц g * выход- Вход Выход =? । -> ч § « a s x s =в ~ * х 5 s х ю — —- нВ/<1ц В/мкс guj 3 ное на- U+U_U+U_ 4 пряже- S ч д х О о. 6 ТИП. макс. тип. макс. макс. макс -Э-я' £ ние, Ве) s k а х s б * о X е £ » s £ -3 s S Полевые с р- «-переходом, прецизионные —-—•—" ОР-414Е РМ * - - * - 1 Ю 36 1 0,2 0,25 2,5 5 0,005 0,001 р 1,3 0,5 100 92 120 15 20 + — — — Небольшое смещение, не- большое искажение. медленнее, чем ОР-43 ОР-43Е рм * - - * - 1 Ю 36 1 0,2 0,25 2,5 5 0,005 0001 32 6 2,4 100 92 120 15 20 + — — — Небольшое смещение, не- большое искажение ОР-41 стабильней ОРА101В ВВ * _ _ * _ i ю до 8 0,05 0,25 3 5 0,01 4 пА g 7 20 80 86 96 45 20 — — — — Низкий уровень шума, подкорректированный вариант ОУ типа ОРАЮ2 ОРА111В ВВ * V - * - 1 10 36 3,5 0,05 0.25 0,5 1 1 nA 07nAW) 7 2 2 100 100 120 10 36 _ _ _ _ Низкий уровень шума, не- большое смещение AD547L AD ♦ V - * - 1 5 36 1,5 - 0,25 - 1 0025 2 пА(*> 1 30 3 1 80 80 108 20 20 _ _ _ _ Сдвоенный вариант ОУ типа AD642. 647 AD548C AD ♦ V — * — 1 9 36 0,2 0,1 0,25 -.5 2 001 005 30 1,8 1 86 86 НО 20 20 _ _ _ _ Улучшенный вариант ОУ типа LF411, сдвоенный вариант ОУ типа AD648 ОРА627В ВВ * - - * - 1 9 зб 8 0,04 0,1 0,5 0,8 0,02 0 0? 5,2 55 16 106 106 ПО 30 — _ _ _ _ Быстродействующий AD711C AD * V V * - 1 9 36 2,8 0,1 0.25 2 3 0 025 001 18 20 4 86 86 106 20 20 — — — — Улучшенный вариант схе- мы типа LF411/2 AD845K AD * - - * - 1 9,5 36 12 0,1 0,25 1,5 5 1 01 25 100 16 94 95 108 30 36 _ _ _ — Быстродействующий LT1055A LT * - - * — 1 10 40 4 0,05 0,15 1,2 4 0 05 001 14 13 5 86 90 104 30 40 - - - - LT1056 на 20% быстрее НА5170 НА * - - * - 1 9 44 2,5 0,1 0,3 2 5 0,1 0,06 10 8 8 90 74 НО 10 30 + - - — Низкий уровень шума Полевые с р-«-переходом, быстродействующие ОР-42Е РМ ♦ - - • - ] 15 40 6,5 0,3 0,75 4 10 02 004 13 58 10 88 86 114 25 40 - - - - Небольшое значение Z„„, ОР-44Е РМ * - - * - 3 16 40 6 0,03 0,75 4 10 0 2 40 пА 13 120 16 88 90 114 15 40 - - - - 357В NS+ * - - * - 5 10 36 7 3 5 5 - 100 пА 0,02 12 50 20 85 85 94 20 30 -г - - - Подкорректированный ОУ типа 356 AD380K AD * — — * * НК 12 40 15 — 1 — Ю 0 1 5 пА 15 330 300 60 60 92 60 20 _ _ _ - Гибридный; быстродей- ствующий, 50 Ом LF401A NS ♦ - - * * 1 15 36 12 - 0.2 - - 02 01 23 30 16 90 80 100 50 32 _ _ _ _ Точный ОРА404В ВВ ю 36 10 0,26 0,75 3 - 0004 4 нА 15 35 6.4 92 86 92 10 36 - - — - Точный, счетверенный LF457B NS * - - * - 5 10 36 10 0,18 0.4 3 4 50 пА 20 пА 10 50 20 86 86 106 100’“’ 40 + — - — Низкий уровень шума. управляет конденсате- ром 0,01 мкФ ОРА602С ВВ « - 10 36 4 0,1 0.25 2 1 пА пА 13 35 6,5 92 86 92 20 36 — — — — Небольшое смешение. быстрое установление ОРА605К ВВ . - - * * 50 10 40 9 0,25 0.5 - 5 0035 пА(ф) 20 94 20 80 ?4 104,ф> 30 20 - — — — Нескорректированный OPA606L ВВ ж - - * ] ю 36 9.5 0.1 0,5 3 5 001 5 пА 13 35 it 85 90 100 10 36 - — - — Улучшенный вариант i, LF356 AD744C AD * V - * * 2 9 36 4 0.1 0.25 2 3 0 05 002 18 75 13 86 92 108 20 36 _ _ _ _ Очень слабое искажение (3 ppm), быстрое уста- новление AD843B AD ♦ - - * - 1 9 36 12 0,5 1 15 - 1 0,1 13 250 35 100 95 88 50 - - - - - С быстрым установлена ем AD845K. AD * - * - 9,5 36 10,2 0,1 0.25 1,5 3 0,4 0,05 25 юо 16 94 98 106 25 20 - — — — С быстрым установлени- ем LT1022A LT • — - * 20 40 " 0.08 0.25 1.3 5 005 0.01 И 26 8.5 86 88 104 10 40 - - - - НА5160 НА * - - - * НК 14 40 10 1 3 20 - 0 05 0 01 35 120 100 74 108 98 22 40 - — — — Небольшое смещение МС34080А МО « V V « - 2 6 44 3,4 0.3 0.5 10 - 0? 01 30 5о 16 75 '75 94 20 44 _ _ _ _ [)„ > U + 4 В. подкор- ректированный 34081 МС34081А МО * V V * - 1 6 44 3,4 0.3 0.5 10 - 0.2 0,1 30 25 8 75 75 94 20 44 - - - - U„>U.+4B
Продолжение табл. 4.1 Тип Фирма-изготовительа) Коли- чество ОУ в одном корпусе б) Внешняя компенсацияв) Минимальный коэфф, усиления " Предельное напряжение питания. В По1рсбляемый юк. (макс.) мА Напряжение —— Ток Сдвиг мВ Дрейф, мкВ,°C Смеще- ние нА — Сдвщ. нА ’ макс. мин. макс. 1 2 4 СО О Д5 ТИП. макс. тип. макс. макс. Полевые с р «-переходом, прочие — TL031C TI ♦ V V * - 1 10 36 0,28 0,5 1,5 6 - 0,2 0,1 TL051C TI * V V * - 1 10 36 3,2 0,6 1.5 8 - 0,2 0,1 TL061C Т1 + * V V * — 1 4 36 0,25 3 15 10 0,4 TL071C Т1 + * V V * — 1 7 36 2,5 3 10 10 - 0,2 0,05 TL081B TI + * V V * — I 7 36 2,8 2 3 10 0,2 0 01 I ОРА121 вв * — — * — 1 10 36 4 0,5 2 3 10 0.05 OPA128L вв * — — * — 1 10 36 1,5 0,14 0.5 S 75 фА 30 фА LF351 NS + * V V * — 1 10 36 3,4 5 10 10 - 02 0,1 355В NS + * - - * - 1 10 36 4 3 5 5 - 100 пА 0.02 356В NS + * - - * - 1 10 36 7 3 5 5 - 100 пА 0,02 LF411 NS + * V — * — 1 10 36 3,4 0,8 2 7 20 0,2 01 LFnnn NS — * — — — 1 6 36 25 1 - 100 пА 50 пА LF441 NS * V V * - 1 10 36 0,25 1 5 10 20 0,1 0.05 LF455B NS * - - * - 1 10 36 4 0,18 0,4 3 4 50 пА 20 пА LF456B NS ♦ - - * - I 10 36 8 0,18 0,4 3 4 50 пА 20 пА AD549L AD * - - ♦ - 1 10 36 0.7 0,3 0,5 5 10 60 фА 20 фА AD611K AD * - - * - 1 10 36 2,5 0.25 0,5 5 10 0,05 0,025 LT1057A LT - * V - - 1 20 40 3,8 0.15 0,45 1.8 - 0,05 0.04 НА5180 НА * - - * 10 40 0,1 0,5 5 - 0,001 : 200 фА МС34001А МО * V V * 1 8 36 2,5 1 3 10 0 1 0.05 МС34181 МО * V V * - г 36 0.2 0.5 10 — 0J 0.05 при 1 кГц тип-,_ нВ7Гц Ско- рость нарас- тания тип.л), В мкс Уср ТИП., МГп КОСС, дБ конп, дБ Коэффициент усиления. мин., дБ Макс. вых. ток, мА Макс, диффе- ренпи- альное выход- ное на- пряже- ние, Ве> Равен чи размах напря- жению пит. Примечания Вход и+ V Выход и. и. - 41 3 1 75 75 74 8 30 4- _ — — Небольшая мощность; 18 24 3 75 75 94 30 30 + - — _ улучшенный вариант TL061 Небольшие искажения; 42 3,5 1 70 70 70 5 30 —_ улучшенный вариант TL071/081 Небольшая мощность 18 13 3 70 70 88 10 30 — — — — Более низкий уровень шу- 18 13 3 80 80 94 10 30 — _ — — ма 8 2 2 86 86 110 10 36 — — — — Низкий уровень шума 27 3 1 90 90 110 10 36 _ — — — Очень малое смещение 25 13 4 70 70 88 10 30 — — — Сдвоенный 353. счетве- 20 5 2.5 85 85 94 20 30 + - — — ренный 347 Широко распространен- 12 12 5 85 85 94 20 30 -к — — — ный ОУ Более быстродействую- 25 15 4 70 70 88 20 30 + - щий вариант ОУ типа 355 Образцовый ОУ 3,5 20 10 80 80 100 15 2 -L. — — Самый низкии уровень 35 15 4 70 70 88 4 30 + - шума среди полевых с р - «-переходом Небольшой ток, образно- 12 5 3 86 86 106 100<м) 40 -4- — вый ОУ Небольшой уровень шу- 10 12,5 5 86 86 106 Ю0<м> 40 -с — ма, управляет емко- стью 0,01 мкФ Небольшой уровень шу- 35 18 3 13 1 2 90 80 90 80 ПО 94 10 20 36 20 - - ма, управляет емко- стью 0,01 мкФ Электрический' имеет за- щитный вывод Небольшие искажения. 13 13 - 86 88 104 10 40 общего назначения на полевых транзисторах с р- «-переходом Точный сдвоенный счет- 70 7 90 90 106 15 40 веренный Ov на поле- вых транзисторах с р - «-переходом Очень небольшое темпе- 25 38 13 10 4 4 80 70 80 Э) 94 88 20 8 30 36 -г - ратурное смешение; с приличным уровнем шума Небольшая мощность. быстродействующий. небольшие искажения
Тип Фирма-изготовитель3 Коли- чество ОУ в одном корпусе6’ 1 2 4 Регулировка Внешняя компенсаци; Минимальный коэфф усилен ияг) Предельное напряжение питания. В Потребляемый ток, (макс.) мА Напряжение Ток Сдвиг. мВ Дрейф. мкВ'°C Смеще- ние, нА Сдвиг НА ‘ макс. МИН. макс. тип. макс. тип. макс. макс. Полевые с ОР-15Е р-«-переходом, выходящие из РМ+ * V - * употребления 10 44 4 0,2 0.5 2 5 0,05 0,01 ОР-16Е РМ + ♦ — — * - 1 10 44 7 0,2 0,5 2 5 0,05 0,01 AD515L AD * — — * - 1 10 36 1,5 0,4 1 - 25 80 фА 80 фА AD542L AD ♦ — — * — 1 10 36 1.5 — 0,5 5 0.025 2 пА'*> AD544L AD * — — * — 1 10 36 2.5 — 0.5 — 5 0.05 0.5 пА|ф| AD545L AD * — — * — 1 10 36 1,5 — 0,5 — 5 0,001 — ICH8500A IL * — — * — 1 16 36 2,5 — 50 — — 10 фА 10 ФА Полевые МОП-транзисторы ОР-80Е РМ * - — * — 1 4.5 16 0.2 0.4 1 - — 60 фА 10 фА TLC27L2A TI V ♦ V - - 1 3 18 0,04 - 5 0,7 1 пА,ф| 1 пА'*> TLC27M2A TI V * V - - 1 3 18 0,6 - 5 2 - 1 пА,ф’ 1 пА'*» TLC272A TI V * V - - 1 3 18 4 - 5 5 - 1 пА(ф* 1 пА* TLC279C TI — - ♦ — — 1 3 18 8 0.4 1,2 2 - 0,7пА|ф) 0,1пА'*’ LMC660A NS - - * — — 1 5 16 2,2 1 2 1.3 5 20 пА 20 пА TLC1078C TI ♦ V - - 1 1,4 16 0,05 0,18 0,6 1 — 0,7пА(ф| 0,1пА*' ALD1701 AL * — — — — 1 1 12 0.25 — 4,5 "7 — 0.03 0,025 ALD1702 AL * - - - 1 2 12 2 — 4.5 “7 — 0.03 0.025 СА3140А RC * V - ♦ — 1 а 44 6 5 6 - 0,(Х 0.02 САЗ 160 А RC * V - ж ж 5 16 15 5 10 0,03 0,02 СА3410А RC — — * - 1 4 36 10 з 8 10 0,03 0.01 СА3420А RC * - - * ж 22 1 2 5 4 - 0.005 0.004 СА5160А RC * V - ж ж 5 15 0.4 1.5 4 - 0.01 0.005 СА5420А RC * ж ♦ 1 э 20 0.5 1 5 — 0.001 0.5 пА СА5422 RC * — — ж — 1 20 0." 1.8 10 20 — 0.005 0.004
Продолжение табл. 4.1 к X Ско- < Макс Равен ли рость X S диффе- размах напря- при 1 кГп нарас- ср КОСС, дБ КОНП, >> Ьй о ренци- жению пит ж> тания тип. дБ Z dJlbHOt тип тип л), МГц X X выход- Вход Выход нВ/у/ГЦ В/мкс Хгд X tv ное на- и+ и_ и, и пряже- •О* - ние, Вс) о к 03 S' 2 Примечания 15 17 6 86 86 100 15 40 — — — — Быстродействующий пре- цизионный ОУ типа 355 15 25 8 86 86 100 20 40 — — — — Быстродействующий пре- цизионный ОУ типа 356 (подкорректированный ОР-17) 50 1 0,4 70 74 94 10 20 — — — — Очень небольшое смеще- ние, прецизионный 30 3 1 80 80 ПО 10 20 — — — — Прецизионный 18 13 2 80 80 94 15 20 — — — — Прецизионный 35 1 0,7 76 74 92 10 20 — — — — Прецизионный 40 0.5 0,5 60 80’Ф) 100№> 10 0,5 — — — — Очень небольшое смеще- ние 70 0,4 0,3 60 60 100 10 16 — -г — + Электрометрический ОУ, 1св < 20 пА при 125 °C 70 0,04 0,1 70 70 90 10 18 — 4- — 4- Образцовый ОУ на КМОП-транзисторах 38 0,6 0,7 70 70 86 10 18 - + - 4- Образцовый ОУ на К М ОП-транзисторах 25 4,5 2,3 70 65 80 10 18 — 4- — 4- Образцовый ОУ на КМОП-транзисторах 25 4,5 2,3 65 65 80 10 18 — — — 4- Самое хорошее значение [7СМ в серии 272 22 1,7 1,5 72 80 112 15 16 — + + 4- Образцовый счетверен- ный ОУ на КМОП- транзисторах 68 0,05 0,11 75 75 114 15 16 — 4- — 4- Небольшой сдвиг 0,7 0,7 65 65 90 0,5 12 4- + + 4- Полный размах вых. на- пряжения; характерис- тики определяются для напряжения питания + 5 В 100 2,1 1,5 65 65 94 ? 12 + + + Полный размах вых. на- пряжения; характерис- тики определяются для напряжения питания -5 В 40 7 3." 70 76 86 -10 8 — - - - 72 10 4 80 76 94 — 1 12 8 — — 4- 4- МОП-транзисторы на вх/вых (нескорректиро- ванный 3130) 40 10 5.4 80 80 86 6 16 — — - Быстродействующий ОУ, заменяет 324 62 0.5 10 0,5 4 60 70 86 90 - 15 — — - — Малый ток 1СД, хорошая защита по входу — — 4- Выход на КМОП-транзи- сторах — 0,5 0,5 — — 85 0.5 15 — -+- — Аналог 3420 — 1 60 60 80 "> 15 — — + - Нетрадиционная двухсек- ционная конструкция
Продолжение табл. 4.1 Тип Фирма-изгогови гельа) Коли- чество ОУ в одном корпусе® Регулировка Внешняя компенсацияв) Минимальный коэфф, усиленияг) Предельное напряжение питания В 1 Потребляемый юк. (макс.) мА Напряжение Ток Смеще- Сдвиг иие, нА ц^' Сдвиг. мВ Дрейф, мкВ/°С МИН. макс. 1 2 4 тип. макс. тип. макс. макс. макс. ICL7612B IL + * — - - I 3 18 2,5 - 5 5 - 0,05 0,03 1CL7641B IL + V V ♦ 1 1 18 2,5 - 5 5 - 0,05 0,03 Прерыватель стабилизированный МАХ420Е МА * — - - 1 6 33 2 0,001 0.005 0,02 0,05 0,03 0,06 МАХ422Е МА * — - - 1 6 33 0,5 0,001 0,005 0,02 0,05 0,03 0,06 LMC668A NS * — - - 1 5 16 3,5 0,001 0,005 0,05 — 0,06 — TSC900A TS — - 1 4,5 16 0,2 — 0.005 0,02 0,05 0,05 TSC901 TS * V V - 1 5 32 0,6 0.007 0.015 0,05 0,15 0,05 0,1 1 TSC911A TS * V V - 1 4 16 0,6 0,005 0.015 0,05 0,15 0,07 0,02 TSC915 TS * — — - - — 1 7 32 1,5 0.01 0.01 0,1 0 1 0 1 TSC918 TS * — — - - — 1 4,5 16 0,8 — 0,05 0,4 0,8 0,1 0.5 п А* LTC1050 LT * — — - — 1 4.8 16 1,5 0,5 мкВ 0,005 0,01 0,05 0,03 0,06 LTC1052 LT * — - - - 1 4,8 16 2 0,5 мкВ 0.005 0,01 0,05 0,03 0,03 ICL7650 IL + * — - - - 1 4,5 16 3,5 0,002 0,005 0,1 — 0,01 5 пА(*' ICL7650S IL * - - - - 1 4,5 16 3 0.7 мкВ 0,005 0,02 0,1 0,01 0.02 ICL7652 IL + * - - - - 1 5 16 3,5 0.002 0,005 0,1 - 0,03 25пА(*’ ICL7652S IL * — - - - 1 5 16 2,5 0,7 мкВ 0.005 0,01 0,06 0,03 0.04 1 TSC76HV52 TS * — - - - 1 7 32 1.5 — 0.01 — 0,3 0,1 0,1 Высоковольтные LM343 NS * — — * — 1 10 68 5 2 8 40 10 LM344 NS * — — ж ж НК 10 68 5 2 8 - — 40 10 ОРА445В ВВ ♦ — — ж - 20 100 4.5 1 3 10 — 0,05 0,01 1436 МО- * — — ж ж 10 80 5 5 10 40 10 НА2645 НА * — — ж 20 80 4.5 п 6 15 30 30 3580 ВВ * — — ж 1 30 70 10 10 30 0 05 3581 ВВ * — — ж — 1 64 150 8 — 3 25 0,02 0.02 3582 ВВ * — — ж — 1 140 300 6.5 3 Э5 007 3583 ВВ * — ж — 1 100 300 8.5 — 3 - 25 0,1 0.1 3584 ВВ ж — — ж ж НК 140 300 6,5 — 3 — 25 0,1 0.1 S Рко_ S < Макс. Равен ли пость 2 2 диффе- размах напря- нарас- f„ КОСС. КОНП. A g рении- женин, ш,г » Приме,ани» 1 к тания тип., дБ дБ ь м альное тип^-, типд) МГц О ВЫХОД- Вход Выход нВ.^Гц в-мкс 2 ное на- U + U_ П4 V_ 49. пряже- £ ние, В‘ ок Д s S W 16 1.4 60 70 80 5,н* 18 + + + + Программируемый; пол- ный размах напряжения на вх/вых ^до 16 1,4 60 70 80 5,и| 18 + — + + Общего назначения низ- ковол ы ный j 05 0,5 120 120 120 + 2. 33 - + + + ±15 В Иа. 0,1 мкВ/мес; ’ -15 430 имеет Свиутр 1 рж) о,13 0,13 120 120 120 +0,2. 33 - + + + ±15 В Г'п; 0,1 мкВ/мес; -8 432 имеет Свнутр 2« 2,5 1 120 120 120 +5, 16 - + + + -15 4«> о,2 0,7 ПО 120 120 2,5 16 - + - + Маломощный 5W 2 0,8 120 120 120 - 36 - + - + Питание ±15 В; внутрен- ние конденсаторы 2,5 1,5 НО 112 116 3,5 16 - + — + Внутренние конденсате- ры, с приличным уров- нем шума 0,8(t‘ 0,5 0,5 120 120 120 10 36 - -t- - + Питание ± 15 В 4(,) 0,2 0,7 98 105 100 - 16 — + - + Недорогой 1,6й 4 2,5 120 125 130 +3, 16 - + + + Внутренние конденсате- — 20 ры; 50 нВ/мес 1,5(,) 4 1,2 120 120 120 +5, 16 - + + + Улучшенный вариант — 15 7652. 0,1 мкВ мес У" 2,5 2 ПО 120 120 +5, 16 - + + + 0,1 мкВ/мес -20 2,ж) 2.5 2 120 120 136 +4, 16 - + + + Улучшенный вариант — 20 7650; 0,1 мкВ'мес 0,7,ж| 0,5 0.4 ПО ПО 120 +5. 16 - - + 0,15 мкВ мес -20 0,7(ж* 1 0.5 120 120 136 +4. 16 - - - + Улучшенный вариант — 20 7652; 0,15 мкВ мес 0,8’"’ 0,5 0,5 120 120 120 10 32 - - * + Питание ±15 В 35 2,5 1 70 74 97 10 68 _ _ _ _ Монолитный 35 зо ю 70 74 9" 10 68 — — — — Нескорректированный 343 16 ю 2 80 80 100 15 80 — - - — С небольшим мешением. монолитный 50 2 . 70 80 97 10 80 — — - — Монолитный 30 5 4 74 74 100 10 37 — - — — Монолитный 15 15 5 86(Ф> 87<ф> 106**’ 60 70 - - — — Гибридная схема 2э 20 110,ф) 105<ф> П2,ф| 30 150 — — — — Гибридная схема 25 20 5 110<ф> 105,ф> 118,ф> 15 300 _ _ — — Гибридная схема 50 зо 5 Ц0’ф) 84,ф) 94 "'5 300 — — — — Быстродействующий на полевых транзисторах с р-п-переходом; гиб- ридная схема 50 150 20 110,ф) 84(ф) 100 15 300 _ _ — _ Нескорректированный на полевых транзисторах с р - n-переходом. гиб- ридная схема
214 Глава 4 Обратная связь и операционные усилители 215 Напряжение Ток Сдвиг, мВ мин. макс. макс. Дрейф, мкВЛС Смеще- Сд811г ние, нА 8Аад' Коли- :тво ОУ в одном корпусе Предельное напряжение питания. В макс, макс Макс Мощные LM12 монолитные NS * _ _ _ _ 1 20 80 80 2 7 - 50 300 100 ОРА541В ВВ ♦ - _ _ 1 20 80 25 0,1 1 15 30 0,05 0,03 LM675 NS * _ _ _ _ 10 16 60 50 1 10 25 - 2 мкА 500 SG1173 SG * - - - _ I 10 50 20 2 4 — 30 500 150 Продолжение табл. 4.1 при 1 кГи тип, нВ/'УГц Ско- рость нарас- тания тип.д), В/мкс Jcp тип., МГц КОСС, дБ конп, дБ Коэффициент усиления мин., дБ Макс. вых. гок, мА Макс, диффе- ренци- альное выход- ное на- пряже- ние, Ве> Равен ли размах напря- жению шп.ж| Примечания Вход Выход с+ и+ и_ 90 9 0,7 75 80 94 10А 80 — — — Защита по полному диа- 50 10 1,6 95 100 90 10А 80 — — — — пазону выходного на- пряжения Изолированный корпус; 8 5,5 70 70 70 3000 60 внутренняя защита от- сутствует Защита по полному диа- 0,8 1 76 80 92 3500 50 — — — — пазону выходного на- пряжения Отключение по превыше- нию температурного режима Перечень указанных в таблице фирм-изготовителей приводится производят несколько фирм): AD-Analog Devices AL-Alvanced Linear Devices AM-Advanced Micro Devices AN-Analogic AP-Apex BB-Burr-Brown ВТ-Brooktree CL-Comlinear CR-Crystal Semiconductor CY - Cypress DA - Datel EL-Elan tec EA - Fairchild (National) FE - Ferranti GE-General Electric GL-General Instrument HA - Hams HI - Hitachi HO - Honywell HS-Hybrid Systems ID - Integrated Device Technology IL-DE/Intersil IN - Intel IR - International Rectifier KE-M.S. Kennedy Corp LT-Linear Technology Corp MA - Maxim MN-Micro Networks MO - Motorola MP Micro Power Systems NE NEC NS-National Semiconductor OE-Optical Electronics Inc. PL-Plessey PM-Precision Monolithics RA-Raytheon ниже (значок « + » означает, что схему RC-GE RCA RO - Rockwell 1 SG - Silicon General I SL-Siliconix SN-Signetics SO - Sony ST - Supertex TI-Texas Instruments TM-Telmos TO-Toshiba TP -Teledyne Philbrick TQ -TnQuint TR TRW TS-Teledyne Semiconductor UT-UTC XI - Xicor XR - Exar ZI - Zilog (6) «*» указывает на количество ОУ в одном корпусе; значок «V»-означает, что эта же фирма выпускает схему с другим количеством ОУ в одном корпусе: с увеличением плотности упаковки возможно некоторое ухудшение электрических характеристик (в особенности напряжения сдвига). <в> Предусмотрены контакты для внешней коррекции. ,г) Величина, соответствующая минимальному значению коэффициента усиления, которое еще не приводит к потере устойчивости в схеме. Операционные усилители, в которых предусмотрены контакты для внешней коррекции могут работать при меньшем значении коэффициента усиления при условии, что используется соответствующая схема внешней коррекции. НК означает, что операционный усилитель нескор- реггирован для любого значения коэффициента усиления ОУ с замкнутой обратной связью необходимо использовать внешний конденсатор. (д> При минимальном стабильном значении коэффициента усиления при замкнутой петле ОС (обычно это-единичное усиление), если не оговорено иначе.(е Максимальное значение, при котором еще не повреждается микросхема; не должно превышать предельного напряжения питания. (ж) «+» в колонке ВХ означает, что диапазон входного синфазного сигнала включает это значение питающего напряжения «+» в колонке ВЫХ означает, что размах выходного напряжения ограничен напряжениями питания ,3> Резистивно-диодная схема обеспечивает на дифференциальном входе ток больший, чем дает источник +1 В. w мкВ от пика до пика, 0,1-10 Ги. (л) Токочувствительный инвертирующий вход (схема обратной связи по току); токи смещения на входах могут существенно отличаться друг от друга. Указанное значение тока смещения соответствует неинвертирующему входу. ,м> «Необработанный» выходной сигнал (без ограничения по току) снимается с контакта 8 в дополнение к тому, что обычный выходной сигнал (с ограничением по току снимается с контакта 6; ограничение определяется значениями ~ 15 мА " мин макс наихудший вариант). (ф| Типичное значение ма с полевыми МОП-транзисторами типа ICH8500 (входной ток равен 0,01 пА). Как правило, транзисторные ОУ, предназна- ченные для использования в системах с высоким быстродействием, имеют боль- шие токи смещения Входной ток сдвига. Входным током сдвига называют разность двух входных токов В отличие от входного тока сме- щения ток сдвига 7СДВ обусловлен откло- нениями в технологическом процессе из- готовления ОУ. так как в отсутствие та- ких отклонений токи смешения на дву* симметричных входах были бы одинако- вы. В результате даже при наличии на входах источников с одинаковыми сопро- тивлениями падения напряжения на вхо- дах ОУ будут разными и, следовательно, между входами будет существовать раз- ность напряжений. Немного позже вЫ увидите, как это учитывают при разра- ботке схем Обычно ток сдвига составляет пример- Но одну' десятую часть тока смешения. Для операционного усилителя типа 411 типичным является значение 1сав = 25 пА. Входной импеданс. Входной импеданс проделается входным сопротивлением Дифференциального сигнала (импе- данс со стороны одного из входов при заземлении другого), которое обычно значительно меньше, чем сопротивление для синфазного сигнала (типичный вход- ной каскад выглядит как дифференциаль- ный усилитель с источником тока) Для операционного усилителя типа 411 со входом на полевом транзисторе входное сопротивление равно примерно 1012 Ом, а для операционных усилителей со входа- ми на биполярных транзисторах, напри-
216 Глава 4 Обратная связь и операционные усилители 217 мер типа 741-составляет около 2 МОм В связи с тем что отрицательной обрат- ной связи присущ эффект самопроизволь- ной установки входов (отрицательная обратная связь стремится поддерживать на обоих входах одинаковое напряжение и значительно уменьшает дифференциаль- ный входной сигнал), для практики ZBX имеет достаточно большие значения и не является столь лимитирующим парамет- ром, как входной ток смещения. Входной диапазон синфазного сигнала. Для того чтобы операционный усилитель работал правильно, напряжение на его входах должно находиться в пределах определенного диапазона значений, кото- рый обычно не превышает полного диа- пазона напряжения питания. Если напря- жение на входах выходит за пределы этого диапазона, то коэффициент усиле- ния ОУ может резко измениться и даже поменять знак. Для операционного уси- лителя типа 411, использующего источни- ки ±15 В, входной диапазон синфазного сигнала определяется как минимум зна- чениями ± 11 В Однако фирма-изготови- тель утверждает, что схема типа 411 будет работать и в том, случае, когда входной диапазон синфазного сигнала будет огра- ничен сверху положительным питающим напряжением, при этом, правда сущест- венно ухудшатся характеристики Если напряжение на одном из входов ограни- чить отрицательным питающим напряже- нием, то последствия такой работы ОУ могут быть сокрушительными: возможен поворот фазы и насыщение на выходе по положительному питающему напряже- нию Существуют такие ОУ, у которых вход- ной диапазон синфазного сигнала ограни- чен снизу отрицательным питающим на- пряжением, например ОУ типа LM358 (хороший сдвоенный ОУ), а также ОУ типа LM10, СА3440 или ОР-22, или огра- ничен сверху положительным питающим напряжением, например ОУ типа 301. ОР-41 или серия интегральных схем 355. Кроме рабочего входного диапазона син- фазного сигнала, определяются макси- мально допустимые входные напряжения при превышении которых происходит разрушение схемы. Для операционных I усилителей типа 411 это ± 15 В (при это^ не допускается превышение отрицатель кого питающего напряжения, если оно оказывается меньше указанного предель ного значения). Входной диапазон дифференциального сигнала. Для некоторых операционных ' усилителей допустимое напряжение меж- ду входами ограничено такими малыми значениями, как например, ±0,5 В. црав, да, для большинства схем допустимые дифференциальные входные сигналы мо- гут достигать значения напряжения пита- ния. Превышение заданного максимума может вызвать ухудшение характеристик или разрушение схемы операционного усилителя. Выходное сопротивление; зависимость размаха выходного напряжения от сопро- тивления нагрузки. Выходное сопротивле- ние Авых-это собственное выходное со- противление ОУ без обратной связи. Для операционного усилителя типа 411 оно равно приблизительно 40 Ом, а для неко- торых маломощных ОУ оно может дости- гать нескольких тысяч ом (см рис. 7.16). Обратная связь делает выходное сопро- тивление пренебрежимо малым (или очень увеличивает его в случае обратной связи по току); поэтому большое значение имеет максимально допустимый выход- ной ток, равный, как правило, примерно 20 мА Часто зависимость размаха вы- ходного напряжения 17вых разм от сопро- тивления нагрузки изображают в виде графика, а иногда просто приводят не- сколько значений для типичных сопро- тивлений нагрузки. Многие операционные усилители обладают неодинаковыми (асимметричными) возможностями по уп- К равлению последующим каскадом, т.е. они потребляют больше тока, чем произ- водят (или наоборот). Для операционного усилителя типа 411 возможный размах выходного напряжения на 2 В меньше, чем диапазон, определяемый значениями UKK и С'ээ на нагрузке с сопротивлением более чем 2 кОм. Если сопротивление на- грузки намного меньше, чем 2 кОм. т° размах будет небольшим. Для некоторых ОУ размах выходного напряжения огра- qeH источником отрицательного напря- жения (например, ОУ типа САЗ 130, 3160 aiD1701 и ICL761x). Замечательной схе- ме LM10 на биполярных транзисторах та1цке присуще это свойство, но без отра- жений по диапазону питающего напря- жения как в операционном усилителе с выходами на МОП-транзисторах (обычно это ±8 В максимум). Коэффициент усиления по напряжению я фазовый сдвиг. Обычно коэффициент усиления по напряжению AUq для по- стоянного тока лежит в пределах от 10000 до 100000 (часто его определяют в деци- белах), он уменьшается с ростом частоты, и на частоте, лежащей в пределах от 1 до 10 МГц (ее обозначают /ср), коэффициент усиления уменьшается до единицы Обычно строят график зависимости коэф- фициента усиления по напряжению при разомкнутой цепи обратной связи от час- тоты Такой график, построенный для операционного усилителя с внутренней коррекцией, показывает, что спад усиле- ния с наклоном 6 дБ/октава начинается на достаточно низкой частоте (для ОУ типа 411-на частоте около 10 Гц), такая зави- симость создается намеренно, как вы уз- наете из разд. 4.32,-тем самым обеспечи- вается стабильность работы ОУ. Спад характеристики (такой же, как у простого фильтра низких частот) приводит к тому, что на всех частотах выше сопрягающей частоты между входом и выходом (при разомкнутой цепи обратной связи) суще- ствует постоянный сдвиг фазы, равный 90’, увеличивающийся до 120-160°, по мере того как коэффициент усиления при- ближается к единице Сдвиг фаз на 180 в момент равенства коэффициента усиле- ния единице приводит к появлению поло- жите тьной обратной связи (автоколеба- ниям |, поэтому разность между фазовым сдвигом на частоте /ср и 180е называют «запасом по фазе». Входное напряжение сдвига. Отклоне- возникающие в процессе изготовле- яня операционных усилителей, приводят к т°му, что входные каскады ОУ имеют Некоторую разбалансировку. Если при нулевом входном сигнале входы ОУ сое- •ТИнить между собой, то выход схемы насытится, и выходное напряжение будет равно либо 17кк, либо Сээ (заранее пред- сказать значения нельзя). Разность вход- ных напряжений, необходимая для того, чтобы выходное напряжение стало равно нулю, называют входным напряжением сдвига, 17сдв (представим себе, что к од- ному из входов последовательно подклю- чена батарея с таким напряжением). Обычно в операционном усилителе бы- вает предусмотрена возможность умень- шения входного напряжения сдвига до нуля (настройка нуля). Для ОУ типа 411 между контактами 1 и 5 следует включить потенциометр на 10 кОм, его движок дол- жен быть подключен к источнику С7ЭЭ. Для точных систем не меньшее значе- ние, чем сам сдвиг имеет дрейф входного напряжения сдвига под влиянием темпе- ратуры и времени, так как начальный сдвиг можно сделать равным нулю. Для операционного усилителя типа 411 типич- ным является напряжение сдвига, равное 0,8 мВ (максимальное значение 2 мВ) и коэффициент, определяющий дрейф сдви- га под влиянием температуры, равный 7 мкВ/°C Коэффициент, определяющий дрейф сдвига под влиянием времени, из- готовители обычно не оговаривают. Для прецизионного операционного усилителя типа ОР-77 с помощью лазерных методов подгонки напряжение сдвига устанавли- вают не превышающим 10 мкВ, темпера- турный коэффициент напряжения сдвига (ТКНСДВ) для этой схемы равен 0,2 мкВ/°С, а временной дрейф определяется коэффи- циентом 0.2 мкВ/мес. Скорость нарастания. «Компенсацион- ная» емкость операционного усилителя (о ней пойдет речь в разд. 4 32) и небольшие внутренние токи ограничивают скорость изменения выходного напряжения даже при условии большого разбаланса входов. Предельную скорость изменения выход- ного напряжения обычно называют ско- ростью нарастания. Для ОУ типа 411 она равна 15 В мкс. у маломощного ОУ ско- рость нарастания обычно не превышает 1 В мкс, быстродействующий ОУ может иметь скорость нарастания порядка 100 В/мкс, а для сверхбыстрого буфера типа LH0063C скорость нарастания со-
218 Глава 4 ния нуля Рис 4.29. Искажение, обусловленное скоростью на- растания. ставляет 6000 В/мкс. Скорость нараста- ния ограничивает амплитуду неискажен- ного синусоидального выходного сигнала при превышении некоторой критической частоты (частоты, на которой для получе- ния полного размаха выходного напря- жения скорость нарастания ОУ должна быть максимальной, рис. 4.29), тем са- мым объясняется введение в специфика- ции «графика зависимости размаха вы- ходного напряжения от частоты». Для синусоидального сигнала, частота кото- рого равна f герц, а амплитуда-Л вольт, минимальная скорость нарас ания долж- на составлять 2nAF вольт в 1 с. Для операционных усилителей с внеш- ней коррекцией скорость нарастания зави- сит от используемой схемы коррекции В обшем, коррекции предназначенной для схем с единичным усилением, соот- ветствует самая малая скорость нараста- ния; она увеличивается примерно в 30 раз при коррекции 100-кратного усиления. Подробнее мы рассмотрим этот вопрос в разд. 4.32. Влияние температуры. Все рассмотрен- ные выше параметры зависят от темпера- туры Однако это обычно не влияет на работу схемы, так как, например, неболь- шие изменения коэффициента усиления почти полностью компенсирует обратная связь Более того, изменение этих пара- метров под влиянием температуры, как правило, невелико по сравнению с их изменением от образца к образцу Исключение составляют входное напря- жение сдвига и входной ток сдвига. Их зависимость от температуры сказывается в появлении дрейфа выходного напряже- ния после того, как с помощью регули- ровки входные сдвиги были сведены прщ, тически к нулю. Для прецизионных С11' стем следует использовать так называе' мые «измерительные» усилители, имек^ 1 щие малый дрейф» У таких усилителей для уменьшения пагубного влияния гра. диента температуры, возникающего в схе- ме, выходной каскад подключают к внещ. ней нагрузке с сопротивлением не менее 10 кОм. К этому вопросу мы вернемся- в гл. 7. Для полноты изложения следует упомя- нуть, что на характеристики ОУ наклады- вают ограничения такие параметры, как I коэффициент ослабления синфазных сиг- налов (КОСС), коэффициент ослабления влияния источника напряжения питания (КОНП), шумовое входное напряжение и шумовой ток (ет, /ш) и переходные искажения на выходе. Эти параметры следует учитывать только в прецизион- ных схемах и в усилителях с низким уров- нем шумов, которые мы рассмотрим в гл. 7. 4.12. Эффекты ограничений ОУ на работу схем на их основе Вернемся к инвертирующему усилите®*) и рассмотрим его еще раз, учитывая изве- стные нам теперь ограничения Покажем, как они влияют на работу схемы и как их учесть при разработке ОУ. Используя этот пример, вы сможете разобраться и с другими схемами ОУ. На рис. 4.30 вновь показан инвертирующий операционный усилитель. Коэффициент усиления при разомкнутой пени ОС. В связи с тем что коэффициент усиления при разомкнутой цепи ОС имеет конечное значение, в усилителе с обрат- ной связью коэффициент усиления № напряжению (коэффициент усиления при разомкнутой цепи ОС) в определенны0 момент начинает убывать Этому момен- ту соответствует частота, на которой ко- эффициент усиления при разомкнутой пе пи ОС приближается к значению (рис. 4.31). Этот спад позволяет судя1* S о том, что семейство усилителей типа 41 относится к классу низкочастотных Ус0' L KOMCIC/iJ/CMbit ( I
X
220 Глава 4 Обратная связь и операционные усилители 221 Рис. 4 31. Зависимость коэффициента усиления от частоты для ОУ типа LF411 («диаграмма Боде»), /-коэффициент усиления при разомкнутой ОС, 2- коэффициент усиления при замкнутой ОС Л J эдБ itj- •^(замжнутой ОС) лителей; на частоте 50 кГц коэффициент усиления при разомкнутой цепи ОС па- дает до 100, а частота /ср равна 4 МГц. Обратите внимание, что коэффициент усиления при замкнутой цепи ОС всегда меньше, чем коэффициент усиления при разомкнутой цепи ОС; это означает, что если на основе ОУ типа 411 построить, например, усилитель со 100-кратным уси- лением, то на частотах около 50 кГц его усиление заметно ослабеет. Более точно мы опишем этот эффект чуть ниже (разд. 4 5), когда будем рассматривать гран зисторные схемы с обратной связью, имеющие конечный коэффициент усиле- ния при разомкнутой цепи ОС. Скорость нарастания. В связи с тем что скорость нарастания ограничена, на час- тотах выше некоторого граничного зна- чения максимальный размах синусоидаль- ного сигнала начинает падать. На рис. 4.32 представлен график для операции кого усилителя типа 411 со скорость^ I нарастания 15 В/мкс При скорости ца растания 5 выходная амплитуда огранй I чена значением Лот ™a < s/nf для синусои дальнего сигнала, имеющего частоту f тем самым объясняется наличие участка спада на графике с наклоном 1// Горй. зонтальный участок на графике соответ- ствует ограничению размаха выходного напряжения источников питания Попутно отметим, что ограничения связанные со скоростью нарастания ОУ можно использовать на благо, для устра. нения шумовых импульсов полезного сиг- нала с помощью так называемой нелиней- ной низкочастотной фильтрации Суть I метода состоит в следующем намеренно ограничивая скорость нарастания можно существенно уменьшить острые импуль- сы, никак не искажая при этом фоновый сигнал. Выходной ток. В связи с тем, что выход- ной ток операционного усилителя ограни- чен, размах выходного напряжения на низкоомных нагрузках также ограничен. На рис. 4.33 представлен график для опе- рационного усилителя типа 411 В преци- зионных схемах как раз и нужно ограни- чивать выходные токи для того, чтобы избежать появления в кристалле схемы температурных градиентов, связанных с рассеянием слишком большой мощности в выходном каскаде Рис. 4.32. Зависимость размаха выходного напряже* ния от частоты (LF411). 17И=±15В; Тогр = 25°С R„ = 10 кОм. Кривая убывает пропорционально 1// p^. 4 33 Зависимость размаха выходного напряже- ния'от нагрузки (LF411). (Уи = 15 В, Гогр = 25°С. Напряжение сдвига. Благодаря наличию входного напряжения сдвига, при нулевом напряжении на входе напряжение на вы- ходе равно ивых = KUqUсдв. Инвертирую- щий усилитель на основе ОУ типа 411 имеет коэффициент усиления по напряже- нию, равный 100 При заземленном входе напряжение на выходе этой схемы дости- гает значения +0.2 В (17сдв = 2 мВ, макси- мальное значение). Можно предложить следующие пути решения проблемы а) Если усиление сигнала по постоянному току не представляет интереса, то с по- мощью конденсатора можно уменьшить коэффициент усиления для сигналов по- стоянного тока до единицы, как показано на рис 4.7, также как в рассмотренном выше усилителе для звукоснимателя (рис 4 20). Там для передачи входного сигнала используется емкостная связь, б) Наст- роить нуль, используя предлагаемую фир- мои-изготовителем схему регулировки, в Можно использовать ОУ с меньшим напряжением сдвига 17сдв. г) Настроить нуль, используя схему регулировки опи- санную в разд 7.06 (рис. 7.5). Входной ток смешения. Если в инверти- рующем усилителе один из входов зазем- ли то даже при условии идеальной настройки (т. е. Uc 1в = 0), на выходе уси- лителя будет присутствовать отличное от нуля выходное напряжение Это связано тем что входной ток смещения 1СМ ОзДает падение напряжения на резисто- рах, которое затем усиливается схемой усилителя. В этой схеме сопротивление со стороны инвертирующего входа опреде- ляется резисторами но ток сме- щения воспринимается как входной сиг- нал, подобный току, текущему через Rr, а поэтому он порождает смещение выхода ^вых ^см 2^2 ’ В операционных усилителях со входами на полевых транзисторах эффектом вход- ного тока смещения обычно можно пре- небречь, по-другому дело обстоит с операционными усилителями на биполяр- ных транзисторах-здесь значительные входные токи могут привести к серьезным проблемам. Рассмотрим в качестве при- мера инвертирующий усилитель, в кото- ром R = 10 кОм и R2 = 1 МОм, эти значения подходят для инвертирующего каскада в котором желательно обеспе- чить значение ZBX, равным 10 кОм Если выбрать схему типа LM833 на биполяр- ных транзисторах, с низким уровнем шу- мов, то ее выходное напряжение (при заземленном входе) может достигать ве- личины 100 х 1000 нА х 9,9 кОм или 0.99 В, что ни в какой мере не может быть приемлемо Для сравнения отметим что ОУ типа LF411 (со входами на полевых транзисторах с р- «-переходом) соот- ветствующее выходное напряжение для худшего случая (при заземленном входе) составляет 0,2 мВ; для большинства прак- тических случаев эта величина пренебре- жимо мала и уж во всяком случае несрав- нима с ошибкой выходного напряжения, порождаемой напряжением сдвига (в худшем случае для ненастроенного ОУ типа LF411 она составляет 200 мВ). Для борьбы с ошибками, обусловлен- ными током смешения, существует нес- колько способов Если вам нужен ОУ с большим током смешения можно сде- лать сопротивление со стороны обоих вхо- дов одинаковым, как на рис. 4 34 В этом случае сопротивление 9,1 кОм выбрано с учетом параллельного соединения ре- зисторов 10 кОм и 100 кОм. Кроме того, лучше всего если сопротивление цепи об- ратной связи будет достаточно малым, тогда ток смещения не будет давать большие сдвиги сопротивления в цепях
222 Глава 4 Обратная связь и операционные усилю ели 223 100 кОм Рис. 4.34. Для уменьшения ошибок, обусловленных входным током смещения в ОУ на биполярных тран- зисторах следует использовать компенсационный ре- зистор. входов ОУ имеют типичные значения от 1 до 100 кОм. Третий способ состоит в уменьшении до единицы коэффициента усиления по постоянному току, как в рас- смотренном выше усилителе для звуко- снимателя. Однако для большинства случаев мож- но рекомендовать использовать ОУ с пренебрежимо малыми входными тока- ми. В операционных усилителях со вхо- дами на полевых транзисторах с р- «-пе- реходом или на полевых МОП-тран- зисторах входные токи как правило имеют порядок пикоампер (однако, здесь входной ток быстро растет при увеличе- нии температуры - удваивается при изме- нении температуры на каждые 10 °C), во многих современных схемах на биполяр ных транзисторах за счет использования транзисторов со сверхвысоким значением коэффициента Р и схем компенсации сме- щения токи смещения почти также неве- лики и незначительно зависят от темпера- туры. Такие операционные усилители об- ладают достоинствами ОУ на биполяр- ных транзисторах (высокая точность, низким уровень шума) и лишены не- достатков, связанных со входным током. Например для прецизионного биполяр- ного ОУ с низким уровнем шума типа ОР-27 1СМ = 10 нА (типичное значение), для недорогого биполярного ОУ типа LM312 /см =1,5 нА (типичное значение), для улучшенных вариантов этого ОУ (ти- па LT1012 и LM11)-/CM = 30 пА (типич- ное значение). Среди недорогих ОУ на полевых транзисторах можно назвать Оу типа LF411 на полевых транзисторах I р «-переходом, для которого /см = 5Q ПДС I (типичное значение) и серию ° ИС тдп I TLC20 на полевых МОП-транзистор^ I для которой ZCM = 1 пА (типичное значе’ ние). Входной ток сдвига. Как мы только что убедились, лучше всего создавать такие 1 схемы, в которых импедансы и токи сме- щения ОУ порождают пренебрежимо ма. лые ошибки. Однако иногда может воз- никнуть потребность в ОУ с большим током смещения или с очень большим эквивалентным импедансом. В этой си- туации лучше всего постараться сбалан- сировать входные импедансы по постоян- ному току. На выходе все равно будет существовать некоторая ошибка (Кпост I Цпв ^ист X обусловленная асиммет- рией входных токов ОУ. В общем, I меньше, чем /см в 2-20 раз (биполярные ОУ, как правило, дают лучшее согласова- ние, чем ОУ на полевых транзисторах). В предыдущих параграфах мы рас- смотрели эффекты ограничений ОУ на примере простого инвертирующего уси- лителя напряжения Для него, например, наличие входного тока ОУ вызывает появление ошибки напряжения на выходе. В ОУ другого назначения эффект может быть совсем другим, например в инверти- рующем ОУ конечный входной ток по- рождает на выходе гинейно меняющийся сигнал (а не константу) при нулевом напряжении, приложенном ко входу. По мере освоения схем ОУ вы сможете оце- нивать, как сказываются ограничения ОУ на работе данной схемы и следовательно, сможете выбрать операционный усили* тель, подходящий для конкретного слу- чая Вообще говоря, «самого-самого» лучшего ОУ на свете не существует даже если вас не останавливает никакая пена): у операционных усилителей с самыми незначительными входными токами (ва полевых МОП-транзисторах), как прави- ло, плохо обстоят дела с напряжением сдвига, и наоборот Хорошие разработчй' ки при выборе компонентов идут на компромиссы с тем, чтобы оптимизир0* вать характеристики схемы, и избегаю1 озможности элементов с ненужной ""молотой». Сегодня густо, завтра пусто» »оем неустанном стремлении к совершенству И сВ Г1ОВ полупроводниковая промышленность ^осит нам иногда неприятные сюрпризы. авьте себе такую ситуацию вы разработали ПреДчну1о новую схему, сделали образец, провели °ТЛ ирование и горите желанием запустить свое де- производство. Вы оформляете заказ на необхо- ^ые компоненты, но оказывается, что самую нуж- -®м цС сняли с производства! А порой бывает и еще заказчик начинает жаловаться на задержку Ставки прибора, который выпускается уже ни один оД Когда вы начинаете выяснять, что случилось, Называется, что для завершения сборки плат не хватает единственной ИС, которая «еще не поступи- ga участок сборки. Далее выясняется, что она не поступила и на склад. В конце концов вы узнаете, что схему сняли с производства 6 месяцев назад и в нали- чии нет ни одной! Почему же возникают подобные казусы и что может предпринят в таких случаях разработчик? По нашему мнению, существуют четыре основных причи- ны прекращения производства ИС: 1. Устаревание Появились новые, лучшие ИС и нет смысла продолжать выпуск старых. Это целиком и полностью относится к цифровым ИС памяти (напри- мер, каждый год небольшие статические кристаллы ЗУПВ (ЗУ с произвольной выборкой) и СППЗУ (сти- раемые программируемые постоянные ЗУ) заменяют- ся более компактными и быстродействующими моди- фикациями), хотя не избежали этой участи и линейные ИС В подобных случаях чаще всего новая моди- фицированная ИС совместима со старой по выводам и может быть вставлена в старый разъем. 2 ИС не пользуется спросом у покупателей: Иногда исчезаю прекрасные ИС. Если проявить настойчи- вость, то изготовитель может дать объяснение «не было спроса> или что-нибудь в этом роде. Этот случай можно квалифицировать так: «прекращение производства для удобства изготовителя». Мы столк- нулись с серьезными трудностями, когда фирма Harris сняла с производства прекрасную схему НА4925, ис- чез великолепный счетверенный компаратор с очень высоким быстродействием и ничего не появилось ему взамен Фирма Harris сняла также с производства лему НА2705—бесследно исчезла еще одна замеча- тельная ИС, самый быстродействующий микромощ- ЧЫи V Иногда хорошую ИС снимают с производст- 83 в связи с изменениями в технол гической линии ироизводящеи подложки (увеличивается размер под- тожки-вместо 3 дюймов устанавливают размер 5 или дюймов). Мы уже заметили, что фирма Harris ^ооенно любит прекращать производство очень хо- РвиДк и уникальны ИС тоже самое проделывали •ирмы Inters: и GE. ^теряны чертежи схем; В это трудно поверить, но ИВогДа фирмы-изготовители теряют чертежи какого- ^*6° кристалла и по этой причине прекращаю его производство Такая история произошла с 8-каскад- Делителем на КМОП-транзисторах типа 44Q4 фирмы Solid State Systems. 4. У изготовителя нет заказов: это также относится к SSS-4404!. Если у вас есть уже разработанная плата, но нет никакой возможности достать нужную ИС, предла- гаем вам следующие решения. Во-первых можно разработать плату заново (а может быть и схему) на основе ИС, имеющихся в наличии. Это, наверное, лучший выход из положения в случае, когда вы запускаете в производство новую плату или когда уже идет производство большой партии плат. Во-вторых, можно разработать маленькую «дочернюю» плату, которая будет подключаться к пустому разъему вместо недостающей ИС и эмулировать ее работу. Хотя этот выход из положения нельзя назвать краси- вым, он полностью решает возникшую перед вами проблему. К чему приводят ограничения, свойствен- ные ОУ. Рассмотренные ограничения опе- рационного усилителя влияют на пара- метры компонентов почти во всех схемах. Например, резисторы обратной связи должны быть достаточно большими, тог- да они не будут существенно нагружать выход; вместе с тем, если они будут слишком большими, то входной ток сме- шения будет порождать ощутимые сдви- ги. Кроме того, высокое сопротивление в цепи обратной связи повышает воспри- имчивость схемы к влиянию внешних на- водок и увеличивает влияние паразитной емкости. Учитывая сказанное выше, для ОУ общего назначения обычно выбирают резисторы цепей ОС с сопротивлением от 2 до 100 кОм. РАСПРОСТРАНЕННЫЕ ТИПЫ ОПЕРАЦИОННЫХ УСИ ЛИТЕЛЕЙ Иногда случается так, что новый ОУ появ ляется как раз вовремя и удовлетворяет запросы разработчиков и по своим характеристикам, и по стоимости, и по конструктивному оформлению. К его производству приступает сразу несколько фирм, он завоевывает симпатии разработчиков и получает ши рокую известность. Ниже приводится перечень рас- пространенных в наше время типов ОУ 301 Первый удобный для использования ОУ; впервые использован «боковой транзистор р-и-р-типа» коррекция внешняя; родоначальник-фирма Na- tional Semiconductor 741 Промышленный стандарт в течение многих лет; коррекция внутренняя; родоначальник - Fair- child. 1458 Разработан фирмой Motorola в ответ на созда- ние ОУ типа 741; два ОУ типа 741 в миниатюр- ном корпусе с двухрядным расположением выво- дов. выводы для регулировки сдвига не пре- дусмотрены. 308 Прецизионный ОУ фирмы National; малая пот ребляемая мощность транзисторы со сверхвы- соким ₽ гарантированный максимум дрейфа 324 Распространенная схема счетверенных ОУ
224 Глава 4 Обратная связь и операционные усилители 225 (сдвоенная схема-358 в мини-DIP-Kopnyce с двухрядным расположением выводов). Работает с одним источником питания; фирма National. 355 Многофункциональный ОУ на биполярных и полевых транзисторах (356, 357-более высокое быстродействие); точность не хуже, чем у бипо- лярной схемы, но быстродействие выше, а вход- ной ток меньше; фирма National. (Фирма Fairchild сделала попытку предпринять ответный ход и разработала ОУ типа 740, кото- рый потерпел неудачу из-за плохих характерис- тик. Как вам понравится входной сдвш 0,1 В?) TLO81 Разработан фирмой Texas Instruments в ответ на появление серии 355; серия недорогих ОУ; интегральная схема объединяет один, два, четыре ОУ, небольшая мощность; невысокий уровень шума; используются различные типы корпусов. LF411 Разработан фирмой National; улучшенная се- рия на биполярных и полевых транзисторах; сдвиг и смещение небольшие, высокое быстро- действие, небольшое искажение, большой выход- ной ток, невысокая стоимость; сдвоенная (LF 412) и микромощная (LF441/2/4) модификации Подобные компромиссы принимают при разработке почти всех электронных схем включая и самые простые транзис- торные схемы. Например, величина тока покоя в транзисторном усилителе ограни- чена сверху мощностью, которую может рассеивать устройство, величиной вход- ного тока и питающего тока, коэффициен- та усиления по току, а снизу - величиной тока утечки, коэффициента усиления по току и быстродействием (которое умень- шается из-за паразитной емкости и боль- ших сопротивлений). В связи с этим, как было указано в гл. 2, величину коллектор- ного тока обычно выбирают в диапазоне от нескольких десятков микроампер до нескольких десятков миллиампер (по- больше для мощных схем, поменьше для «микромошных»). В следующих трех гла- вах мы рассмотрим такие проблемы бо- лее тщательно для того, чтобы вы поняли, как находят компромиссные решения Упражнение 4.6. Нарисуйте схему инвертирующего усилителя со связями по постоянному току, его коэф- фициент усиления должен быть равен 100, а 1вх = = 10 кОм. Предусмотрите возможность компенсации входного тока смещения и регулировки напряжения сдвига (используйте потенциометр на 10 кОм кото- рый можно подключить к выводам 1 и 5, а его движок-к источнику питания и.). И наконец, измени- те схему так. чтобы выполнялось условие z„ > > 10* Ом. ОПЕРАЦИОННЫЙ УСИЛИТЕЛЬ ТИПА 741 И ДРУГИЕ В 1965 г. Видлар разработал первый, пригодный для использования интегральный ОУ: это был ОУ типа рА709 фирмы Fairchild Он получил широкое ространение, но обладал некоторыми недостаткам" | частности имел тенденцию к защелкиванию цри п ’в грузке входа и не имел защиты против коротк^ I замыкания на выходе. Кроме того в этом ОУ необ Г° I димо было производить внешнюю частотную коппЛ I цию (с помощью двух конденсаторов и резисто i I и он имел очень неудобную схему регулировки hv сдвига (для которой также требовалось иметь ri? внешних компонента). И наконец, дифференциальв и синфазное входное напряжение было ограниче I значением 5 В. Видлар перешел из фирмы Fairchild в фирму J tional. где занялся разработкой ОУ типа LM30I который представлял собой улучшенный вариант ОУ I с защитой против короткого замыкания и защелкива- ния и имел увеличенный диапазон входного иапряже ния, ограниченный значением 30 В Однако Видлар Вс предусмотрел внутренней частотной коррекции, так I как предпочел предоставить пользователю свободу в выборе средств и методов коррекции. Операциов- I ный усилитель типа 301 можно было скорректировать с помощью единственного конденсатора, но, в связи с тем что лишь один вывод был свободен, ддя регулировки нуля сдвига по-прежнему нужно было иметь три внешних компонента. Тем временем фирма Fairchild приготовилась к ответному ходу в связи с появлением ОУ типа 301 (теперь-это всем известный ОУ типа 741). Новый ОУ обладал всеми преимуществами схемы типа 301, но инженерь фирмы Fairchild попытались осуществить внутреннюю частотную корркцию, в результате выс- вободились два вывода и упростился процесс регули- ровки сдвига с помощью единственного внешнего потенциометра. Так как во многих практических слу- чаях не требуется производить регулировку сдвига (в этом Видлар оказался прав), то для ОУ типа 741 в нормальных условиях эксплуатации не нужны дру- гие компоненты, кроме тех, которые используются в цепи ОС Все остальное уже стало достоянием истории-ОУ типа 741 распространился с быстротой цепной реакции и превратился в стандартную схему. В настоящее время известно немало операционных усилителей типа 741: они похожи по конструкпии и характеристикам, но имеют и специфические черты: входы на полевых транзисторах, сдвоенные и строен- ные схемы схемы с улучшенными характеристиками, скорректированные и нескорректированные схемы и т. д. Ниже приводится краткий перечень ОУ этого типа, который можно использовать дтя справок и ко- торый характеризует человеческий инстинкт идти в ногу со временем (более полный перечень приведен в табл 4.1). Ооинарные схемы 741S быстродействующий (10 В/мкс) МС741 с низким уровнем шумов ОР-02 прецизионный ОУ 4132 микром щныи (35 мкА) LF13741 вход на полевых транзисторах, малый вхоД' ной ток 748 нескорректированный NE53O быстродействующий (25 В мкс) TL081 вход на полевых транзисторах, быстродей^' вуюший (подобен LF351) ^F411 вход на полевых транзисторах, быстродейст- вующий Счетверенные схемы МС4741 четыре ОУ типа 741 (аналог-ОУ типа 348) Ор. Ц прецизионный ОУ 4136 быстродействующий (3 МГц) НД4605 быстродействующий (4 В/мкс) TL084 вход на полевых транзисторах, быстродейст- вующий (подобен LF347) Сдвоенные схемы 747 сдвоенный ОУ 41 ОР-04 прецизионный ОУ 1458 в корпусе мини-DIP с двухрядным расположе- нием выводов 4558 быстродействующий (15 В/мкс) TL082 вход на полевых транзисторах, быстродейст- вующий (подобен LF353) LF412 вход на полевых транзисторах, быстродейст- вующий 4.13. Микромошные и программируемые ОУ В системах, где в качестве источников питания используются батареи, широкое распространение получили так называе- мые «программируемые ОУ». Их назы- вают так потому, что установка значений всех внутренних рабочих токов выпол- няется с помощью внешнего тока, пода- ваемого на контакт, предназначенный для программирования смещения. Внутрен- ние токи покоя увязываются с этим током смещения с помощью токовых зеркал, которым разработчики отдают предпоч- тение перед внутренними источниками токов, задаваемых с помощью резисто- ров В результате подобные усилители можно программировать таким образом, чтобы они работали в широком диапазо- не питающих токов-обычно от несколь- ких микроампер до нескольких миллиам- пер Такие параметры, как скорость на- растани: произведение коэффициента Усиления на ширину полосы пропускания сР и входной ток смешения, пропорцио- нальны программирующему току Для систем использующих батареи в качестве источников питания, особенно полезны программируемые ОУ, работающие с то- ками порядка нескольких микроампер °лее подробно мы рассмотрим разра- щу микромощных схем в гл 14. 8~б2б Операционный усилитель типа 4250 был первым программируемым ОУ, и до сих пор его с успехом применяют во многих системах. Он был разработан фирмой Union Carbide, а сейчас его про- изводят и многие другие фирмы, причем выпускают не только одинарные, но так- же сдвоенные и строенные схемы (8022 и 8023 соответственно) Для того чтобы представить себе, какие характеристики можно получить при малых питающих токах, рассмотрим в качестве примера ОУ типа 4250, который работает с током 10 мкА. Для того чтобы получить такой ток, нужно с помощью внешнего резисто- ра подать ток смещения равный 1,5 мкА. Тогда /ср будет составлять 75 кГц, скорость нарастания будет равна 0,05 В мкс, а входной ток смещения 7СМ равен 3 нА. При малых рабочих токах способность усилителя к возбуждению последующих каскадов резко уменьша- ется, а выходное сопротивление при ра- зомкнутой цепи ОС заметно увеличива- ется и в нашем случае достигает 3,5 кОм. При малых рабочих токах шумовое входное напряжение увеличивается, а шу- мовой входной ток уменьшается (см гл. 7). В технических данных на ОУ типа 4250 указано, что минимальное питающее нап- ряжение для этого усилителя должно составлять 1 В, однако в реальных схемах возможны отклонения от заданного ми- нимума, особенно если усилитель должен обеспечивать большой размах выходного сигнала или обладать способностью к возбуждению последующего каскада Операционный усилитель типа 776 (или 3476) представляет собой усовершенст- вованный ОУ типа 4250. Он обладает лучшими выходными характеристиками при малых токах. Операционный усили- тель типа 346-это хороший программи- руемый ОУ. объединяющий в одном кристалле четыре усилителя Три секции этого ОУ программируются с помощью одного входа, а четвертая-с помощью другого. В некоторых программируемых ОУ использованы обычные биполярные транзисторы, например схемы типа OP-22, OP-32, НА2725 и СА3078. К прог- раммируемым ОУ на КМОП-транзисто-
S S S Си С о кинэьинвйло Э1ЧН(Кхвс1эиЮЭ£ s X W о □ о S s Л а , С= о S 05 г с X Е 5 X S? О-----к CUCUX S О frt rr* , О Таблица 4.3. Высоковольтные операционные усилители
Обратная связь и операционные усилители 227 относятся схемы типа ICL612, TLC251, МС14573 и СА3440. Эти схемы проявляют свои возможности при очень небольшом питаюшем напряжении (до 1 В ДЛЯ TLC251), а для выдающейся схемы тйпа 3440-при токах затухания до 20 нА Схемы типа 7612 и 251 используют видо- измененную схему обычного программи- руемого ОУ; программируемый вход подключается к U+ или Uили остается неподключенным, в зависимости от этого ток затухания будет иметь ту или иную величину (10 мкА, 100 мкА или 1 мА). Помимо рассмотренных выше опера- ционных усилителей существуют также непрограммируемые усилители, предназ- наченные для работы с небольшими то- ками питания и небольшими напряже- ниями, следовательно, их также нужно отнести к микромощным. Среди них сле- дует выделить ОУ типа LM10, для кото- рого полный диапазон питающего напря- жения составляет 1 В (например, + 0,5 В). Эта характеристика заслуживает особого внимания, так как напряжение С'бэ увели- чивается при понижении температуры, и при температуре — 55 °C оно близко к ве- личине 1 В, определяющей нижний предел рабочего диапазона LM10. Среди других микромощных ОУ заслуживают внима- ния следующие схемы (и их рабочие токи): | прецизионные ОУ типа ОР-20 (40 мкА), ОР-90 (12 мкА) и LT1006 (90 мкА), недо- рогой ОУ типа LP324, объединяющий I в одном кристалле 4 усилителя (20 мкА на каждый усилитель), ОУ на основе поле- вых транзисторов с р-п-переходом типа | : LF441/2 4 (150 мкА на каждый усилитель) и ОУ на основе полевых МОП-транзисто- fs ров типа TLC27L4 (10 мкА на каждый усилитель . ПОДРОБНЫЙ АНАЛИЗ РАБОТЫ НЕКОТОРЫХ СХЕМ НА ОУ S * На работу представленных ниже схем су- щественно влияют присущие операцион- усилителям ограничения: рассмот- г Рим их более подробно чем остальные схемы 4.14. Логарифмический усилитель В схеме, представленной на рис. 4.35, логарифмическая зависимость напряже- ния С'бз от тока используется для полу- чения выходного напряжения, пропор- ционального логарифму положительного входного напряжения. Благодаря потен- циальному заземлению инвертирующего входа резистор Rt преобразует напряже- ние Um в ток. Этот ток протекает через транзистор Тг и создает на его эмиттере потенциал, который, согласно уравнению Эберса-Молла, на величину падения нап- ряжения U63 ниже потенциала земли. Транзистор Т2, который работает при фиксированном токе и обеспечивает кор- ректирующее напряжение, равное по ве- личине падению напряжения на диоде, служит для температурной компенсации. Источник тока (роль которого может выполнять резистор, так как потенциал точки В отличается от потенциала земли на несколько десятых долей вольта) за- дает входной ток, служащий для установ- ки выходного напряжения на нуль. Вто- рой операционный усилитель является неинвертирующим, его коэффициент уси- ления по напряжению должен быть равен приблизительно 16, для того чтобы напряжение на выходе изменялось в отно- шении — 1.0 В на декаду входного тока (напомним, что напряжение С'бэ увеличи- вается в отношении 60 мВ на декаду коллекторного тока). Еще несколько деталей: если базу тран- зистора 7j соединить с его коллектором, то базовый ток будет создавать ошибку (дело в том. что ток 7Ж связан точной экспоненциальной зависимостью с напря- жением U63). В этом схеме благодаря потенциальному заземлению напряже- ние на базе равно напряжению на кол- лекторе, однако базовый ток ошибку не создает. В качестве 7] и Т2 следует ис- пользовать согласованную пару тран- зисторов (лучше всего взять согласован- ную монолитную пару типа LM394 или МАТ-01). Такая схема обеспечивает точ- ную логарифмическую зависимость вы- ходного напряжения от входного тока в пределах семи или более декад (прибли- 8*
Таблица 4.4. Мощные операционные усилители Тип оэ к CI о к к m о О С ей к Корпус61 >» X ей Ьй CQ 7ВЫХ, А ± Спит, В Мощность Скорость рассеяния, нараста- -/ср» ТИП., МГц мин. макс. Вт ния, тип., В мкс CQ ч К О Т го о X S сП & О о О е к S ш >> шириВа ПОЛОСЫ пропуска- ния, кГц РАОЗ АР — + + PD 30 15 75 500 10 5 70 РА04А АР — + — PD 20 15 100 200 50 2 90 ОРА512 ВВ — — — 31 15 10 50 125 4 4 20 LM12 NS + — — 3 10 10 40 90 9 0,7 60 ОРА501 ВВ — — — 31 10 10 40 80 1,4 и) 1 16 ОРА512В вв — — — 31 10 10 50 125 4 4 20 ОРА541В вв + + — 31 10 10 40 90 10 2 55 1468 ТР — — — 3 10 10 50 125 4 4 20 РА19А АР — + — 31 5 15 40 70 900 100 3500 ОРА511 ВВ — — — 31 5 10 30 67 1,8 1 23 РА09А АР — + + 31 4 10 40 78 400 75 2500 SG1173 SG + — — 220 3,5 5 25 20 0,8 1 LM675 NS + — — 220 3 8 30 40 8 5,5 LH0101 NS — + — 3 2 5 20 62 10 5 300 3572 ВВ — + — 31 2 15 40 60 3 0,5 16 3573 вв — — — 31 2 10 34 45 1,5 1 23 1 LH0021 NS — — — 3 1 5 15 23 3 1 20 MSK792 КЕ — — + 3 1 5 22 5 2 1 11 1463 ТР — + + 3 1 15 40 40 165 17 1461 ТР - + + PD 0.75 15 40 1200ш) 1000 ш) LH0061 NS + 3 0,5 15 20 70 1000 WAO1A АР — — + 31 0.4 12 16 10 4000 1000 150000 CLC203 CL — — — PD 0,2 9 20 6000 5000 60000, 1460 ТР - - + 3 0,15 15 40 2,5 300 ш) 1000 ш) 1500 3554В вв — + 4- 31 0,15 5 18 5 1200 100 19000 НА2542 НА -г — -г D 0,1 5 15 1,6 375 120 4700 я1 LH4101 NS — — -4- D 0.1 15 4 250 28 - LH4104 NS — -4- + С 0.1 15 2.5 40 18 1480 ТР — + + 3 0.08 15 150 100 20 120 1481 ТР — + + 3 0,08 15 75 15 25 4,5 50 1 СА3450 RC + — + D 0,08 7 1.5 420 190 10000 3583 ВВ — + 31 0,08 40 140 10 30 5 60 ОР-50Е РМ + — + D 0.07 5 18 0,5 3 25 20 . 3580 ВВ — + 31 0,06 15 35 4,5 15 5 100 АМР-01Е РМ + — + D 0,05 5 15 0,5 4,5 1 20 ' 3581 ВВ — + -4- 31 0,03 32 75 4,5 20 5 60 3582'4 ВВ — + + 31 0,02 70 150 4,5 20/150 7 30/135 а| См. примечания к табл. 4.1, 6> З-ТО-З; 220-ТО-220; PD-DIP-коппус для мощного варианта D-DIP-корпус; I-изолированный корпус; С-металлический корпус: в) ограничения по току; Т-темпера ОТ®06
мВ и макс I макс., нА итс нас > ТИП. ^евГ Примечания О Н S г? д га м CU g е S S си <и и f— О мкВ С мкВ/Вт 25 °C т В А мкс % 3 30 20*' 0,05 50 7 30 2 0,1 т + Могучая «рабочая лошад- 5 30 10 ф) 0,02 — 7,5 15 2,5 0,1 в ка» — Высоковольтный 3 40 20 ф) 20 15 7 15 2 0,1 в — Аналог РА-12 7 5 6 50 40 65 50 35*’ 20*' 300 20 30 150 15 8 7 6 10 10 10 2 0,1 13 в в + — Аналог РА-51 2 30 60 0,05 40 4,5 5 2 0,1 в — Монолитный на полевом 6 65 20 ф) 30 6 10 2 0,1 в тр-ре с р-и-переходом 0,5 10 20 ф) 0,05 50 5 4 1,2 0,01 в + Выход на тр-ре с вертикаль- 10 65 20 ф) 40 30 8 5 2 0,1 в ной МОП-структурой широкополосный, преци- зионный — Аналог РА-01 0,5 10 0,02 20 8 2 0,3 0,1 4,5 + Быстродействующий 4 10 3 30 25 ф) ю ф> 25*) 150*’ 500 2 мкА 0,3 300 300 6 10 5 2 3,5 2 2 0,01 3,5 4 В — Аналог РА-02 2 10 3 40 65 25 20*’ 15 0,1 40 100 100 30 35 5 5 4 2 2 1 4 0,1 в в в 4- Аналог РА-07; подобен 3571 для 1 А — Аналог РА-73 — Внешняя коррекция 0.1 5 2 20 ф) — 100 0.2 100 200 3,5 8 1 1 0,25 0,1 в в - Выход на тр-ре с вертикаль- 5 50 - 0,1 100 9 0,5 0,4 0,1 в ной МОП-структурой - Выход на тр-ре с вертикаль- 4 5*> 5*) 100 35 5 0,5 0,8 0,1 в ной МОП-структурой внешняя коррекция — Внешняя коррекция 5 1,5 25 15 Юф) 10 мкА 20 мкА 20 мкА 5 4 0,4 0,2 0,02 15 нс 0,1 0.2 в — С быстрым становлением 5 50 — 10 мкА 6 0,15 1 0,1 0,25 широкополосный, преци- зионный Выход на тр-ре с вертикаль- 1 15 — 0,05 50 5 0,1 0,2 0,01 0,15 ной МОП-структурой, внешняя коррекция — Быстродействующий 10й 15 20 25* 20* 35 мкА 0,5 0,6 500 25 5 0,1 0,1 0.3 0,5 0,1 0,1 0.01 - - Подкорректированный ГК >2) - У LH4105 Ссм < 0,5 мВ 3 3 100 25 — 0,2 0,1 200 100 10 5 0,08 0,08 1,5 7,5 0,01 0,1 0,13 0,13 — Высоковольтный 15 3 0,03 23 — 350 0,02 20 2 10 0,08 0,08 35 нс 12 0,1 0,1 0,1 — Видеоусилитель + Высоковольтный 0,3 - 5 7 е' 2 0,03 30 0,01 0,06 + Низкий уровень шума, пре- 10 0,05 30 0,3 - 0,05 3 50 10 е' 5 2 0,06 0,03 12 15 0,1 0,01 0,1 0,06 цизионный -+ Низкий уровень шума, пре- 3 3 25 25 - 0,02 0,02 20 20 5 5 0,03 0,02 12 12 0,1 0,1 0,05 0,03 цизионныи -г Высоковольтный ^^”чение; E-внешняя регулировка, и) мин, или макс, *' типичное значение, ш) нескорректированный.
230 Глава 4 Обратная связь и операционные усилители 231 зительно от 1 нА до 10 мА) при условии, что транзисторы имеют небольшие токи утечки, а ОУ-малый входной ток смеше- ния. Операционный усилитель типа 741, в котором ток смешения равен 80 нА, для этой схемы не подходит; для получения линейной характеристики в пределах семи декад обычно используют ОУ с полевыми транзисторами на входах, например ОУ типа 411. Кроме того, для получения хо- рошей характеристики при малых вход- ных токах входной ОУ следует точно настроить на нуль сдвига. Дело в том, что при токах, близких к нижнему предельно- му значению напряжение С7ВК может составлять всего несколько десятков мик- ровольт Лучше всего применить в этой схеме источник тока на входе и вообще не использовать резистор Rt. Конденсатор С\ служит для частотной стабилизации при включении обратной связи, так как усиление по напряжению в контуре ОС определяет транзистор Т . Диод Дг предотвращает пробой и разру- шение перехода база - эмиттер транзисто- ра 7j в случае появления отрицательного напряжения на входе; это необходимо, так как транзистор 7j не обеспечивает цепь обратной связи при положительном вы- ходном напряжении операционного уси- лителя. Обе эти проблемы можно устра- нить, если транзистор 7] включить как диод, т. е. соединить его базу с коллекто- ром. Температурная компенсация усиления. Транзистор Т2 компенсирует изменения падения напряжения 17бэ в транзисторе 7\, связанные с изменением температуры ок- ружающей среды, однако изменение наклона графика зависимости напряжения С б_, от тока /ж не компенсируется. В разд. 2.10 мы установили, что зависимость «60 мВ/декада» пропорциональна абсолют- ной температуре. Выходное напряжение в нашей схеме иллюстрируется графиком, представленным на рис. 4.36. Идеальная компенсация обеспечивается в том случае, когда входной ток равен 70-коллекторно- му току транзистора Т2. Изменение тем- пературы на 30 °C вызывает изменение угла наклона графика на 10% и сопро- вождается появлением соответствующей ошибки в выходном напряжении. Единст- венный выход из положения состоит в том, чтобы заменить резистор Т?2 после- довательным соединением обычного ре' зистора и резистора с положительны^ температурным коэффициентом Зная температурный коэффициент резистор3 (например, температурный коэффициент резистора типа TG1/8 фирмы Texas Instruments равен + 0,67%/°С), можно определить сопротивление обычного ре- зистора, который при последовательном соединении обеспечит идеальную компен- сацию Например, к только что упомяну- тому резистору типа TG1/8 с сопротивле- нием 2,7 кОм следует последовательно подключить резистор с сопротивлением 2,4 кОм Промышленность выпускает несколько интегральных логарифмических преобра- зователей. Они обладают очень хороши- ми характеристиками и имеют внутрен- нюю температурную компенсацию Среди фирм-изготовителей назовем Analog De- vices, Burr-Brown, Philbrick, Intersil и Na- tional Semiconductor. Упражнение 4.7. Дополните схему логарифмичес- кого преобразователя следующими элементами: а) внешним источником входного тока; б) цепью темпе- ратурной компенсации с использованием резистора гипа TG1 8 (с температурным коэффициент м рав- НЫм — 0,67% /°C). Подберите компоненты таким об- вазом, чтобы относительное изменение выходного Спряжения составляло = + 1 В на декаду Пре- дусмотри е возможность управления выходным сдви- гом, которая позволяла бы при любом входном токе Устанавлив ть нулевое значение для С7ВЫХ (путем сме- ’Чения инвертирующего усилителя, а не за счет регу- -тировкн тока /0). 4*15. активный пиковыи детектор Многих практических случаях требует- Ся определить пиковое значение входного Колебания В простейшем случае для этой цели можно использовать диод и конден- сатор (рис. 4.37). Наибольшее значение входного колебания заряжает конденса- тор, который сохраняет заряд до тех пор, пока диод смешен в обратном направле- нии. Этот метод имеет серьезные недостат- ки Входной импеданс является перемен- ной величиной, и в момент пиков входно- го колебания он очень мал Кроме того, из-за падения напряжения на диоде эта схема нечувствительна к пикам меньшим 0 6 В. а для больших пиков она дает ошибку (на величину падения напряжения на диоде) Более того, падение напряже- ния на диоде зависит от температуры и протекающего через диод тока, а это значит, что погрешность схемы зависит от температуры окружающей среды и скорости изменения выходного напряже- ния; напомним, что I = C(dU/dt). Исполь- зование на входе эмиттерного повторите- ля позволяет избавиться только от перво- го из перечисленных недостатков. На рис. 4.38 показана улучшенная схе- ма. в которой используется обратная связь. Если напряжение обратной связи снимать с конденсатора, то падение напряжения на диоле не создаст никаких проблем На рис. 4 39 представлен воз- можный вид выходного колебания Ограничения, присущие операционному усилителю, сказываются на этой схеме двояко: а) Конечная скорость нарастания ОУ порождает проблемы даже для отно-
232 Глава 4 Обратная связь и операционные усилители 233 сительно медленно меняющихся входных сигналов. Для большей ясности отметим, что выход ОУ попадает в отрицательное насыщение, когда вход усилителя имеет менее положительный потенциал, чем вы- ход (постарайтесь изобразить напряжение ОУ в виде графика, не забудьте о прямом падении напряжения на диоде). Итак, вы- ход ОУ должен стремиться к величине выходного напряжения (плюс падение напряжения на диоде) тогда, когда вход- ной сигнал начинает превышать уровень выходного. При скорости нарастания 5 это можно грубо описать выражением (Пвых — U-)/S, где U_ -отрицательное напряжение питания, С7ВЫХ-выходное напряжение, б) Входной ток смещения вызывает медленный разряд конденсато- ра (или его заряд в зависимости от знака смещения). Это явление называют иногда «утечкой заряда» и для того, чтобы избе- жать его, лучше всего использовать ОУ с очень малым током смещения По той же причине и диод следует подбирать таким образом, чтобы он имел малую утечку (например, можно использовать диод типа FJT1100, обратный ток которо- го не превышает 1 пА при напряжении 20 В, или «полевой диод» типа PAD-1 фирмы Silicomx или типа ID 101 фирмы Intersil); выходные каскады ОУ должны иметь больший импеданс (лучше всего использовать ОУ на полевых транзисто- рах или ОУ со входами на полевых тран- зисторах в) Максимальный выходной ток ОУ ограничивает скорость изменения напряжения на конденсаторе, иначе гово- ря, скорость, с которой сигнал на выходе отслеживает изменение сигнала на входе. Поэтому при выборе конденсатора при- ходится идти на компромисс между ско- ростью утечки заряда и скоростью н„ растания выходного напряжения Пусть, например, в этой схеме исполь зован ОУ типа 741 (такой выбор нельзя назвать удачным из-за большого Т0Ка смещения, который имеет этот усиля. тель), тогда конденсатор емкостью 1 мкф будет иметь утечку заряда dU/dt - I jq = = 0,08 В/с, а возможная скорость измене- ния напряжения на выходе составит всего dUjdt = ImJC = 0,02 В/мкс. Эта макси- мальная скорость значительно меньще чем скорость нарастания ОУ, равная 0,5 В/мкс, так как она ограничена макси- мальным выходным током, равным 20 мА, который заряжает конденсатор емкостью 1 мкФ. Если уменьшить ем- кость, то можно получить большую ско- рость нарастания на выходе за счет боль- шой утечки заряда. С практической точки зрения в качестве входного усилителя мощности и выходного повторителя го- раздо лучше выбрать ОУ типа LF355 со входами на полевых транзисторах (ток смещения равен 30 пА, выходной ток- 20 мА) и взять конденсатор, имеющий емкость С = 0,01 мкФ При таком сочета- нии компонентов утечка будет составлять всего 0,006 В/с, а скорость нарастания для схемы в целом будет равна 2 В/мкс. Еще лучше характеристики получатся, если взять ОУ на полевых транзисторах типа ОРА111 или AD549, для которых входной ток не превышает 1 нА Характеристики схемы может ухудшить утечка самого конденсатора, даже если используются очень высококачественные конденсаторы, например полистироловые или поликар- бонатные (см. разд. 7 05). Схемные средства устранения влияния утечки диода. Очень часто разумно построенная схема помогает разрешить проблемы, создаваемые отклонениями схемных компонентов от идея тткных Та- кой способ преодоления трудностей, с од- ной стороны, доставляет удовольствие разработчику, а с другой-дает экономи- ческий эффект. Рассмотрим здесь некото- рые примеры (этим вопросам посвящена гл. 7). Допустим, нам нужен высококачествен- ный пиковый детектор, обладающий мак- симальным отношением скорости на- растания на выходе схемы к спаду верши- ны импульса. Если в схеме пикового де- тектора использованы ОУ с самыми ма- лыми входными токами (в некоторых ОУ ток смешения равен всего 0,01 пА), то спад вершины импульса будет опреде- чяться утечкой диода, так как токи утечки самых хороших диодов (см. табл. 1.1) превышают столь малые токи смещения ОУ На рис. 4.40 показана разумно составленная схема Как и прежде, напря- жение на конденсаторе повторяет входное колебание на интервале его увеличения: интегральная схема ИС\ заряжает конден- сатор через оба диода, а выходное напря- жение схемы ИС 2 не оказывает на этот процесс никакого влияния Когда значе- ние входного напряжения становится меньше пикового, ИС переходит в режим насыщения а ИС2 поддерживает напря- жение в точке X равным напряжению на конденсаторе и полностью устраняет утечку в диоде Д2. Небольшой ток утечки Диода Дг протекает через резистор Rt 0 создает на нем пренебрежимо малое падение напряжения Безусловно, оба ОУ Должны иметь очень малые токи смеще- ния Неплохо остановить свой выбор на ОУ типа ОРАШВ, в котором высокая точность (17см = 250 мкВ, максимальное значение) сочетается с небольшим вход- ннни током (1 пА, максимальное значе- ние)- Эта схема является аналогом схемы защиты используемой для высокоомных ИДИ малосигнальных измерений. Отметим что входные ОУ в рассмот- ренных схемах пиковых детекторов большую часть времени находятся в ре- жиме отрицательного насыщения и выхо- дят из него, только при условии, что уровень сигнала на входе превысил пико- вое напряжение, сохраненное конденсато- ром. Однако, судя по схеме активного выпрямителя (разд. 4.10), выход из насы- щения может занять существенное время (например, для схемы типа LF411 он составляет 1-2 мкс). В связи с этим может получиться так, что круг ваших интересов будет ограничен только операционными усилителями с высокой скоростью на- растания. Сброс пикового детектора. На практике обычно желательно тем или иным спосо- бом производить сброс выхода пикового детектора. Один из способов состоит в подключении к выходу схемы резистора, благодаря которому напряжение на выхо- де затухает с постоянной времени RC. При этом схема «запоминает» только последние пиковые значения. Более со- вершенный способ состоит в подключе- нии к конденсатору С транзисторного пе- реключателя; выход схемы сбрасывается в нуль за счет поданного на базу коротко- го импульса. Как показано на рис. 4.38 к конденсатору можно подключить «-ка- нальный полевой МОП-транзистор; в тот момент, когда потенциал затвора стано- вится положительным, конденсатор сбра- сывается в нуль. 4.16. Выборка-запоминание Схеме детекторного повторителя близка схема выборки-запоминания Эти схемы широко распространены в цифровых системах, где требуется осуществлять преобразование аналоговых напряжений в цифровые значения, с которыми рабо- тает компьютер. Чаще всего производит- ся захват и фиксация напряжения (напря- жений), само же преобразование выпол- няется в дальнейшем Основными компо- нентами схемы выборки-запоминания яв- ляются операционный усилитель и пе- реключатель на полевом транзисторе; суть схемы поясняет рис. 4.41, a). HCj- это повторитель предназначенный для формирования низкоомного отображения
234 Глава 4 Напряжение на конденса- торе Вход Выход Вход схемы 8 выборка- —о- хранение <>6 0.001 мкФ (внешний элемент) Рис. 4 41. Схема выборки-запомина- ния. а-стандартная конфигурация, форма сигнала утрирована; б-интег- ральная схема LF398- схема выбор- ки-запоминания на одном кристалле. входного сигнала Транзистор 7\ пропус- кает сигнал во время «выборки» и блоки- рует его прохождение в момент «запоми- нания» Конденсатор С запоминает сиг- нал таким, как он был в момент выключе- ния транзистора 7j. ИС2-это повтори- тель с большим входным импедансом (со входами на полевых транзисторах), бла- годаря чему минимизируется ток через конденсатор во время «запоминания». Величина С выбирается, исходя из компромисса ток утечки в и повтори- теле вызывает спад напряжения на кон- денсаторе С во время запоминания в соответствии с выражением dU/dt = = /утечки/С. В связи с этим для минимиза- ции спада конденсатор С должен быть большим Однако, сопротивление тран- зистора Тг во включенном состояний образует в сочетании с конденсатором С фильтр низких частот. В связи с этим конденсатор С должен быть небольшим, тогда высокочастотные сигналы не буДУ1 искажаться ИС должна обеспечивать
Обратная связь и операционные усилители 235 ток заряда конденсатора С-1 = CdU/dt должна обладать достаточной ско- Bjcrbio нарастания для повторения вход- дого сигнала На практике скорость на- пастания всей схемы обычно ограничи- вается выходным током ИС! и сопротив- лением транзистора 7j во включенном состоянии Упражнение 4.8. Допустим, что схема I4Cj дает выходной ток, равный 10 мА; С = 0,01 мкФ. При какой максимальной скорости нарастания сигнала на входе схема может в точности повторять входной сигнал? Чему равна выходная ошибка, если сопротив- зение транзистора 7\ во включенном состоянии сосгавляе 50 Ом, а входной сигнал нарастает со скоростью 0,1 В/мкс? Чему равна скорость спада в состоянии «запоминания», если ток утечки тран- зистора Т, и ИС2 составляет 1 нА? Как в схеме выборки-запоминания, так и в схеме пикового детектора ОУ управ- ляет емкостной нагрузкой. При разработ- ке подобных схем помните, что для них нужен ОУ, обладающий стабильностью при единичном коэффициенте усиления и емкостной нагрузке. Некоторые ОУ (например типа LF355/6) предназначены специально для работы непосредственно на большую емкостную нагрузку (0,01 мкФ) Другие практические приемы мы обсудим в разд. 7.07 (см. рис. 7.17). Разрабатывать схемы выборки-запоми- нания нет необходимости, т. к. промыш- ленность выпускает прекрасные ИС, ко- торые включают в себя все необходимые элементы за исключением конденсатора. Широко используется схема типа LF398 фирмы National; в недорогом корпусе с 8 выводами заключен переключатель на полевом транзисторе и два ОУ. Рис. 4 41, б), показывает, как воспользоваться этой схемой Обратите внимание, что петля обратной связи охватывает оба ОУ Су- ществует множество интегральных схем сборки-запоминания, обладающих ха- рактеристиками, лучшими, чем у LF398; например, схема типа AD585 фирмы Analog Devices включает в себя внутрен- ний конденсатор и гарантирует макси- мальное время захвата 3 мкс при точ- ности 0,01% для сигнала в виде ступени Величиной 10 В. ДИЭЛЕКТРИЧЕСКОЕ ПОГЛОЩЕНИЕ Конденсаторам присущи недостатки Прежде всего это-утечка (параллельное сопротивление), последе» вательное сопротивление и индуктивность, ненулевой температурный коэффициент. Реже вспоминают про диэлектрическое поглощение-явление, которое очень ярко проявляет себя в следующей ситуации: возьмем большой танталовый конденсатор, заряженный до напряжения 10 В и быстро его разрядим, подключив к его выводам резистор 100 Ом. Удалим резистор и понаблюдаем за напряжением на конденсаторе с помощью вольтметра с большим импедансом Представьте себе, что напряжение на конденсаторе будет восстанавливаться, и за несколько секунд достигнет величины примерно 1 В. Явление диэлектрического поглощения (диэлект- рической памяти) недостаточно изучено, полагают, что оно связано с остаточной поляризацией диэлект- рического вещества; особенно плохим в этом отноше- нии является такой диэлектрик, как слюда с присущей ей слоистой структурой. С точки зрения схемы доба- вочная поляризация проявляет себя так, как если бы к выводам конденсатора подключили ряд последова- тельных -RC-цепочек (рис. 4 42, а) с постоянными времени в диапазоне от ~ 100 мкс до нескольких секунд. По свойству диэлектрического поглощения диэлектрики существенно отличаются друг от друга; графики на рис. 4.42, б, отражают зависимость сохра- няемого напряжения от времени для нескольких вы- сококачественных диэлектриков после воздействия на них сигнала в виде ступени с амплитудой 10 В и дли- тельностью 100 мкс Диэлектрическое поглощение может порождать серьезные ошибки в интеграторах и других аналого- вых схемах, которые рассчитаны на идеальные харак- Рис. 4.42. Диэлектрическое поглощение в кондесато- рах а-модель, б-зафиксированные изменения для некоторых диэлектриков (по фирменной документа- ции Hybrid Systems HS9716).
236 Глава 4 теристики конденсаторов. Если, например, к схеме выборки-запоминания подключена схема аналого- цифрового преобразования, то диэлектрическое поглощение может привести к ужасающим результа- там. В подобных случаях конденсаторы нужно выби- рать как можно тщательней (с этой точки зрения наилучшим диэлектриком является тефлон), лишний раз подвергая свой выбор сомнению. В особых слу- чаях можно прибегнуть и к компенсационным схемам, в которых влияние диэлектрического поглощения конденсатора электрически устраняют с помощью тщательно настроенных 7?С-цепочек. Такой подход используется в некоторых высококачественных моду- лях выборки-запоминания, производимых фирмой Hybrid Systems. 4.17. Активный ограничитель На рис. 4.43 показан активный ограничи- тель, который представляет собой один из вариантов схемы, рассмотренной в гл. 1. Для показанных на схеме величин компо- нентов напряжение на входе, отвечающее условию L BX <4-10 В, приводит выход ОУ в состояние насыщения, и выполняет- ся условие С'вых = 17вх. Когда напряжение [7ВХ превышает 10 В, диод замыкает цепь обратной связи и фиксирует на выходе значение 10 В В этой схеме конечная скорость нарастания ОУ является причи- Рис. 4.44 ной появления небольших искажений (выбросов) в выходном сигнале, которые возникают в тот момент, когда входное напряжение в процессе нарастания дости. гает значения напряжения фиксации (рИс 4 44). 4.18. Схема выделения модуля абсолютного значения сигнала Схема, показанная на рис. 4.45, позволяет получать на выходе положительное напряжение, равное абсолютной величине входного сигнала; она представляет собой двухполупериодный выпрямитель. Как обычно, операционные усилители с цепью обратной связи устраняют влияние паде- ний напряжения на диодах, характерное для пассивного выпрямителя. Упражнение 4.9. Объясните, как работает схема, показанная на рис. 4.45. Подсказка: сначала на вход нужно подать положительное напряжение и посмот- реть, что будет, а затем-отрицательное напряжение. На рис. 4.46 показана еще одна схема определения абсолютного значения. Она представляет собой сочетание вспомога- тельного инвертора (ИСг) и активного ограничителя (ИС2 ). При положительных уровнях входного напряжения ограничи- тель не влияет на работу схемы, его выход находится в насыщении, и в результате ИС\ работает как инвертор с единичным коэффициентом усиления. Таким обра- зом, выходное напряжение по абсолютно- Рис. 4.45. Активный двухполупериодный выпр®*®‘ тель
Обратная связь и операционные усилители 237 му значению равно входному. При отри- цательных уровнях входного напряжения ограничитель поддерживает в точке X напряжение, равное потенциалу земли, и при этом ИС\ работает как инвертор с единичным коэффициентом усиления. Таким образом, выходное напряжение равно абсолютной величине входного напряжения Если ИС2 запитывается от единственного источника положительного напряжения, то отпадают проблемы, свя- занные с конечной скоростью нарастания, так как напряжение на выходе ограничи- теля изменяется лишь в пределах падения напряжения на диоде. Отметим, что от резистора 7?3 высокая точность не тре- буется. 4-19. Интеграторы На основе операционных усилителей можно строить почти идеальные интегра- торы на которые не распространяется ограничение 17вых« Um. На рис 4.47 пока- зана такая схема. Входной ток 1/вх//? про- текает через конденсатор С. В связи с тем что инвертирующий вход имеет потен- циальное заземление, выходное напряже- ние определяется следующим образом: UBJR = - C(dUmx/dt) или ивых = 1 . =----J L'BX dt + const. Безусловно, вход- RC ным сигналом может быть и ток, в этом случае резистор R не нужен. Представлен- ной здесь схеме присущ один недостаток, связанный с тем, что выходное напряже- ние имеет тенденцию к дрейфу, обуслов- ленному сдвигами ОУ и током смещения (обратной связи по постоянному току, которая нарушает правило 3 из разд. 4.08, здесь нет). Это нежелательное явление можно ослабить, если использовать ОУ на полевых транзисторах, отрегулировать входное напряжение сдвига ОУ и выбрать большие величины для R и С. Кроме того, на практике часто прибегают к периоди- ческому сбросу в нуль интегратора с по- мощью подключенного к конденсатору переключателя (обычно на полевом тран- зисторе), поэтому играет роль только кратковременный дрейф. В качестве при- мера рассмотрим интегратор, в котором использован ОУ на полевых транзисторах типа LF411 (ток смешения составляет 25 пА), настроенный на нуль (напряжение сдвига составляет не более 0,2 мВ). Ре- зистор и конденсатор выбраны так: R = - 10 МОм и С = 10 мкФ; для такой схемы дрейф не превышает 0,005 В за 1000 с. Если остаточный дрейф по-прежнему слишком велик для конкретного случая использования интегратора, то к конден- сатору С следует подключить большой резистор R2, который обеспечит стабиль- ное смещение за счет обратной связи по постоянному току. Такое подключение приведет к ослаблению интегрирующих свойств на очень низкой частоте: f< < 1/R2C. На рис. 4.48 показаны интегра- торы, в которых использованы переклю- чатели для сброса на полевых транзисто- рах и резистор стабилизации смешения. В схемах такого типа может потребовать- ся резистор обратной связи с очень боль- шим сопротивлением На рис. 4.49 пока-
238 Глава 4 Обратная связь и операционные усилители 239 Рис. 4.48. Интеграторы на основе ОУ с переключателями для сброса. зан прием, с помощью которого большое эффективное значение сопротивления об- ратной связи создается за счет резисторов с относительно небольшими сопротивле- ниями. Представленная цепь обратной связи работает как один резистор с сопро- тивлением 10 МОм в стандартной схеме инвертирующего усилителя с коэффи- циентом усиления по напряжению, рав- ным —100. Достоинство этой схемы состоит в том, что она позволяет исполь- зовать удобные сопротивления резисто- ров и не создает опасности из-за влияния паразитной емкости, которую всегда нуж- но учитывать при работе с большими резисторами. Отметим, что в схеме идеального преобразователя тока в напряжение (разд. 4.09) описанный выше прием может привести к увеличению эф- фективного входного напряжения сдвига Например, если схема, показанная на рис. 4.49, подключена к источнику с большим импедансом (скажем, на вход поступает ток от фотодиода и входной резистор опушен), то выходной сдвиг будет в 100 раз превышать 17сяв. Если в той же схеме есть резистор обратной связи величиной 10 МОм, то выходное напряжение равно 17СДв (сдвигом, обусловленным входным током, можно пренебречь). Схемная компенсация утечки полевого транзистора. Рассмотрим интегратор с переключателем на полевом транзисторе (рис 4.48). Ток утечки перехода сток-ис ток протекает через суммирующий пере ход даже в том случае, когда полевой транзистор находится в состоянии ВЫКЛ Эта ошибка может быть преобла- дающей в интеграторе в случае использо- вания операционного усилителя с очень малым входным током и конденсатора с небольшой утечкой. Например, пре- восходный «электрометрический» ОУ ти- па AD549 со входами на полевых тран- зисторах обладает входным током вели- чиной 0,06 пА (максимум), а высокока- чественный металлизированный тефлоно- вый или полистироловый конденсатор емкостью 0,01 мкФ обладает сопротивле- нием утечки величиной 10 МОм (мини- мум). При таких условиях интегратор без учета схемы сброса, поддерживает на сум- мирующем переходе прямой ток величи- ной ниже 1 пА (для худшего случая, когда выходной сигнал составляет 10 В двойной амплитуды), что соответствует величине изменения dU/ dt на выходе, равной Рис. 4.49. Рис 4.50. 0,01 мВ с. Для сравнения посмотрите, че- му равна утечка такого популярного МОП-транзистора, как например 2N4351 (в режиме обогащения). При 1/ист _ сток = 10 В и С,'затв_ист = О В максимальный ток утечки равен 10 нА. Иными словами утечка полевого транзистора в 10000 раз больше, чем утечка всех остальных эле- ментов, взятых вместе. На рис. 4 50 показано интересное схем- ное решение Оба л-канальных МОП- транзистора переключаются вместе, од- нако транзистор Ту переключается" тогда, когда напряжение на затворе равно нулю и +15 В, при этом в состоянии ВЫКЛ напряжение на затворе равно нулю) утеч- ка затвора (а также утечка перехода сток-исток) полностью исключается. В состоянии ВКЛ конденсатор, как и преж- де. разряжается, но при удвоенном R^ В состоянии ВЫКЛ небольшой ток утеч- й транзистора Т2 через резистор R2 кает на землю, создавая пренебрежимо Малое падение напряжения Через сумми- рующий переход ток утечки не протекает так как к истоку, стоку и подложке тран- зистора Т приложено одно и тоже напря- жение Сравните эту схему со схемой пи- кового детектора с нулевой утечкой, при- еденной на рис. 4 40. 4.20. Дифференциаторы Дифференциаторы подобны интеграто- рам, в них только меняются местами резистор R и конденсатор С (рис. 4.51). Инвертирующий вход ОУ заземлен, по- этому изменение входного напряжения с некоторой скоростью вызывает появление тока I = C(dUm/dt), а следовательно, и выходного напряжения l/BX = — RC х х(Л7вх/Л). Дифференциаторы имеют стабилизированное смещение, неприят- ности создают обычно шумы и неста- бильность работы на высоких частотах, что связано с большим усилением ОУ и внутренними фазовыми сдвигами. В связи с этим следует ослаблять дифферен- цирующие свойства схемы на некоторой максимальной частоте. Обычно для этого используют метод, который показан на рис. 4.52. Компоненты и С2, с по- мощью которых создается спад, выби- рают с учетом уровня шума и ширины полосы пропускания ОУ На высоких частотах благодаря резистору Ry и кон- денсатору С2 схема начинает работать как интегратор.
240 Глава 4 РАБОТА ОУ С ОДНИМ ИСТОЧНИКОМ ПИТАНИЯ Для работы операционного усилителя не требуется иметь стабилизированные ис- точники питания + 15 В. Можно исполь- зовать расщепленные источники более низкого напряжения или несимметричные источники (например, + 12 В и — 3 В), которые обеспечивают полный диапазон напряжения питания (U+ — U_), согласно спецификации ОУ (см. табл. 4.1). Часто подходящими оказываются нестабилизи- рованные источники напряжения, так как благодаря отрицательной обратной связи обеспечивается высокое значение коэффи- циента ослабления влияния напряжения источника питания (для ОУ типа 411 ти- пичным является значение 90 дБ). Во мно- гих случаях бывает удобно, чтобы ОУ работал от одного источника питания, например + 12 В Это можно делать и с обычным ОУ, создав «искусственное опорное напряжение» относительно зем- ли, если позаботиться об обеспечении ми- нимально необходимого питания, обеспе- чивающего диапазоны выходного и вход- ного синфазного напряжения. В некото- рых современных операционных усилите- лях во входной и выходной диапазоны входит и напряжение отрицательного ис- точника (т. е. потенциал земли при работе с одним источником питания). Для таких ОУ возможность работы с одним источ- ником особенно заманчива благодаря простоте. Однако имейте в виду, что наи- более распространено использование расщепленных симметричных источников питания. 4.21. Смешение усилителен переменного тока, использующих один источник пита- ния. Для операционных усилителей обще- го назначения типа 411 размах напряже- ния на входах и на выходе обычно меньше диапазона напряжения питания (по абсо- лютной величине) на 1,5 В. Если вывод U _ соединить не с источником напряже- ния, а с землей, то ни на входе, ни на выходе напряжение не будет равно потен- циалу земли. Если же создать опорное напряжение (равное, например, 0,5 U +), то с его помощью можно сместить ОУ, Рис. 4.53. и он будет работать так, как требуется (рис. 4.53). Эта схема представляет собой усилитель звуковых частот с усилением 40 дБ. Опорное напряжение Uon = 0,5 U+ обеспечивает полный размах выходного напряжения, равный приблизительно 17 В от пика до пика (около 6 В эфф) без среза вершин сигнала. Конденсаторы на входе и выходе блокируют уровень напря- жения постоянного тока, равный 1/оп. 4.22. Операционные усилители с одним источником питания. Существует такой класс операционных усилителей, который допускает работу с одним источником положительного напряжения питания. Это связано с тем, что входные напряже- ния могут изменяться вплоть до предель- ного отрицательного значения (обычно привязанного к потенциалу земли). В этом классе в свою очередь можно выде- лить два типа в зависимости от возмож- ностей выходного каскада: в усилителях первого типа размах выходного напряже- ния ограничен снизу значением Uв уси- лителях второго типа-двумя значениями напряжения питания: 1. Операционный усилитель типа LM324 (четыре ОУ в одной ИС)/ЬМ358 (два ОУ в одной ИС), LT1013 и TLC270. Для этих схем нижний предел диапазона входного
Обратная связь н операционные усилители 241 синфазного сигнала на 0,3 В ниже, чем []_, а размах выходного напряжения ог- раничен снизу значением напряжения U _ . Как на входах, так и на выходе предель- ное значение напряжения на 1,5 В меньше, чем напряжение U+. Если требуется, что- бы входной диапазон был ограничен зна- чением U+, то лучше использовать ОУ типа LM301/307, ОР-41 или 355; пример использования такого типа ОУ приведен в разд. 6.24. посвященном обсуждению источников постоянного тока. Для того, чтобы понять некоторые тонкости построения таких ОУ, полезно обратиться к принципиальной схеме (рис. 4.54). Она представляет сооой дифференциальный усилитель; в качестве активной нагрузки входного каскада использовано токовое зеркало выходной каскад является двух- тактным и обеспечивает ограничение вы- ходного тока. Запомните следующие ос- новные моменты (напряжение U_ будем называть землей): Входы использование на входе р-п-р- с*₽уктуры приводит к тому, что размах напряжения ограничен снизу значением, которое на 0,3 В ниже потенциала земли пРи превышении этого предела на любом и входов состояние выхода становится НепРедсказуемым (например, напряжение На выходе может стать отрицательным). Выход: транзистор Т13 работает при низ- ком выходном напряжении и может при- нять большой втекающий ток, однако он способен удержать выходное напряжение только на уровне падения напряжения на диоде относительно земли Более низкие напряжения обеспечивает приемник тока на 50 мкА: это означает, что при низких уровнях выхода (близких к 0 В) нельзя использовать нагрузку, через которую в схему пойдет ток, больший 50 мкА, в про- тивном случае напряжение на выходе не сможет приблизиться к потенциалу земли более чем на величину падения напряже- ния на диоде. Даже при использовании «хорошей» нагрузки (например, в виде разомкнутой цепи) приемник тока не может приблизить выходное напряжение к потенциалу земли ботее чем на величину напряжения насыщения (0,1 В). Если надо, чтобы выходное напряжение бы ю в точ- ности равно потенциалу земли, то нагруз- ка должна отбирать небольшой ток; это может быть, например, заземленный ре- зистор. В последнее время к семейству операционных усилителей с одним источ- ником питания со входами на транзисто- рах /?-и-р-типа добавились следующие схемы: прецизионные ОУ типа LT1006 и LT1014 (в одном корпусе один и четыре ОУ, соответственно), микромощные ОУ
242 Глава 4 типа ОР-20 и ОР-90 (в обоих случаях в одном корпусе один ОУ), и LP324 (в одном корпусе четыре ОУ) Примеры схем с этими операционными усилителями будут приведены после того, как мы рассмотрим еще один тип ОУ, работающий с одним источником пита- ния. 2. Операционный усилитель типа LM10 (на биполярных транзисторах) или СА5130/5160 (на полевых МОП-тран- зисторах). В выходных каскадах этих ОУ используют комплементарные полевые транзисторы Когда они полностью открыты, то их сопротивление, включен- ное между выходом и источником пита- ния (U+ или U _), мало Следовательно, размах выходного напряжения ограничен значениями напряжения источников пита- ния Кроме того, напряжение на входах может становиться ниже напряжения U _ на 0,5 В. В отличие от ОУ типа LM10, для ОУ типа СА5130 и 5160 полный диапазон питающего напряжения может составлять не более 16 В, а диапазон входного син- фазного напряжения ограничен значе- ниями + 8 В В большинстве операцион- ных усилителей на КМОП-транзисторах размах выходного напряжения ограничен значениями питающих напряжений, одна- ко нужно иметь в виду, что существуют такие семейства ОУ, в которых размах ограничен значением одного питающего напряжения Отметим также, что входной диапазон большинства ОУ на КМОП- транзисторах, также как ОУ на биполяр- ных транзисторах, включает по крайней мере одно значение напряжения питания Например в популярной серии интег- ральных схем TLC27 хх фирмы TI размах входного и выходного напряжения огра- ничен только отрицательным значением напряжения питания, в тоже время в ОУ типа LMC660 фирмы National, в серии схем типа ICL76xx фирмы Intersil и в ОУ на КМОП-транзисторах фирмы RCA вы- ходной размах ограничен двумя значе- ниями напряжения питания (правда, вход- ной диапазон синфазного сигнала ограни- чен только отрицательным значением напряжения питания) Особое место среди ОУ занимают КМОП-операционные уси- Рис. 4.55. Фотометр с одним источником питания. лители типа ICL612 и AD1701/2, в кото- рых и входной, и выходной диапазоны ограничены двумя значениями напряже- ния питания. Пример: фотометр с одним источником питания. На рис 4 55 показана типичная схема, в которой удобно использовать один источник питания Подобную схему мы уже рассматривали выше, когда зна- комились с преобразователями тока в напряжение В связи с тем, что схему солнечной батареи можно с успехом ис- пользовать в портативных приборах для измерения светового потока, а также по- тому, что выходное напряжение может быть только положительным, само собой напрашивается желание использовать для этой схемы один источник питания в виде электрической батарейки. Резистор Ri устанавливает размах выходного напря- жения равным 5 В при входном фототоке величиной 0,5 мкА В этой схеме нет необходимости регулировки напряжения смешения, так как неотрегулированное смещение величиной 10 мВ соответствует пренебрежимо малому показанию фото- метра, величиной 0,2% полного размаха шкалы. Интегральная схема типа TLC251 представляет собой недорогой микро- мощный (питающий ток равен 10 мкА) ОУ на КМОП-транзисторах, в которой размах входного и выходного напряжения ограничен отрицательным значением напряжения питания Благодаря неболь- шому входному току (типичное значе-
Обратная связь и операционные усилители 243 ние -1 пА при комнатной температуре), эта схема подходит для приложений, по- добных описанному здесь, в которых ис- пользуется слабый ток. Отметим, что при использовании биполярного ОУ схема будет лучше работать при низких уровнях света если фотодиод подключить так, как показано на рис. 4.94, л. Если вы имеете дело с операционными усилителями с одним источником пита- ния будьте внимательны к заявлениям о выходном размахе, ограниченном отри- цательным значением напряжения пита- ния (потенциалом земли). На самом деле существуют четыре типа выходных каска- дов, выходной размах которых ограничен потеициссюм земли, но все они обладают Разными свойствами (рис. 4.56): (а) опе- рационные усилители с КМОП-тран- зисторами на выходе на самом деле оеспечивают размах, ограниченный зна- чениями питающих напряжений; такой Каскад может дотянуть выходное напря- жение до потенциала земли, даже если хема отбирает небольшой ток. В качест- ве примера назовем схемы типа ICL/бхл ^МСббО и СА5160 (б) Аналогично ведут себя операционные усилители с тран- зистором с общим эмиттером п-р-п-ти- па, на который подан потенциал земли, т. е. выходное напряжение ограничено по- тенциалом земли, даже если отбирается ток. Примерами служат схемы типа LM10, СА5422 и LT1013/14 Оба типа выходных каскадов могут, конечно, рабо- тать на разомкнутую схему или на нагруз- ку, которая отбирает ток на землю (в) В некоторых ОУ, таких как 358 или 324, используется подключенный к «земле» повторитель р-и-р-типа (размах которо- го ограничен потенциалом земли в преде- чах нескольких падений напряжения на диоде), соединенный параллельно со схе- мой на транзисторах н-р-и-типа, потреб- ляющей ток (отбирающей ток на землю). В схеме типа 358 внутренний потребляе- мый ток установлен равным 50 мкА. В этой схеме размах выходного напряжения ограничен точно потенциалом земли при условии, что отбираемый из нагрузки ток не превышает 50 мкА. Если же нагрузка порождает больший ток, то выходной размах ограничен потенциалом земли в пределах падения напряжения на диоде.
244 Глава 4 Рис. 4.57. Подключение нагрузки к ОУ с одним источ- ником питания. Для всех вариантов схем с одним источником питания (а-г) размах выходного напря- жения ограничен потенциалом земли, при условии, что схема является источником тока. Для некоторых вариантов схем (а и б) размах выходного напряжения ограничен потенциалом, близким к потенциалу земли, при этом схемы потребляют ток средней или значи- тельной величины; схема в может потреблять ток величиной до 50 мкА. а для схемы г требуется нагру- зочный резистор, соединенный с землей, тогда схема будет работать с напряжением, близким к потенциалу земли. Как и прежде, выходная схема этого типа успешно работает источником тока на заземленную нагрузку (как в примере с фотометром), (г) И наконец, в некоторых ОУ с одним источником питания (напри- мер, типа ОР-90) используется подклю- ченный к «земле» повторитель р-п-р-ти- па без параллельного потребителя ток Такой выходной каскад может иметь п 3 мах, ограниченный потенциалом зекЛ только в том случае, если нагрузка могает», потребляя ток, т.е подключен к земле. Если вы захотите использова1! такой ОУ с нагрузкой, которая представ, ляет собой источник тока, вам придетСя включить в схему внешний резистор, СВя занный с «землей» (рис. 4.57). Предостережение: было бы неправиль- но считать, что выходной размах любого ОУ можно ограничить отрицательным значением напряжения питания, если1 подключить внешний потребитель тока В большинстве случаев схема, управляю- щая выходным каскадом не допускает этого. Внимательно изучайте документа- цию на схему! Пример: усилитель постоянного тока с одним источником питания. На рис. 4.58 показан типичный неинвертируюший уси- литель с одним источником питания, предназначенный для усиления входного сигнала положительной полярности. Входное, выходное напряжение и положи- тельное напряжение питания измеряются относительно потенциала земли, которая служит в качестве отрицательного напря- жения питания для ОУ. Выходной «спус- кающий» резистор может потребоваться только в усилителях, отнесенных нами к первому типу, для обеспечения размаха, ограниченного потенциалом «земли»; эту1 функцию может выполнить цепь обрат- ной связи или сама нагрузка. Важный момент: запомните, что выходное напря- жение не может быть отрицательным:
Обратная связь н операционные усилители 245 едовательно, этот усилитель нельзя ис- СЛдьзовать, скажем, для звуковых радио- ^налов переменного тока С Операционные усилители с одним ис- очником питания незаменимы в обору- овании, использующем питание от ба- тареек. К этой теме мы еще обратимся в гл. 14- КОМПАРАТОРЫ И ТРИГГЕР ШМИТТА Очень часто бывает нужно установить, какой из двух сигналов больше, или опре- делить когда сигнал достигнет заданного значения Например, при генерации треугольных колебаний через конденса- тор пропускают положительный или от- рипательный ток, полярность тока изме- няют в тот момент, когда амплитуда достигает заданного пикового значения. Другим примером служит цифровой вольтметр. Для того чтобы преобразо- вать напряжение в код, на один из входов компаратора подают неизвестное напря- жение, а на другой-линейно-нарастаю- шее напряжение (конденсатор + источник тока). Цифровой счетчик подсчитывает периоды генератора, пока линейно-на- растающее напряжение меньше, чем неиз- вестное; в момент равенства амплитуд производится считывание результата, по- лученного на счетчике. Результат пропор- ционален входному напряжению. Такое преобразование называют интегрирова- нием с одним углом наклона; в более сложных приборах используют интегри- рование с двумя углами наклона (см Разд 9 21). ^•23. Компараторы ^Ростейин- компаратором является дифференциальный усилитель с большим коэффициентом усиления, построенный Ва основе транзисторов или операцион- аых усилителей (рис. 4.59). В зависимости от знака разности входных напряжений операционньш усилитель оказывается в По ложит ельном или отрицательном на- СЬ)Шении Коэффициент усиления по Напряжению обычно превышает 100 000, Поэтому, для того чтобы выход усилителя не насыщался, напряжение на входах должно быть равно долям милливольта. Хотя в качестве компаратора можно ис- пользовать (а часто и используют) обыч- ный операционный усилитель, промыш- ленность выпускает специальные интег- ральные схемы, предназначенные для ис- пользования в качестве компараторов. К ним относятся, например, интеграль- ные схемы типа LM306, LM311, LM393, NE527 и TLC372. Эти кристаллы обла- дают очень высоким быстродействием и даже не принадлежат к семейству опера- ционных усилителей. Например, для схе- мы типа NE521 скорость нарастания составляет несколько тысяч вольт в 1 мкс. Для компараторов обычно не исполь- зуют термин «скорость нарастания», вместо этого говорят о задержке расп- ространения относительно сигнала, за- данного на вход. Выходные каскады компараторов обычно обладают большей гибкостью в применениях, чем выходные каскады операционных усилителей. В обычном ОУ используют двухтактный выходной кас- кад, который обеспечивает размах напря- жения в пределах между значениями нап- ряжения питания (например. + 13 В для ОУ типа 741, работающего от источников + 15 В); в выходном каскаде компаратора эмиттер, как правило, бывает заземлен и выход снимается с «открытого коллек- тора». С помощью внешнего резистора «притяжения» (это название просто заимствовано из другой области), подключенного к источнику напряжения, можно сделать так, чтобы сигнал на вы- ходе изменялся в пределах, скажем, от + 15 В до потенциала земли. В дальней- шем вы увидите, что для логических схем точно определяются значения напряжений сигналов, с которыми они должны рабо- тать; описанная схема подошла бы для
246 Глава 4 Обратная связь н операционные усилители 247 управления логическими схемами типа ТТЛ, получившими широкое распростра- нение в цифровой электронике. Такая схе- ма изображена на рис 4.60. Напряжение на выходе переключается с уровня + 5 В на уровень потенциала земли когда напряжение на входе становится отрица- тельным Эта схема представляет собой пример использования компаратора для аналого-цифрового преобразования Это первый для нас пример схемы с открытым коллектором, прочитав гл. 8—11, вы увидите что такую конфигу- рацию очень часто используют в логичес- ких схемах. При желании можно предста- вить, что внешний «притягивающий» ре- зистор дополняет внутреннюю схему компаратора и выступает в качестве кол- лекторной нагрузки для выходного тран зистора и-р-и-типа В связи с тем что выходной транзистор работает как насы- щенный или разомкнутый переключатель, строгих требований к величине сопротив- ления резистора не предъявляют-обычно сопротивление выбирают в диапазоне от нескольких сотен до нескольких тысяч ом; небольшие величины сопротивления обеспечивают большую скорость пере- ключения и повышают помехоустойчи- вость, правда за счет увеличения рассеи- ваемой мощности. Между прочим несмотря на то что компараторы очень похожи на операционные усилители, в них никогда не используют отрицательную обратную связь, так как она понижает стабильность работы этих устройств (см разд. 4.32-4.34). В то же время положи- тельную обратную связь используют часто, вы убедитесь в этом, прочитав следующий раздел. Некоторые пояснения по компараторам Запомните: а) в связи с тем что в схеме не отрицательной обратной связи она / подчиняется правилу 1; напряжения входах неодинаковы; б) отсутствие отру Нательной обратной связи приводит к му, что входной импеданс (импеданс дифференциального сигнала) не СТре мится принять высокое значение, харак' терное для операционного усилителя В результате при срабатывании переключа- теля наблюдается изменение нагрузки и изменение (небольшое) входного тока- если импеданс управляющей схемы очень велик, то могут возникнуть весьма стран- ные явления; в) в некоторых компарато- рах размах дифференциального входного сигнала ограничен и составляет иногда всего + 5 В. Внимательно изучайте спе- цификации на интегральные хемы! Свойства некоторых распространенных компараторов приведены в табл. 9 3 и об- суждаются в разд. 9.7. 4.24. Триггер Шмитта Простейшая схема компаратора, представ- ленная на рис 4 60, имеет два недостатка. При медленно изменяющемся входном сигнале напряжение на выходе также может изменяться достаточно медленно. Более того, если во входном сигнале присутствует шум, то на выходе может происходить дребезг в те моменты, когда напряжение на входе проходит через точку переключения (рис 4 61) Оба не- достатка позволяет устранить положи- тельная обратная связь (рис. 4.62). Ре- зистор R3 создает в схеме два порога срабатывания в зависимости от состоянИ выхода. Для приведенного примера ниж- нии порог срабатывания определяется | уровнем 4,76 В при условии, что напряже- Вход Точка сраба- тывания (напряжение на другом входе к эм- паратора) ______________LW1I Выход Рис. 4.61. Рис. 4.62. ние на выходе равно потенциалу земли высокий уровень на входе); когда напря- жение на выходе равно + 5 В, то порог определяется уровнем 5,0 В. Вероятность того что шумовой сигнал на входе вызо- вет многократные переключения выхода, в данном случае меньше (рис. 4.63) Кро- ме того, положительная обратная связь обеспечивает быстрое переключение вы- хода независимо от скорости изменения входного колебания (Для того чтобы еще больше увеличить скорость переключе- ния к резистору R3 часто подключают небольшой ускоряющий конденсатор ем- костью 10-100 пФ.) Эта схема и назы- вается триггером Шмитта. (При исполь- зовании операционного усилителя «при- тягивающий > резистор был бы не нужен.) Состояние выхода зависит как от входно- го напряжения так и от недавней пре- дыстории—это так называемый эффект гистерезиса Его иллюстрирует представ- ленный на рис 4.64 график зависимости выходного напряжения от входного. Для триггеров Шмитта с небольшим гистере- +5,0 +4,76 +5 0 Выход Верхний порог срабатывания Нижний порог срабатывания |Вход 4.63 Рис. 4.64. 4,5 Вход зисом процедура разработки проста Вос- пользуемся схемой, приведенной на рис. 4 62, б. Сначала выберем резистивный де- литель (RR2\ чтобы приблизительно установить правильное пороговое напря- жение, если вы хотите, чтобы пороговое напряжение было близко к потенциалу земли, нужно воспользоваться одним ре- зистором, который включен между неин- вертирующим входом и землей. Далее, выберем резистор (положительной) об- ратной связи R3, который обеспечит тре- буемый гистерезис Напомним что гисте- резис равен выходному размаху, ослаб- ленному резистивным делителем, образо- ванным резисторами R3 и Rr || R2. И на- конец, выберем выходной притягиваю- щий» резистор R4, достаточно небольшой величины для обеспечения полного разма- ха в пределах питающего напряжения, принимая во внимание нагружающий эф- фект резистора R3. Если вы хотите чтобы пороговые напряжения были симметрич- ны относительно потенциала земли, включите между неинвертируюшим вхо-
248 Глава 4 Обратная связь и операционные усилители 249 дом и источником отрицательного напря- жения питания резистор смещения соот- ветствующей величины Резисторы можно подобрать так, чтобы выходной ток и им- педанс находились в пределах требуемого диапазона. Дискретная транзисторная схема тригге- ра Шмитта. Для построения схемы тригге- ра Шмитта можно также использовать обычные транзисторы (рис. 4 65). Тран- зисторы и Т2 имеют общий эмиттер- ный резистор. Важно, чтобы коллектор- ный резистор транзистора 7j был больше, чем коллекторный резистор Т2. При вы- полнении этого условия пороговый уро- вень включения транзистора 7], который превышает напряжение на эмиттере на величину падения напряжения на диоде, уменьшается при включении транзистора 7], так как эмиттерный ток больше, если проводит транзистор Т2. Здесь, как и в рассмотренной выше интегральной схеме триггера Шмитта, наблюдается эффект гистерезиса для порогового напряжения триггера. Упражнение 4.10. Разработайте триггер Шмитта на основе компаратора типа 311 (с открытым коллекто- ром). Пороговые уровни должны быть равны + 1,0 В и + 1,5 В. К источнику напряжения +5 В подключите «притягивающий» резистор с сопротив- лением 1,0 кОм. Для компаратора типа 311 исполь- зуйте источники питания с напряжением + 15 В. ОБРАТНАЯ СВЯЗЬ И УСИЛИТЕЛИ С КОНЕЧНЫМ УСИЛЕНИЕМ В разд. 4.12 мы упомянули, что конечный коэффициент усиления операционного усилителя при разомкнутой цепи обра I ной связи ограничивает его возможное^ при использовании в схеме с обращ. связью. В частности, коэффициент уси.^ I ния при замкнутой цепи обратной СВяз никогда не может стать больше, ЧеК) коэффициент усиления при разомкнут^ цепи обратной связи, а по мере того как величина коэффициента усиления при разомкнутой цепи обратной связи при. ближается к величине коэффициента уси- ления при замкнутой цепи обратной свя- зи, усилитель все дальше отходит ц0 своим характеристикам от идеального В этом разделе мы оценим количествен- ные отклонения, и вы сможете заранее определять характеристики усилителя с обратной связью, состоящего из реальных (а не идеальных) компонентов Это будет полезно и при разработке усилителей с обратной связью на основе только дискретных компонентов (транзисторов); для дискретных усилителей коэффициент усиления при разомкнутой цепи обратной связи обычно намного меньше, чем для операционного усилителя. Их выходной импеданс, например отличен от нуля Од- нако если вы будете хорошо разбираться в принципах обратной связи, то это по- может вам получить требуемые характе- ристики в любой схеме. 4.25. Уравнение для коэффициента усиления Рассмотрим усилитель, обладающий ко- нечным коэффициентом усиления и охва- ченный петлей обратной связи, образую- щей неинвертирующий усилитель (рис. . 4.66). Коэффициент усиления усилите-30 при разомкнутой пепи обратной связи равен А, а благодаря обратной связи и3 входного напряжения вычитается часть I выходного (7?СВЫХ). В дальнейшем Мй обобшим полученные результаты 0 | сврОстраним их и на токи, и на напря- Р дня. Итак, на усилительный блок оступает напряжение, равное (7ВХ — п Выходное напряжение больше входного в А р 1з A (Гвх BU вых) Пвых Или Гвых = [И/(1 + АВ)] UBX, и коэффи- циент усиления по напряжению при замк- нутой цепи обратной связи UBBJUBX равен X = А (1 + АВ) Принята следующая терминология: К коэффициент усиления при замкнутой це- пи обратной связи, А - коэффициент уси- ления при разомкнутой цепи обратной связи, АВ-коэффициент передачи в петле обратной связи (петлевое усиление), 1 + АВ глубина обратной связи для диф- ференциального сигнала, или коэффи- циент грубости схемы. Цепь обратной связи называют иногда [Гцепью (что не имеет никакого отношения к коэффи- циенту Р, т. е. А21Э, транзистора). 4.26. Влияние обратной связи на работу усилителей Рассмотрим как влияет обратная связь на работу схемы Действие обратной связи проявляется прежде всего в том, что мож- но заранее оценить усиление схемы и уменьшить искажения, а также в том, что изменяются входной и выходной импе- дансы. Предварительная оценка усиления. Коэффициент усиления по напряжению равен А /(1 + АВ). Если считать величину коэффициента А бесконечно большой, то получим К = \/ В. Этот результат мы по- ручили раньше, когда рассматривали не- инвертируюший усилитель, в котором сигнал обратной связи подавался на ин- йеРтирующии вход с помощью делителя ^пряжения подключенного к выходу Рис 4.69). Коэффициент усиления по Напряжению при замкнутой цепи обрат- ной связи представляет собой величину, °Ратную коэффициенту передачи дели- ли напряжения. В том случае когда КоэФфициент А ограничен, обратная связь Равно уменьшает влияние изменении 4 (происходящих под воздействием Частоты температуры, величины сигнала Рис. 4.67. и т.п.). Допустим, например, что зависи- мость коэффициента А от частоты можно представить в виде графика, показанного на рис. 4 67. Усилитель с такой характе- ристикой, без всякого сомнения, можно отнести к числу плохих (коэффициент усиления изменяется в 10 раз). Предста- вим, что мы ввели обратную связь и В = 0,1 (подойдет простой делитель напряжения). Коэффициент усиления при замкнутой цепи обратной связи изменяет- ся от 1000/[1 + (1000 0,1)] или 9,9 до 10 000/[1 + (10 000 0,1)], или 9,99. В том же диапазоне частот изменение коэффи- циента усиления составляет всего 1%. Если пользоваться терминологией, приня- той в технике звуковых частот, то не- равномерность характеристики усилителя без обратной связи в полосе частот составляет ± 10 дБ, а при наличии обрат- ной связи неравномерность характеристи- ки составляет всего ± 0,04 дБ. Если включить последовательно три таких кас- када, то коэффициент усиления вновь бу- дет равен 1000, а неравномерность остает- ся почти такой же малой, как у одного каскада с обратной связью. Подобная за- дача (а именно необходимость получения плоской характеристики телефонного уси- лителя) привела к изобретению отрица- тельной обратной связи. Изобретатель Гарольд Блэк писал (журнал Electrical Engineering, 53, 114(1934)): «Установлено, что если взять усилитель, коэффициент усиления которого больше, чем нужно, скажем на 40 дБ (10 000-кратный запас по мощности), а затем подключить к нему цепь обратной связи таким образом, что- бы погасить избыточное усиление, то ока- зывается, что постоянство усиления за- метно улучшается, а линейность увеличи- вается».
250 Глава 4 Обратная связь н операционные усилители 251 Если взять производную от G по A (dG/dA), то нетрудно показать, что уменьшение относительных изменений коэффициента усиления при замыкании петли обратной связи определяется вели- чиной коэффициента грубости: ЛК/К — = [1/(1 + АВУ]АА/А. Следовательно, для получения хорошей характеристики необ- ходимо, чтобы коэффициент петлевого усиления АВ был значительно больше единицы Это равносильно условию, согласно которому коэффициент усиления при разомкнутой петле обратной связи должен быть намного больше, чем коэф- фициент усиления при замкнутой петле обратной связи Увеличение стабильности сопровожда- ется уменьшением нелинейности, которая определяется изменениями коэффициента усиления в зависимости от уровня сигна- ла. Входной импеданс. При построении схе- мы с обратной связью из входного на- пряжения или тока вычитается некоторая часть, пропорциональная выходу (такую обратную связь называют соответственно последовательной или параллельной об- ратной связью). Например, в неинверти- руюшем ОУ часть выходного напряжения вычитается из дифференциального напря- жения действующего на входе, а в ин- вертирующем происходит вычитание час- ти входного тока В этих двух случаях обратная связь противоположным обра- зом влияет на входной импеданс. Обрат- ная связь со сложением напряжения уве- личивает входной импеданс при замкну- той петле обратной связи в (1 + АВ) раз (по сравнению с разомкнутой схемой), в то же время обратная связь со сложе- нием тока уменьшает его во столько же раз. При стремлении коэффициента пе- редачи петли обратной связи к бесконеч- ности входной импеданс (со стороны вхо- да усилителя) стремится к бесконечности или к нулю соответственно. Это и по- нятно, так как обратная связь со сложе- нием напряжения стремится вычесть из входного такой сигнал, что в результате падение напряжения на входном сопро- тивлении усилителя будет меньше в АВ раз; это своего рода следящая связь Об- ратная связь со сложением тока умень- шает сигнал на входе усилителя, подавляя его током, текущим по цепи обратной связи Посмотрим, как обратная связь меняет действующее значение входного импедан- са на примере обратной связи со сложе- нием напряжений Аналогичные рассуж- дения вы можете провести и для второго случая Используем модель ОУ с конеч- ным входным сопротивлением (рис. 4.68). Входное напряжение UBX уменьшается на величину BU вых, и на выходах усилителя ! действует дифференциальное напряжение Пдиф = Евх — BUBbSX Входной ток при этом равен _ L7BX - BU вых т ~ = С7ВХ [1 - ЛВ/(1 + Л2?)] Лх ивх ~(1+AB)RBX Отсюда действующее значение входного сопротивления равно R,x = Ubx/Ibx=(1+AB)Rbx. Классическая схема неинвертирутошего ОУ с обратной связью имеет точно такой вид, как показано на рис. 4.69. Для этой I схемы В = R /(/?! + R2), коэффициент усиления по напряжению определяется выражением KL = 1 4- R2/R , для идеаль" него случая коэффициент усиления по В3' I пряжению при разомкнутой цепи обраТ' нои связи А равен бесконечности и ной импеданс также равен бесконечности Для конечного коэффициента передав0 3 петле обратной связи справедливы выра- жения, полученные выше Схема инвертирующего ОУ отличается от схемы неинвертирующего ОУ и анали- зировать ее следует отдельно. Лучше все- го рассматривать ее как сочетание вход- ного резистора, управляющего схемой с обратной связью со сложением тока (рис 4.70). В случае обратной связи со сложением тока (параллельной) на входе усилителя (инвертирующем) суммируют- ся ток из цепи обратной связи и входной ток (такой усилитель является фактически усилителем с передаточным сопротивле- нием; он преобразует входной ток в вы- ходное напряжение) Обратная связь уменьшает импеданс со стороны «сумми- рующего входа» R в (1 + А) раз (попро- буйте это доказать). При очень больших значениях коэффициента передачи в петле обратной связи (например, в ОУ) входной импеданс уменьшается до долей ома, что является хорошей характеристикой для усилителей с токовым входом. В качестве примеров можно привести усилитель фо- тометра (разд. 4.22) и логарифмический преобразователь (разд 4.14). входной и выходной импедансы усиди : переда очным сопротивлением. ZBX = PVK>n + ^> z.«x = Z(6«OC)/(1 + Л) (а); инверти- -»Щего усилитепя, ZBI = R1 + Л2/(1 + A), ZBbI1 = ^*eiOC)/(l + АВ), В = Я,/(Я, + Л2). Классический инвертирующий ОУ, по- казанный на рисунке, представляет собой сочетание усилителя с параллельной об- ратной связью и резистора, подключенно- го последовательно ко входу. Входной импеданс в этом случае равен сумме со- противления Rr и импеданса со стороны суммирующей точки Для петли с высо- ким коэффициентом усиления /?вх и R приблизительно равны между собой Очень кстати сейчас в качестве упраж- нения вывести выражение для коэффици- ента усиления по напряжению для инвер- тирующего усилителя с конечным усиле- нием в петле обратной связи. Выражение имеет вид q = - Л(1-Б)/(1 + АВ), где В определяется как и раньше, а имен- но В = Ri/(R + R2)- Для предельного значения коэффициента усиления А при разомкнутой цепи обратной связи, G = = - \/В + 1 (т е G = - R2/R ) Упражнение 4.11. Выведите предыдущие выражения для входного импеданса и коэффициента усиления инвертирующего усилителя. Выходной импеданс. Обратим теперь внимание на то, что цепь обратной связи передает с выхода на вход сигнал, про- порциональный либо выходному напря- жению, либо току нагрузки. В первом случае выходной импеданс при замыка- нии обратной связи уменьшается в (1 + + АВ) раз, а во втором-во столько же раз увеличивается Рассмотрим это явле ние на примере напряжения Начнем с модели, представленной на рис. 4.71. На
252 Глава 4 Обратная связь н операционные усилители 253 этой схеме выходной импеданс показан в явном виде Для упрощения вычислений воспользуемся следующим приемом: зам- кнем вход накоротко и положим, что выходное напряжение равно 17, определив выходной ток I, найдем выходной импе- данс Двых = U/I. Напряжение U на выходе создает на входе усилителя падение на- пряжения равное —В/U, которое в свою очередь создает во внутреннем генераторе усилителя напряжение —ABU. Выходной ток при этом равен j _U - (-ABU) _ U(\ + АВ) ^вых 7?вых следовательно, действующий выходной импеданс определяется выражением *вых = U/I = Явых/(1 + АВ) Если используется обратная связь по току, т. е сигнал обратной связи пропор- ционален току в нагрузке, то выражение для выходного импеданса принимает вид ^вых = ^вых (1 + АВ). Можно использовать несколько цепей обратной связи как по току, так и по напряжению В общем случае выходной импеданс определяется формулой Блэк- мана *вых = Лых[1 + (ЛВ)к.3./1 + (ЛВ)Х.Х], где (ЛВ)Ж3.-коэффициент передачи цепи обратной связи при коротком замыкании выхода; (ЛВ)ХХ-коэффициент передачи цепи обратной связи при обрыве цепи нагрузки (на холостом ходу). Таким обра- зом, с помощью обратной связи можно получить нужный выходной импеданс. Это выражение есть обобщение получен- ных выше результатов для произвольной комбинации обратных связей по току и по напряжению Цепь ОС и нагрузка усилителя. Выпол- няя расчеты для схем с обратной связью, обычно предполагают, что 0-цепь не на- гружает выход усилителя В противном случае это следует учесть при расчете коэффициента усиления при разомкнутой петле обратной связи Точно так же, если подключение 0-цепи на входе усилителя влияет на величину коэффициента уС11Ле ния без обратной связи (обратная св» устранена, но подключение выполнено^ следует использовать модифициров нньй коэффициент усиления разомкнутой пет.л> обратной связи. И наконец, обычно пред полагается, что 0-цепь является на рав' ленной, т.е. она не передает сигнал со входа на выход. 4.27. Два примера транзисторных усилителей с обратной связью Рассмотрим показанный на рис. 4.72 транзисторный усилитель с отрицатель- ной обратной связью Описание схемы. На первый взгляд она может показаться сложной, на самом деле в ней нет хитростей и проанализировать ее достаточно легко Транзисторы Т и Т2 образуют дифференциальную пару а до- полнительное усиление ее выходного сиг- нала обеспечивает усилитель с общим эмиттером на Т3. Резистор Д6-это ре- зистор коллекторной нагрузки Т,, а двух- тактный каскад на транзисторах Т4 и Т5 представляет собой выходной эмиттер- ный повторитель Выходное напряжение поступает в цепь ОС, которая состоит из делителя напряжения, образованного ре- зисторами R4 и R5 и конденсатором G, благодаря которому коэффициент усиле- ния схемы с ОС по постоянному току уменьшается до единицы (для стабилиза- ции режима по постоянному току). Резис- тор R3 определяет ток смещения в диф- ференциальной паре наличие петли об- ратной связи, охватывающей схему, га- рантирует, что выходное напряжение по- коя равно потенциалу земли, а потому оказывается, что ток покоя Т3 составляет 10 мА (падение напряжения на R6 ПР' близительно равно иээ). Как уже было показано в разд. 2.14, диоды смешают двухтактный каскад в состояние прово- димости, при этом падение напряжения Ва последовательном соединении резисторов R^ и Rs равно падению напряжения 02 диоде, т. е. ток покоя выходного повтор0' теля равен 60 мА. Это усилитель класс0 АВ, в котором за счет потери моши< сТЙ в 1 Вт, рассеиваемой каждым выходив151 Рис. 4.72. Транзисторный усилитель мощности с отрицательной обратной связью. транзистором, уменьшаются переходные искажения С точки зрения рассмотренных ранее схем необычным в этой схеме является только то, что коллекторное напряжение покоя транзистора Tt меньше напряжения питания Uw всего на величину падения напряжения на диоде. Оно должно быть таким для того, чтобы транзистор Т3 находился в режиме проводимости, а поддерживает это состояние цепь обрат- ной связи Если, например, коллекторное напряжение транзистора Т приблизилось °ы к потенциалу земли, то транзистор Т3 начал бы проводить большой ток, при 7[ом увеличилось бы выходное напряже- Зде’ а это в свою очередь привело бы к т°му, что через транзистор Т2 тоже стал ы протекать большой ток, что вызвало ы Уменьшение коллекторного тока в транзисторе 7\ и восстановило бы нару- шений статус-кво. Резистор R2 подобран нким образом, чтобы ток покоя тран- ‘Истора создавал на нем падение на- ряжения равное падению напряжения на тогда в точке покоя коллекторные - и в Дифференциальной паре будут при- "Изительно равны между собой. В этой транзисторной схеме входным током сме- щения пренебречь нельзя (он равен 4 мкА) - на входных резисторах, имею- щих сопротивление 100 кОм, он создает падение напряжения, равное 0,4 В. В по- добных схемах транзисторных усилителей входные токи значительно больше, чем в операционных усилителях, поэтому осо- бенно важно, чтобы сопротивления по постоянному току со стороны входов бы- ли равны (очевидно, что лучше было бы использовать здесь на входе составной транзистор Дарлингтона) Анализ схемы. Рассмотрим приведен- ную схему подробно и определим коэф- фициент усиления, входной и выходной импедансы и величину нелинейных иска- жений Для того чтобы можно было оце- нить влияние обратной связи, определим эти параметры при разомкнутой и замк- нутой цепи обратной связи (учитывая, что при разомкнутой цепи обратной связи смешение практически бесполезно) Для того чтобы оценить влияние обратной связи на линейность, определим коэффи- циент усиления при напряжениях на вы- ходе, равных +10 В, —10 В, и напряже- нии, соответствующем точке покоя (0 В).
254 Глава 4 Обратная связь и операционные усилители 255 Цепь ОС разомкнута. Входной импедаж Разорвем цепь обратной связи в точке X и заземлим правый конец резистора Т?4. Для входного сигнала сопротивление определяется параллельным соединением сопротивления 100 кОм и сопротивления со стороны базы. Последнее состоит из увеличенных в h2l3 раз собственного эмиттерного сопротивления Т[ плюс со- противление со стороны эмиттера Т2, при определении которого надо учесть, что к базе транзистора Т2 подключена цепь обратной связи. Если Л21Э — 250, то ZBX ~ ~ 250 [(2 • 25) + (3,3 кОм/250)], т. е. ZBX ~ ~ 16 кОм Выходной импеданс. В связи с тем что сопротивление коллектора транзистора Т велико, можно считать, что на выходные транзисторы работает источник с сопро- тивлением 1,5 кОм (7?6). Выходной импе- данс составляет приблизительно 15 Ом (^21э — ЮО) плюс эмиттерное сопротив- ление, равное 5 Ом итого 20 Ом Соб- ственным эмиттерным сопротивлением величиной 0,4 Ом можно пренебречь Коэффициент усиления. Сопротивление нагрузки дифференциального каскада определяется параллельным соединением R2 и базового сопротивления транзистора Т3. В связи с тем что ток покоя транзисто- ра Т3 составляет 10 мА, его собственное эмиттерное сопротивление равно 2,5 Ом, а входное сопротивление со стороны ба- зы-250 Ом (Л21э—100). Следовательно, коэффициент усиления дифференциальной пары равен 250 || 620 Ом/2 - 25 Ом ~ 3,5 Коэффициент усиления по напряжению второго каскада, на транзисторе Г3, равен 1,5 кОм 2.5 Ом = 600. Полный коэффици- ент усиления по напряжению в точке по- коя равен 3.5-600 = 2100. Коэффициент усиления Т3 зависит от величины коллек- торного тока, и поэтому он существенно изменяется при изменении сигнала от пи- ка до пика-в этом проявляется нелиней- ность схемы В следующем разделе при- водятся значения коэффициента усиле- ния для трех значений выходного напря- жения Цепь ОС замкнута. Входной импедан В схеме использована последовательная обратная связь, поэтому входной импе- данс увеличивается в (1 + коэффищ^ передачи петли ОС) раз. Цепь обращJ связи представляет собой делитель наппяЙ жения с отношением плеч В = 1/3Q частотах сигнала, следовательно, коэффв циент усиления в петле обратной связй I равен АВ = 70. Следовательно, входц0§ I импеданс определяется параллельным со- единением сопротивления 70 16 кОм 0 резистора смещения сопротивлением 100 кОм, т е приблизительно 92 кОм Можно считать, что входной импеданс определяется резистором смещения. Выходной импеданс. В связи с тем что часть выходного напряжения передается на вход, выходной импеданс уменьшается в (1 + коэффициент усиления петли ОС) | раз. Следовательно, выходной импеданс равен 0,3 Ом. Отметим, что это импеданс для малого сигнала. Не следует думать, что полный размах выходного напряже- ния можно получить на нагрузке с сопро- тивлением, например 1 Ом. Эмиттерный резистор сопротивлением 5 Ом. присут- ствующий в выходном каскаде, о раничи- вает размах большого сигнала. Напри- мер, на нагрузке с сопротивлением 4 0м можно получить размах, равный прибли- зительно 10 В (от пика до пика). Коэффициент усиления. Коэффициент усиления равен Л/(1 + АВ). В точке покоя он принимает значение 30,84, при этом используется точное значение для В. Для иллюстрации стабильности усиления, обусловленной отрицательной обратной связью, ниже приведены значения полно- го коэффициента усиления по напряже- нию для схемы при наличии и в отсу- тствие обратной связи для трех значений выходного напряжения Очевидно, что от- рицательная обратная связь существенно улучшает характеристики усилителя, во справедливости ради следует отметить- что схему можно было бы разработать и так. чтобы ее характеристики при ра' зомкнутой петле обратной связи был0 лучше; например в качестве коллек торной нагрузки Т3 можно было бы использовать источник тока, в эмиттерной пепи ДйФ' ференциальной пары также можно быЛ° бы использовать источник тока; это пр0 вело бы к уменьшению влияния эмиттер* ной цепи и т. п. Но если бы схема и была спроектирована хорошо, обратная связь все равно заметно улучшила бы ее харак- теристики Цепь ОС Цепь ОС разомкнута замкнута - 10 0 + 10 - 10 0 + 10 2И, кОм 16 16 16 92 92 92 ZMI, Ом 20 20 20 0,3 0,3 0,3 Коэффициент 1360 2100 2400 30.60 30.84 30,90 усиления Двухкаскадныи усилитель с ОС. На рис. 4.73 показан еще один транзистор- ный усилитель с обратной связью. Если рассматривать 7j как усилитель, который Усиливает падение напряжения между ба- зой и эмиттером (вспомним модель Эбер- са- Молла), то видно, что обратная связь передает на вход часть выходного напря- жения и вычитает его из входного сиг- ала В схеме есть небольшая хитрость: Дело в том, что коллекторный резистор транзистора Т2 входит еще и в схему °°ратной связи Используя описанную ВьППе технику расчета, вы можете пока- что Кразомгн. цепь ос 200. Коэффипи- передачи цепи обратной связи ~ 20. £ВЫ*(разомкн. цепь ОС) — Ю кОм, (замен цепь ОС) — 500 Ом, (’амкн. цепь qq — 9,5 НЕКОТОРЫЕ ТИПИЧНЫЕ СХЕМЫ С ОПЕРАЦИОННЫМИ УСИЛИТЕЛЯМИ 4.28. Лабораторный усилитель общего назначения На рис. 4.74 показан «декадный усили- тель» со связями по постоянному току с переключением коэффициента усиления, полосы пропускания и с широким диапа- зоном смещения выхода по постоянному току Интегральная схема ИС! представ- ляет собой неинвертирующий ОУ со вхо- дом на полевых транзисторах, усиление изменяется от единицы (0 дБ) до 100 (40 дБ), шаг изменения откалиброван и равен 10 дБ; для подстройки усиления предусмотрен верньер ИС2-это инверти- рующий усилитель; он обеспечивает сме- щение выходного напряжения в диапазо- не + 10 В; подстройка выполняется с по- мощью резистора Т?14, при этом форми- руется ток, поступающий на суммирую- щий вход ИС2. Конденсаторы С2-С4 ус- анавливают сопрягающую частоту уси- ления на высоких частотах, так как часто нежелательно иметь чересчур широкую полосу пропускания сигнала (и шумов) ИС5 представляет собой усилитель мощ- ности для низкоомных нагрузок и кабе- лей; схема обеспечивает выходной ток в диапазоне + 300 мА Некоторые интересные особенности входной резистор сопротивлением 10 МОм нельзя считать большим, так как ток смещения для ОУ типа 411 составляет 25 пА (ошибка 0,3 мВ при разомкнутом входе). Резистор R2 в сочетании с диода- ми Дх и Д2 ограничивает напряжение на входе ОУ значениями от U_ до U + -г 0,7 В. Диод Д3 сдвигает напряжение фиксации к U _ +0.7 В. так как диапазон синфазного сигнала ограничен значением U _ (если сигнал выходит за этот предел, то фаза выходного сигнала меняется на противоположную) При использовании показанных на схеме компонентов заши- ты входной сигнал может изменяться в диапазоне +150 В, не причиняя схеме вре- да. Упражнение 4.12. Проверьте, что коэффициент уси- ления схемы имеет указанное значение Как работает схема переменного сдвига?
256 Глава 4 Обратная связь и операционные усилители 257 ^2. 16 пФ #10 280 Ом OjlE 10 40 дБ пг ( о ф Полярность сдвига (Л коэффициент 0порн.02 +5,00 В 10 кОм Л 14 . ,о41г ___ 10-оборотный; 1/+=+15 В 1/_=-15 В Сдвиг выхода ИС. 411 +1Q0B #п 10,0 кОм 1 % у. 10 кОм #1Б 10,0 кОм 1% ЯС4 411 19 10,0 кОм 1$ #18 ЩОкОм -10,0 В Z?20| 5,1 кОм р'12.1 10 кОм и_ Рис. 4.74. Лабораторный усилитель постоянного тока с регулируемым усилением, полосой и сдвигом выход- ного напряжения. 4.29. Генератор, управляемый напряжением На рис. 4.75 показана схема, заимство- ванная из рекомендаций по применению ИС нескольких фирм-изготовителей. ИС представляет собой интегратор, который устроен таким образом, что ток заряда Ct (Свх/200 кОм) изменяет свой знак, а не амплитуду в зависимости от состояния транзистора Т\ (находится в режиме про- водимости или отсечки). Схема ИС2 об- разует триггер Шмитта с пороговыми уровнями, равными 1/3 U + и 2/ЗСт_. Тран- зистор Tj это «-канальный полевой МОП-транзистор, который выполняет здесь роль переключателя, его испотьзо- вать проще, чем схему на биполярны! транзисторах, выполняющую такие **' функции, но на всякий случай здесь *е показана схема с использованием тран- зисторов n-p-и-типа. И в том, и в ДРУ' гом случае нижнии конец резистора Л заземлен при высоком уровне напряжен^ на выходе и разомкнут при низком уров# на выходе Особенность этой схемы состоит в тоЫ- что она запитана от единственного исто! ника положительного напряжения. В И Рис. 4.75. Генератор, управляемый напряжением. типа 3160 (отличается от 3130 наличием внутренней коррекции) в качестве выход- ных используются полевые транзисторы, благодаря которым напряжение на вы- ходе изменяется в пределах между по- тенциалом земли и U+, при этом порого- вые уровни в триггере Шмитта задаются точно и не имеют погрешности смещения, это происходит при использовании в ОУ обычного выходного каскада, у ко- торого пределы изменения выходного сигнала не заданы точно В приведенной vXeMe частота и амплитуда треугольного СИГВала стабильны. Обратите внимание, что частота зависит от отношения и 111 + , это значит, что если напряжение п формируется из напряжения U+ с по- ощыо резистивного делителя (образо- ®Ного, например, некоторым резистив- 7*°^ преооразователем), то входная час- Та Не будет изменяться с изменением 9~62б U +, а только с изменением сопротивле- ния Упражнение 4.13. Покажите, что выходная частота определяется выражением/(Гц) = 150UBX/U+. Заодно проверьте, чему равны пороги в триггере Шмитта и токи в интеграторе. 4.30. Линейный перек^початель на полевом транзисторе с р-л-переходом, с компенсацией /?вкл В гл. 3 мы более или менее подробно рассмотрели линейные переключатели на полевых МОП-транзисторах. В качестве линейных переключателей можно также использовать полевые транзисторы с р-«-переходом. Однако в связи с тем что затвор не должен проводить ток, нужно проявлять особое внимание к сигналам, поступающим на него. Типичная схема показана на рис 4.76. Для того, чтобы
258 Глава 4 Рис. 4 76. транзистор находился в режиме отсечки, потенциал затвора поддерживается на уровне ниже потенциала земли. Это зна- чит, что если входной сигнал становится отрицательным, потенциал затвора дол- жен быть меньше самого отрицательного значения входного сигнала по крайней мере на величину (7ОТС. Для того чтобы транзистор перешел в состояние прово- димости, потенциал управляющего входа должен стать более положительным, чем самое большое положительное значение входного сигнала. При этом диод сме- щается в обратном направлении а на- пряжение на затворе устремляется к зна- чению напряжения истока через резистор 1 МОм. Эта схема, конечно, нескладна, и может быть поэтому в чинейных преобразовате- лях чаще используют полевые МОП-тран- зисторы Однако если воспользоваться операционным усилителем, то можно сде- лать красивый линейный переключатель и на основе полевого транзистора с р-п- переходом. В этом случае на суммирую- щем переходе инвертирующего усилителя Рис. 4.77. Переключатель на полевых транзисторах с р- «-переходом, использующий в своем составе ОУ, с компенсацией . можно подключить исток транзистора ] мнимому потенциалу земли. Теперь | того, чтобы включить транзистор, доста точно сделать напряжение на затворе рав ным потенциалу земли. Еще одно пре. | имущество такой схемы состоит в том что она обеспечивает возможность полно- го устранения ошибок, обусловленных ко- нечной величиной сопротивления Я й его нелинейностью На рис 4.77 представ- лена эта схема. Следует особо отметить два свойства этой схемы (а) Когда транзистор Tt нахо- дится в состоянии ВКЛ (затвор заземлен) схема представляет собой инвертор с идентичными импедансами во входной цепи и в цепи обратной связи. В результа- те устраняются все эффекты, связанные с конечным или нелинейным сопротив- лением транзистора в состоянии ВКЛ, при условии, что полевые транзисторы согласованы по 7?вкл. (б) Вследствие низ- кого значения напряжения отсечки тран- зисторов схема хорошо работает, если управляющий сигнал лежит в пределах от нуля до +5 В, что как раз соответствует уровням для стандартных цифровых ло- гических схем (см. гл. 8 и 9). Если исток транзистора Т подключен к мнимой зем- ле (суммирующий вход), то инвертирую- щая конфигурация упрощает работу схе- мы, так как в состоянии ВКЛ на исток Т) не поступает никаких сигналов. Когда 7} находится в состоянии ВЫКЛ, диод Д препятствует включению транзистора при положительных входных сигналах Когда переключатель закрыт, влияние диода Д никак не сказывается. Существуют /^-канальные полевые тран- зисторы с р-«-переходом с низкими аа- пряжениями отсечки, удобной конфигура- ции и с приемлемой ценой. Например- в семейство IH5009-IH5024 входят такие схемы, в которых в одном транзисторном корпусе размешаются четыре полевых транзистора плюс один полевой трав* i зистор для устранения ошибок; для s0* I Авкл составляет 100 Ом, а цена не пр6' вышает двух долларов Подключите еШе I ОУ и несколько резисторов и вы получи1* мультиплексор на 4 входа Следует о1' I метить, что подобный трюк с /?вкл МО*00
Обратная связь и операционные усилители 259 сделать и в переключателе на полевых VlOn-транзисторах. 431- Детектор нуля для ТТЛ-схем Схема, изображенная на рис. 4.78, фор- уярует прямоугольные колебания для ло- гических схем семейства ТТЛ (имеющих входной диапазон от 0 до + 5 В) из вход- лого сигнала переменного тока любой амплитуды, не превышающей 100 В. Ре- зистор R в сочетании с диодами Дг и Д2 ограничивает размах входного сигнала значениями —Оби +5,6 В Резистивный делитель Т?2^з нужен для того, чтобы ограничить отрицательные значения на- пряжения на уровне —0,3 В, как это тре- буется для компаратора типа 393. Резис- торы R и R6 определяют ширину петли гистерезиса, а резистор R4 необходим для установки порогов срабатывания тригге- ра симметрично относительно земли. Входное сопротивление сохраняет почти постоянное значение, так как величина сопротивления R1 велика по сравнению с сопротивлениями других резисторов входного делителя. Интегральная схема типа 393 использована потому, что на ее входах напряжение может изменяться вплоть до потенциала земли, а это позво- ляет работать с одним источником пита- ния Упражнение 4.14. Убедитесь в том, что срабатыва- ние триггера Шмитта происходит на уровнях + 25 мВ 4.32. Схема измерения тока в нагрузке В схеме, представленной на рис 4.79, вы- ходное напряжение пропорционально то- ку в нагрузке. Нагрузка используется в стабилизаторах тока, измерительных схе- мах и в ряде других случаев. Напряжение на резисторе Rw, имеющем 4 вывода, из- меняется от нуля до 0,1 В, при этом воз- можно появление синфазных помех, обус- ловленных резистивными эффектами в за- землении кабеля (обратите внимание, что источник питания на выходе заземлен). По этой причине ОУ включен как диффе- ренциальный усилитель с коэффициентом усиления, равным 100. Напряжение сдвига регулируется с помощью внешнего ре- зистора RB, так как в ОУ типа LT1013 нет внутренней схемы регулировки сдвига (в ОУ типа LT1006 такая схема есть) Для регулировки можно использовать стаби- литрон, который обеспечивает стабиль- ность эталонного напряжения порядка не- скольких процентов, так как при настрой- ке схемы нужна небольшая коррекция на- пряжения (по крайней мере, на это надо рассчитывать). Для работы с одним ис- точником был выбран ОУ типа 358, так как на его входах и выходе напряжение может изменяться до потенциала земли. Напряжение U + может быть нестабили- зированным, величина коэффициента ослабления влияния напряжения источни- ка питания (КОНП) более чем достаточна Рис 4.78
260 Глава 4 Обратная связь и операционные усилители 261 Рис. 4.79. Усилитель для измерения тока в цепях большой мощности. и составляет в данном случае 100 дБ (типичное значение). ЧАСТОТНАЯ КОРРЕКЦИЯ УСИЛИТЕЛЕЙ С ОБРАТНОЙ СВЯЗЬЮ Если попытаться графически изобразить зависимость коэффициента усиления по напряжению при разомкнутой петле об- ратной связи от частоты для нескольких операционных усилителей, то получим кривые, подобные показанным на рис. 4.80. Даже поверхностный взгляд на представленные диаграммы Боде (кривые зависимости коэффициента усиления и фазы от частоты с использованием лога- рифмического масштаба) позволяет сде- лать заключение, что операционный уси- литель типа 741 хуже остальных, так как с увеличением частоты его коэффициент усиления при разомкнутой цепи обратной связи уменьшается очень быстро. На са- мом деле такой спад усиления получают намеренно, и характеристика операцион- ного усилителя совпадает с характеристи- кой АС-фильтра низких частот, имеющей спад —6 дБ/октава. Операционный усили- тель типа 748 подобен операционному усилителю типа 741, но не скорректиро- ван (как и ОУ типа 739). В операционных усилителях бывает предусмотрена внут- ренняя коррекция кроме того, промыш- ленность выпускает и нескорректирован- ные ОУ, познакомимся с некоторыми ме- тодами частотной коррекции 4.33. Зависимость коэффициента усиления и фазового сдвига от частоты В операционном усилителе (а в общем, в любом многокаскадном усилителе), на- чиная с некоторой частоты наблюдается спад коэффициента усиления обусловлен- ный тем, что усилительный каскад лля сигналов, поступающих от источника, имеющего конечный импеданс, является емкостной нагрузкой, и, таким образом, каскад эквивалентен фильтру низких час- тот. Например, часто входной каскад представляет собой дифференциальный усилитель с нагрузкой в виде токового зеркала (см. схему LM358, изображенную на рис. 4.54), который работает на второ0 каскад, представляющий собой схему с общим эмиттером. Теперь допустим, чТ° конденсатор, обозначенный на схеме каК С исключен Высокий выходной импе- данс входного каскада, а также емкость перехода Свхэ и емкость обратной связи Q (вспомните эффект Миллера, разд. 2.19 и 13.04) последующего каскада образуют фильтр низкой частоты. Точка -3 дБ на характеристике этого фильтра лежит в диапазоне от 100 Гц до 10 кГц Уменьшение реактивного сопротивле- ния конденсатора при увеличении частоты вызывав появление спада характеристи- ки с наклоном 6 дБ/октава На достаточ- но высоких частотах (которые могут не превышать 1 кГц) импеданс коллектор- ной нагрузки имеет емкостной характер, в связи с этим Kv — gmXc, т. е. спад уси- ления пропорционален 1/f. Кроме того, выходной сигнал будет сдвинут по фазе на 90 относительно входного (Спаду (усиления соответствует нижний участок «хвост>) характеристики АС-фильтра низкой частоты, в котором сопротивление есть эквивалентное выходное сопротив- ление источника к которому подключена емкостная нагрузка. Однако в схеме не обязательно должны присутствовать ре- альные резисторы.) В многокаскадном усилителе на высо- частотах на характеристике усилителя °являются дополнительные точки пере- ча. обусловленные тем, что другие уси- *ЙТельные каскады также начинают про- лить свойства фильтров низкой пасто- ру Зависимость коэффициента усиления многокаскадной схемы при разомк- УТой цепи ОС от частоты показана на рис 4 81 Спад коэффициента усиления при разомкнутой петле ОС определяется величиной —6 дБ/октава и начинается на некоторой сравнительно невысокой час- тоте fr. Он обусловлен емкостным харак- тером нагрузки выхода первого каскада. Спад с таким углом наклона продолжает ся до тех пор, пока на частоте f2 не начнет проявлять себя собственная АС-цепь сле- дующего каскада Начиная с этой точки спад определяется величиной —12 дБ/ок- тава и т. д. Что же следует из такой характеристи- ки? Напомним, что для АС-фильтра низ- кой частоты зависимость сдвига фазы от частоты имеет вид кривой, показанной на рис 4 82. Каждый фильтр низкой часто- ты, присутствующий в усилителе, имеет подобную фазовую характеристику, по- этому полный фазовый сдвиг гипотети- ческого усилителя можно представить в виде кривой, изображенной на рис 4 83 Проблема заключается в следующем: если этот усилитель включить, например по схеме повторителя, то возникнут авто- колебания Это связано с тем, что на некоторой частоте фазовый сдвиг при ра- зомкнутой петле обратной связи дости- гает 180°, при этом коэффициент усиления еще превышает единицу (на этой частоте отрицательная обратная связь превраща- ется в положительную). Этого достаточно для того, чтобы возникла автогенерация колебаний, так как на этой частоте любой сигнал будет сам себя наращивать, про- ходя по петле обратной связи.
Обратная связь и операционные усилители 263 Критерий устойчивости. Критерий ус- тойчивости усилителя с обратной связью выглядит следующим образом фазовый сдвиг усилителя при разомкнутой петле обратной связи не должен превышать 180° на частоте, при которой коэффициент пе- редачи цепи обратной связи равен едини- це. Этот критерий трудней всего удовле- творить, когда усилитель включен как повторитель, так как при этом коэффи- циент передачи в петле обратной связи равен коэффициенту усиления при ра- зомкнутой петле обратной связи, т. е. наи- большему значению. В операционном усилителе с внутренней коррекцией кри- терии устойчивости удовлетворяется даже в том случае, когда эти усилители вклю чают по схеме повторителей, в них с по- мощью простой резистивной схемы об- ратной связи можно получить любое зна- чение коэффициента усиления при замкну- той цепи обратной связи, при этом они будут работать устойчиво и в них не будут возникать колебания. Мы уже упо- мянули выше, что для этого намеренно смещают начало спада усиления таким образом, чтобы точка —3 дБ лежала в области низких частот-обычно в диапа- Часшотпа (лог масштаб) \ Рис. 4.83. зоне от 1 до 20 Гц. Покажем, как этого I добиваются. 4.34. Методы коррекции усилителей Однополюсная коррекция. Коррекция должна обеспечить, чтобы на всех часто- тах, на которых коэффициент передачи цепи обратной связи превышает единицу, фазовый сдвиг при разомкнутой петле обратной связи был меньше 180е (опера- ционный усилитель можно использовать как повторитель, поэтому вместо петле- вого усиления можно говорить о коэф- фициенте усиления при разомкнутой пет- ле обратной связи) Для достижения этой цели проще всего включить в схему до- статочную емкость, с помощью которой задать сопрягающую частоту и наклон характеристики, равный —6 дБ октава. При этом коэффициент усиления при ра- зомкнутой петле обратной связи должен снизиться до единицы на частоте, при- близительно соответствующей точке — 3 дБ на характеристике следующего «естественного» ЯС-фильтра. За счет это- го в большей части полосы пропускания фазовый сдвиг при разомкнутой цепи об- ратной связи будет равен 90° и начнет приближаться к 180° только тогда, когда коэффициент усиления станет равным единице Замысел иллюстрируется I рис. 4.84 Без коррекции коэффициент уси- ления при разомкнутой цепи обратной связи снижается, стремясь к единице. Пр0 этом вначале наклон характеристики Ра* вен —6 дБ октава, затем —12 дБ октава и т. д. В результате, прежде чем коэфф0' циент усиления станет равным единил6- фазовый сдвиг будет составлять 180е I более. Если сместить начало первого сПа I да характеристики в область более низк0-4 Рис 4.84. частот (сформировать «вершину» харак- теристики усилителя), то фазовый сдвиг превысит 90 , только когда коэффициент усиления разомкнутой петли обратной связи приблизится к единице. Таким об- разом, проиграв в усилении можно вы- играть в устойчивости У каскада, на ко- торый нагружен дифференциальный уси- литель «естественная сопрягающая час- тота обычно связана с эффектом Милле- ра, поэтому коррекция характеристики заключается просто в подключении до- полнительной емкости обратной связи к транзистору второго каскада, при этом общий коэффициент усиления двух кас- кадов по напряжению равен дтХс или ^т/2л/'Скорг в диапазоне спада частотной характеристики усилителя (рис. 4.85). На практике з обоих каскадах можно исполь- зовать транзисторы, соединенные по схе- Ме Дарлингтона Если сместить характеристику так. что- ы коэффициенту усиления, равному еди- ^0- соответствовала точка —3 дБ на- второго участка спада усиления " 12 дБ ^октава), то в худшем случае (для ^емы повторителя) запас по фазе будет ^®ен 45 , так как на частоте, соответ- ^Ующей усилению — 3 дБ, /?С-фильтр 0С1Гг сдвиг по фазе на 45°. Следователь- Рис. 4.85. Классический входной каскад ОУ со схемой коррекции. но, запас по фазе равен 180° — (90° + 45°), причем сдвиг фазы на 90° будет почти на всем участке характеристики после глав- ного полюса Коррекция, основанная на использова- нии эффекта Миллера, имеет то достоин- ство, что она нечувствительна к измене- ниям коэффициента усиления по напря- жению с температурой или к технологи- ческому разбросу значений коэффициента усиления: чем больше коэффициент уси- ления, тем в большей степени проявляется емкость обратной связи и тем сильнее смещается характеристика в область низ- ких частот, а частота, соответствующая единичному усилению, сохраняется неиз- менной При этом частота, соответствую- щая точке — 3 дБ, начиная с которой дей- ствует коррекция не сохраняется посто- янной , а фактически фиксируется частота в которой характеристика пересекает ось единичного уситения (рис. 4.86). Нескорректированные операционные уси- лители. Если операционный усилитель ис- пользуется в схеме, для которой коэффи- циент усиления при замкнутой петле об- ратной связи больше единицы (не повто- ритель), то нет необходимости столь сильно смещать вершину характеристики (соответствующую «граничной» частоте
264 Глава 4 фильтра низкой частоты) в область низ- ких частот, так как критерий устойчи- вости в этом случае выполнить легче из-за меньшей величины коэффициента переда- чи обратной связи (рис. 4.87). Если коэффициент усиления при зам- кнутой цепи обратной связи равен 30 дБ, то коэффициент передачи петли обратной связи (равный отношению коэффициента усиления при разомкнутой цепи обратной связи к коэффициенту усиления при зам- кнутой цепи обратной связи) для такой схемы меньше, чем для повторителя, по- этому вершина характеристики может располагаться в области более высоких частот. В этом случае делают так, чтобы коэффициент усиления усилителя при ра- зомкнутой петле обратной связи достигал 30 дБ (а не 0 дБ) на частоте, соответ- ствующей следующей точке перегиба ха- рактеристики операционного усилителя Как видно из графика, это означает, что в большей части диапазона частот вели- чина коэффициента усиления при разомк- нутой цепи обратной связи больше и уС11 литель имеет большую полосу пропуСка" ния. Промышленность выпускает опера' ционные усилители как в скорректирован ном, так и в нескорректированном ва рианте (например, ОУ типа 748-это не- скорректированный ОУ типа 741, то же самое можно сказать о следующих ипах ОУ 308(312), 3130(3160), НА5102(НА5Цъ и т.п.); для нескорректированных опера- ционных усилителей даются рекоменда- ции по выбору емкостей внешних конден- саторов в зависимости от значений коэф, фициента усиления при замкнутой цепи обратной связи. Этими рекомендациями полезно воспользоваться в тех случаях, когда нужно расширить полосу пропуска- ния и обеспечить большое усиление. Мож- но также использовать «подкорректиро- ванные» ОУ, например типа 357, в кото- рых обеспечена внутренняя коррекция для значений коэффициента усиления при замкнутой цепи обратной связи, превы- шающих некоторый минимум (Kv > 5 для ОУ типа 357). Коррекция по типу «полюс-нуль» ха- рактеристики. Иногда лучший результат, чем при однополюсной коррекции харак- теристики, можно получить, если исполь- зовать схему коррекции, которая сначала обеспечит спад усиления (наклон — 6 дБ/октава после полюса характерис- тики), а затем, начиная с некоторой час- тоты, ровную характеристику (нуль ха- рактеристики) выше частоты, соответ- ствующей второй точке излома «соб- ственной» характеристики операционного усилителя. При таком способе коррекции вторая точка излома характеристики тем самым устраняется и до третьей точки излома происходит плавный спад усиле- ния с наклоном —6 дБ октава. Частотная характеристика приведена на рис 4.88. На практике начало нулевого угла наклона задают таким образом, чтобы устранить вторую точку излома характеристики Усй" лителя, а положение первой точки излома устанавливают таким, чтобы полный от- клик схемы определялся единичным к0' эффициентом усиления на частоте соот- ветствующей третьей точке излома. В теХ' нических характеристиках усилителе11
Обратная связь и операционные усилители 265 обычно можно найти рекомендации по выбору компонентов А и С для коррекции по методу «полюс-нуль» наряду с реко- мендациями по выбору конденсаторов для однополюсной коррекции. Как вы узнаете из разд. 13.06, слишком большое смещение низкочастотного по- люса в область низких частот приводит к тому, что второй полюс оказывается лежащим в области более высоких частот, чем нуль характеристики. Это явление называю! «расщеплением точек излома». Частоту нуля выбирают с учетом этого явления 4.35. Частотная характеристика цепи обратной связи Мы предполагали до сих пор, что цепь обратной связи имеет плоскую частотную характеристику, это предположение верно в тех случаях когда в качестве цепи об- ратной связи используется резистивный Делитель напряжения. Однако иногда воз- иикает необходимость в модификации частотной характеристики усилителя (на- пример, в случае дифференциатора или интегратора) или цепи обратной связи для повышения запаса устойчивости схемы. Запомните, что в таких случаях следует использовать диаграммы Боде для коэф- фициента передачи всей петли обратной связи, а не графики изменения коэффици- ента усиления усилителя при разомкнутой цепи обратной связи. Коротко говоря, в идеальном случае кривая зависимости коэффициента усиления при замкнутой цепи обратной связи от частоты должна пересекать кривую зависимости коэффи- циента усиления при разомкнутой цепи обратной связи под углом 6 дБ/октава Чтобы этого достичь, очень часто, на- пример в обычных инвертирующих и не- инвертирующих усилителях, параллельно резистору обратной связи подключают небольшой конденсатор (емкостью не- сколько пикофарад). На рис. 4.89 дан при- мер такой схемы и соответствующей диа- граммы Боде. Если бы частотная характеристика схе- мы с обратной связью была горизонталь- ной, то усилитель находился бы на грани неустойчивости, так как в точке пересече- ния кривых их взаимный наклон состав- лял бы 12 дБ/октава. Конденсатор обес- печивает пересечение кривых под углом 6 дБ/октава и гарантирует тем самым ус- тойчивость схемы. Особенно важно не забывать об этом при разработке диф- ференциаторов, так как в идеальном диф- ференциаторе коэффициент усиления при замкнутой цепи обратной связи нарастает с подъемом 6 дБ/октава; на некоторой промежуточной частоте необходимо осла- Рис. 4.89
266 Глава 4 Обратная связь и операционные усилители 267 бить дифференцирующие свойства схемы, а на высоких частотах обеспечить спад усиления с наклоном —6 дБ/октава. Ин- теграторы в этом отношении не создают никаких проблем, так как сами по себе обеспечивают спад усиления —6 дБ/окта- ва. Нужно очень постараться, чтобы вы- звать автоколебания в интеграторе! Чему отдать предпочтение? Обычно при- ходится выбирать между операционным усилителем с внутренней коррекцией и без коррекции. Проще использовать скоррек- тированные усилители, обычно их и вы- бирают. Прежде всего остановите свое внимание на ОУ типа LF411 с внутренней коррекцией. Если вам нужна более широ- кая полоса пропускания и большая ско- рость нарастания то можно подобрать более быстродействующий скорректиро- ванный ОУ (см табл. 4 1 или 7.3). Если ничего не удается подобрать и коэффици- ент усиления при замкнутой цепи обрат- ной связи превышает единицу (как чаще всего и бывает), то можно использовать нескорректированный усилитель с внеш- ним конденсатором, рекомендуемым для вашего значения коэффициента усиления Некоторые усилители предоставляют другую возможность: «подкорректиро- ванная» схема, не требующая никаких внешних элементов коррекции, может быть использована в схемах, для которых коэффициент усиления больше е инщщ Например, для получившего широкое рас' пространение прецизионного ОУ Тйп' ОР-27 с низким уровнем шума (схема скорректирована для единичного усиде ния) существует два «подкорректировав^ ных» варианта схемы-это ОУ типа ОР-37 (минимальный коэффициент усиления ра вен 5), в 7 раз более быстродействующий чем скорректированный, и ОУ тИпа НА-5147 (минимальный коэффициент уси- ления равен 10), в 15 раз более быстро- действующий. Пример: источник питания переменного тока частотой 60 Гц. Нескорректирован- ные ОУ предоставляют возможность так изменять схемы коррекции, что легко раз- решается проблема, создаваемая допол- нительными фазовыми сдвигами возни- кающими за счет побочных элементов цепи обратной связи. На рис. 4 90 показан наглядный пример. Это усилитель низкой частоты предназначенный для получения напряжения переменного тока с амплиту- дой 115 В из синусоидального входного сигнала частотой 60 Гц (получаемого с помощью схемы, описанной в разд. 8.31). Операционный усилитель вместе с резис- торами R2 и 7?3 образует усилитель на- пряжения имеющий 100-кратное усиле- ние; далее он используется как усилитель с относительно небольшим коэффициев- Входной сигнал 2,5 В (эфф) частота 50-70 Гц Фиксированное сопро - тивпение 1,8 кОм + перем, со- противление 1 кОм /?1 /?г 2.2 кОм 71 кОм 68 мкФ 748 82 пФ /?4 68 кОм 220 кОм +16 В (нестабилизир) ----16 В (стабилизир) R J 4700 пФ 10gX /?9 5,6 кОм Рис 4.90. Выходной усилитель источника питания с частотой 50 Гц . «разомкнутого» усиления, когда вся Т ема охватывается общей петлей обрат- Сой связи. Выход ОУ управляет двухтакт- ” t выходным каскадом, нагруженным а первичную обмотку трансформатора. Цепь обшей низкочастотной обратной связи подключается к выходу трансфор- матора через резистор Rl0 и обеспечивает получение небольших искажении и ста- бильного выходного напряжения при из- менениях тока в нагрузке. Наличие очень больших фазовых сдвигов в трансформа- торе на высоких частотах приводит к не- обходимости введения на высоких часто- тах дополнительной цепи обратной связи через конденсатор С3, подключенный к низковольтной обмотке трансформатора Резисторы R9 и Rl0 выбраны так, чтобы согласовать глубину обратной связи на всех частотах. Несмотря на то что высо- кочастотная цепь ОС подключена непо- средственно к выходу двухтактного кас- када все равно имеют место фазовые сдвиги обусловленные реактивной на- грузкой (первичная обмотка трансформа- тора) на выходные транзисторы. Для того чтобы схема обладала достаточной ус- тойчивостью даже при наличии реактив- ных нагрузок выхода с напряжением 115 В, в ОУ выполнена избыточная кор- рекция с помощью конденсатора емко- стью 82 пФ (для коррекции по единич- ному коэффициенту усиления достаточно иметь 30 пФ), а связанное с этим сужение полосы пропускания не имеет значения - схема используется на низких частотах. Представленная схема является приме- ром компромиссного решения, так как в идеальном случае желательно иметь как можно большее петлевое усиление для ооеспечения стабильного выходного на- яжения устойчивого к изменениям тока в нагрузке. Однако большое петлевое уси- тение повышает тенденцию усилителя к Вт колебаниям особенно в случае ис- пользования реактивной нагрузки Это Связано с тем, что реактивная нагрузка сочетании с конечным выходным им- педансом трансформатора вызывает до- °лнительный фазовый сдвиг в петле низ- кочастотной ОС. Так как данная схема предназначена для управления синхрон- 0 5 10 15 Мощность, Вт Рис. 4.91. ными электродвигателями телескопа (ко- торые представляют собой высокоиндук- тивную нагрузку), коэффициент передачи петли ОС специально сделан небольшим. Зависимость выходного напряжения пере- менного тока от нагрузки представлена на рис. 4.91. Судя по кривой, схема обеспе- чивает хорошую (но не слишком высо- кую) стабилизацию напряжения. Низкочастотные автоколебания. В уси- лителях с обратной связью, имеющих межкаскадные связи по переменному то- ку, проблемы обеспечения устойчивости могут возникать и на самых низких час- тотах. Они бывают связаны с накопле- нием опережающего фазового сдвига, ко- торый может возникнуть в усилителе, со- стоящем из нескольких каскадов, соеди- ненных между собой через конденсаторы. Каждый блокирующий конденсатор в со- четании с входным сопротивлением, обус- ловленным цепями смешения и другими подобными элементами схемы усилителя создает опережающий фазовый сдвиг на низкочастотном участке характеристики, который на частоте, соответствующей точке — 3 дБ, равен 45 а на более низких частотах приближается к 90 Если цепь ОС обладает достаточным коэффициен- том передачи, то в схеме могут возник- нуть низкочастотные колебания, которые иногда называют шумом моторной лод- ки - «motorboatmg» В настоящее время, когда при необходимости всегда можно
268 Глава 4 | Конвертер отрицат. ' сопротив-1 пения , Земля ------------- Рис. 4.93. использовать усилители со связями по постоянному току, низкочастотные авто- колебания почти никогда на практике не возникают. Тот, кто занимается электро- никой давно, наверняка сталкивался с эти- ми явлениями в прошлом СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ 4.36. Некоторые полезные идеи На рис. 4 94 показано несколько интерес- ных и удачных схем, заимствованных в основном из технической документации фирм-изготовителей 4.37. Негодные схемы На рис 4 95 представлена целая коллек- ция схем, содержащих заведомые ошибки Пусть они немного вас позабавят и пре- достерегут от возможных промахов в ра- боте. Среди них есть несколько настоя- щих чудищ Можно гарантировать, что они никогда не будут работать. Разбери- тесь, почему. Во всех операционных уси- лителях используются источники питания ±15 В; если используются другие напря- жения питания, то они указаны на схемах. ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ (1) Разработайте схему «чувствительного вольтмет- ра» Он должен. иметь Z = 1 МОм и в четырех диапазонах обеспечивать чувствительность от 10 мВ до 10 В. Используйте измерительный прибор с разма- хом шкалы 1 мА и операционный усилитель. Если потребуется, отрегулируйте сдвиги напряжения Под- считайте показания прибора при разомкнутом входе, если: (а) /см = 25 пА (типичное значение для ОУ типа 411) и (б) /см = 80 нА (типичное значение для Оу 741). Подумайте о том, какие ограничения ввести, чтобы предохранить прибор от повреи/^ (например, можно ограничить величину тока она не превышала 200% полного размаха и защитите входы усилителя от напряжений, кот^ выходят за пределы питающих напряжений. Ка/1*5 думаете: подойдет ли для высокоимпедансных и рений сигналов низкого уровня ОУ типа 741? (2) Разработайте схему усилителя звуковых частот основе ОУ типа ОР-27 (имеет низкий уровень цм, 84 рекомендуется для использования в усилителях зву^ вых частот). Усилитель должен иметь следующие рактеристики: К = 20 дБ, ZBX = 10 кОм, точка -з^ соответствует частоте 20 Гц. Используйте неинверщ рующую схему, предусмотрите, чтобы спад усилена на низких частотах ослаблял влияние входного щ. пряжения сдвига. Разработайте схему с учетом того что влияние входного тока смещения на выходное сдвиг должно быть минимальным. Учтите, что источ- ник сигнала подключен через конденсатор. (3) Разработайте схему расщепления фаз (фазоинвер- тора) с единичным коэффициентом усиления см гл. 2) на основе ОУ типа 411. Схема должна иметь высокое входное и низкое выходное сопротивленм. Учитывая ограничения по скорости нарастания, оце- ните грубо максимальную частоту, на которой можно получить полный размах сигнала (27 В от пика до пика при использовании напряжения питания +15 В). (4) Усилители мощности звуковых частот El Cheapo на верхних частотах возбуждаются из-за начинающе- гося с частоты 2 кГц (точка + 3 дБ на характеристик) нарастания усиления +6 дБ/октава Разработайте простой 7?С-фильтр, который можно было бы вклю- чить между предусилителем и усилителем для ком- пенсации возбуждения. При необходимости RC- фильтр можно построить на ОУ типа AD611 (еще одна интегральная схема, рекомендуемая для испоаь- зования в звуковом диапазоне частот). Для предуси- лителя Zbhx = 50 кОм а для усилителя ZM = 10 кОм. (5) Операционный усилитель типа 741 используется в качестве простого компаратора с одним заземлен- ным входом, т. е. в качестве детектора нулевого уров- ня. На второй вход поступает синусоидальный сигнал с амплитудой I В (частота 1 кГц). Чему равно напря- жение на входе, когда напряжение на выходе проходят через уровень 0 В? Предположите, что скорость на- растания составляет 0,5 В/мкс, а напряжение насы- щения выхода равно —13 В. (6) На рис. 4.92 дан пример схемы преобразователя отрицательного сопротивления (а) Чему равно ее входное сопротивление? (б) Диапазон выходного на- пряжения ограничен значениями U+ и L-- Kax<J диапазон входного напряжения, в котором схема ра- ботает без насыщения? (7) Представьте только что рассмотренную в виде эквивалентного «черного ящика» с двумя вЫ водами (рис. 4.93) Как с помощью этого лвУхпоЛ1°Г ника построить усилитель постоянного тока с коэф" фипиентом усиления —10? Почему нельзя сдеЛаТЪ усилитель постоянного тока с коэффициентом f"’ ления -г 10? (Подсказка: в определенном диапаз сопротивлений источника схема работает как <<з3 щелка». Чему равен этот диапазон? Какие меры гут, на наш взгляд, здесь помочь?)
удачные схемы 4 94 а-прецизионный источник тока с использованием полевого МОП-транзистора; о- инвертирующий М®Итель с ломаной характеристикой; коэффициент усиления К увеличивается для входных сигналов. пРевьицающих пороговое значение; в формулах пороговых значений предполагается, что U— 0; пороговое ^Ряжени должно быть > 0,5 В, «-аналогично б, но коэффициент усиления уменьшается для выходных пряжен выше порогового значения, г-аналогично в, но с компаратором и переключателем; работает как <СовеРШенныи диод (Ъ\ = 0);
Рис. 4.94 (продолжение). д-благодаря дополнительным ОУ выходной ток увеличен: следите, чтобы не было перегрева; е- iokobH® монитор; ж - прецизионная схема, поглощающая ток; з-пиковый детектор для отрицательных импульс0* и- схема с переменным коэффициентом усиления; к-усилитель с фотодиодом; л-схема управления током;
100 кОм 100кОм Небольшое соор. ОкОм Соленоид вых R ЮОкОм иЭЭ+ЗВ<ивх<ЗОВ Вывод Ыкк не подключен Выход R =10 к Ом н 00 кОм ОР-77 1,0 Ом 15 Б Сервомотор 1 ±200 мА Овых(макс)= = 130 В (от пика до пика) 10,2В—- 100 кОм 100 кОм U+ = + 35 LL =- 35 о yLM394 Ю кОм f 150 кОм kM394 yLM394 10 кОм 150 кОм 4-LM394 ОР-77 20 кОм 0,001 — ,001 кОм । к0м|_]11М148 LTW12 1 кОм LT1012 Выход 4-94 (продолжение). Прецизионный биполярный источник тока; и-активный соленоид; о-оригинальный повторитель на полевом Дрнзисторе с 3 выводами; и-логарифмический преобразователь с температурной компенсацией; р-высоко- тная (мостовая) схема для плавающей нагрузки; с-сервоусилитель 0,2 А;
Рис 4.94 (продолжение). т~ логарифмический преобразователь с широким входным диапазоном и автоматическим обнуление** основе усилителя прерывателя; у-источник тока: §(>-быстродействующий логарифмический преобразова л —усилитель с переменным усилением с небольшими искажениями;
150м, 5% Рис V Продолжение) ^полярный прецизионный ограничитель ч- высоковольтный ОУ с низким уровнем шума техническая Г^У^ентация PMI, примеч. по использованию 106); ш - получение удвоенного выходного сигнала в схеме на 8е сдвоенного ОУ; «/-быстродействующий пиковый детектор; э-усилитель для наушников со слабым ^^^№ием (техническая документация РМ1, примеч. по использованию 106): ю- детектор нуля с одним °Чвиком питания
Рис. 4.94 (продолжение). я-источник тока Хауленда для управляющих схем преобразования напряжений в ток (от 1 мкА до 1 А-управляемый напряжением усилитель-преобразователь с линеаризованной характеристикой Б упр2®' ляемыи напряжением (переменного тока) нагрузочный резистор; В - управляемый напряжением генераторе3 основе усилителя-преобразователя.
Рис. 4.95. °'Регулируемый ограничитель: б-выходной каскад на ОУ для усилителя звуковых частот со 100-кратным Усилением в-генератор треугольного сигнала: г- источник тока (программируемый напряжением); д- источник т°Ка на 200 мА; е- усилитель постоянного тока (100-кратный):
Рис. 4.95 (продолжение). ж-усилитель звуковых частот со 100-кратным усилением и однополярным питанием; з- стабилизатор на 15 В* w - операционный усилитель в качестве стабилизатора т 15 В. к-триггер Шмитта; л-10-кратный усилитель со связями по переменному току; .и-усилитель постоянного тока с перестраиваемым усилением (хЮ- х KW1 и-детектор нуля;
+ 15 4 95 (продолжение). ’"операционные 'силители с переключателями; п - управление коэффициентом усиления с помощью полевого тР®изистор р-источник тока; с-интегратор со сбросом.
ГЛАВА 5 АКТИВНЫЕ ФИЛЬТРЫ И ГЕНЕРАТОРЫ Весьма интересный класс линейных (т.е. нецифровых) схем можно изучать, зная только транзисторы и ОУ. Это позволит читателю усвоить ряд трудных моментов (а именно, нюансы поведения транзисто- ров, обратную связь, ограничения, свой- ственные ОУ и др.), перед тем как присту- пить к описанию новых устройств и мето- дик проектирования в обширной области цифровой схемотехники. С этой целью в данной главе кратко рассмотрим актив- ные фильтры и генераторы. Другие ана- логовые устройства будут рассмотрены в гл. 6 (стабилизаторы напряжения и сильноточные устройства), гл. 7 (преци- зионные и малошумящие схемы), гл. 13 (радиочастотная техника), гл. 14 (проек- тирование маломощных схем) и гл. 15 (измерения и обработка сигналов). В пер- вой части этой главы описывается спе- циализированная аппаратура (активные фильтры, разд. 5.01-5.11), и при первом чтении эту часть можно опустить. Однако вторую часть этой главы (генераторы, разд. 5.12-5.19), в которой описывается аппаратура с широкой областью примене- ния, опускать не следует. АКТИВНЫЕ ФИЛЬТРЫ В гл. 1 мы уже начали рассматривать фильтры, состоящие из резисторов и кон- денсаторов. Эти простые АС-фильтры верхних или нижних частот обеспечивают пологие характеристики коэффициента передачи с наклоном 6 дБ октава после точки, соответствующей значению коэф- фициента передачи —3 дБ. Было также показано, как построить полосовой фильтр, соединяя каскадно фильтры верх- них и нижних частот; при этом характе- ристики такого фильтра опять же имеют пологие «склоны» с наклоном 6 дБ окта ва. Для многих целей такие фильтры вполне подходят, особенно в тех случаях, I когда сигнал, который должен быть по- давлен, далеко сдвинут по частоте отно- сительно желательной полосы пропуска- ния. В качестве примеров можно указать шунтирование радиочастотных сигналов в схемах усиления звуковых частот, «бло- кирующие» конденсаторы для исключе- ния постоянной составляющей и разделе- ние модулирующей и несущей частот (см. гл. 13). 5.01. Частотная характеристика АС-фильтров Однако часто возникает необходимость в фильтрах с более плоским участком характеристики в полосе пропускания и более крутыми склонами. Такая потреб- ность существует всегда, когда надо от- фильтровать сигнал от близкой по часто- те помехи. Немедленно возникает следую- щий очевидный вопрос: можно ли (соеди- няя каскадно одинаковые фильтры, ска- жем. нижних частот), получить аппрокси- мацию идеальной характеристики фильт- ра нижних частот типа «кирпичная стена», как это показано на рис. 5.1. Мы знаем, что простое каскадное со- единение не дает результата без ухуДШ^ ния общей характеристики, так как вход- ное сопротивление каждого звена буЖт Рис. 5 I
Активные фильтры и генераторы 279 Р 5-2. Частотные характеристики многокаскадных '“фильтров Графики а и б выполнены в линейном график в - в логарифмическом. Характерно- И*и на графиках бив нормализованы приведением °ЧКИ —з др к единичной частоте. служить существенной нагрузкой для пре- дыдущего звена. Но если поставить бу- феры между всеми звеньями (или сделать полное входное сопротивление каждого звена намного выше, чем у предыдущего) то, казалось бы, можно добиться желае- мого эффекта. Тем не менее ответ на поставленный вопрос будет отрицатель- ным. Соединенные каскадно АС-фильтры действительно дадут суммарную характе- ристику с крутым наклоном, но «излом» этой амплитудно-частотной характерис- тики не будет резким. Это можно сфор- мулировать так: из многих плавных пере- гибов не сделать одного крутого. Чтобы проиллюстрировать этот вывод, постро- им несколько графиков частотных харак- теристик коэффициента усиления (т.е. СВЫХ/(7ВХ) фильтров нижних частот, со- ставленных из 1, 2, 4, 8, 16 и 32 идентич- ных, полностью развязанных буферными усилителями АС-звеньев (рис. 5.2). На первом графике показан эффект от каскадного соединения нескольких АС- звеньев, у каждого из которых точка, соответствующая значению —3 дБ, нахо- дится на единичной частоте. По мере добавления новых секций точка —3 дБ суммарной характеристики сдвигается в сторону низких частот, что легко было предсказать. Чтобы сравнение характе- ристик фильтров было корректным, надо таким образом согласовать частоты среза отдельных звеньев, чтобы частота, от- вечающая значению —3 дБ, была одна и та же для сравниваемых фильтров. Ос- тальные графики на рис. 5.2, как и не- сколько следующих графиков в этой гла- ве, «нормированы» по частоте, в том смысле, что точка, отвечающая значению — 3 дБ (или точка перегиба), находится на частоте 1 рад/с (или 1 Гц). Для того что- бы определить характеристику фильтра, у которого точка перегиба находится на другой частоте, нужно просто изменить масштаб по оси частот с помощью умно- жения значений на частотной оси на ис- тинное значение точки перегиба fc. Как правило, имея дело с фильтрами, мы бу- дем придерживаться графиков с логариф- мическим масштабом по обеим осям, по- скольку такой график дает наибольшую
280 Глава 5 информацию об амплитудно-частотной характеристике. Он позволяет увидеть приближение к окончательной крутизне спада и дает возможность установить точ- ное значение затухания В данном случае (каскадное соединение ЛС-звеньев) нор- мализованные графики на рис. 5.2.6 и 5.2, в демонстрируют плавный изгиб ха- рактеристики пассивных /?С-фильтров. 5.02. Идеальный рабочий режим 1С-фильтров Как было показано в гл. 1, фильтры, по- строенные из конденсаторов и катушек индуктивности, могут иметь весьма кру- тые характеристики Примером этого мо- жет служить параллельный резонансный LC-контур. Введение в конструкцию ка- тушек индуктивности дает возможность создать фильтр с любой желаемой бли- зостью участка характеристики в полосе пропускания к горизонтальной прямой в сочетании с резкостью переходной обла- сти и крутизной спада вне полосы про- пускания. На рис. 5.3 приведены в качест- ве примера телефонный фильтр и соот- ветствующая характеристика. Очевидно, что введение катушек индук- тивности в схему дает некий магический эффект, который без них не может быть достигнут. По терминологии теории пей, эта магия заключается в налич^' «внеосевых полюсов». Тем не менее ci ность фильтра возрастает по мере ужест** чения требований к горизонтальности °' плавности амплитудно-частотной хапаЙ теристики в полосе пропускания и к кп тизне спада вне ее, приводя к увеличений числа элементов по сравнению с преду душим фильтром. Переходная и фазочас' тотная характеристики, вообще говоря также ухудшаются по мере приближения амплитудно-частотной характеристики к идеальной прямоугольной форме (кир. пичная стена). Синтез фильтров из пассивных элемен- тов (A, L, С)-хорошо исследованная об- ласть [см., например, авторитетный спра- вочник Зверева (тематические ссылки в конце книги)]. Единственной проблемой является то, что катушки индуктивности как элемент схемы часто оставляют же- лать лучшего. Они нередко бывают доро- гими и громоздкими, далеки от идеала, поскольку ведут к «потерям», а именно имеют значительное последовательное сопротивление, равно как и другие «пато- логии» такие, как нелинейность, распре- деленная межвитковая емкость обмотки и чувствительность к магнитным поме- хам. 97,5 605 143 583 143 605 97,5 80 70 . 60 ?50- 5 40- 5 30- = 20- 10- 12 14 1b 18 20 22 24 Частота, кГц Рис. 5.3. Вверху: пассивный полосовой фильтр с хорО" шими параметрами, построенный из конденсатор® и катушек индуктивности; емкость указана в п индуктивность-в мГн. Внизу: экспериментально яз меренная характеристика этого фильтра (На осно* рис. 11 и 12 из статьи Orchard H.J., Sheahan D- ’ IEEE journal of solid-stale curcuiis. SC-5. No. 3 (1970У
Активные фильтры и генераторы 281 Следовательно, НУЖНО найти способ по- ^-оения фильтров без катушек индуктив- с характеристиками идеальных ^С-фильтров. 03, Введение в активные фильтры: обзор ПрИ использовании в качестве элемента QjgMU фильтра ОУ можно синтезировать ^драктеристику любого /?£С-фильтра без применения катушек индуктивности. Та- де безиндуктивные фильтры известны под названием «активные фильтры» из-за наличия в их схеме активного элемента (усилителя Активные фильтры можно использо- вать для реализации фильтров нижних и верхних частот, полосовых и полосно- подавляющих фильтров, выбирая тип фипьтра в зависимости от наиболее важ- ных свойств характеристики, таких, как максимальная равномерность усиления в полосе пропускания, крутизна переходной области или независимость времени за- паздывания от частоты (далее об этом подробнее). Кроме того, можно постро- ить как «всепропускающие фильтры» с плоской амплитудно-частотной характе- ристикой, но нестандартной фазочастот- ной характеристикой (они также известны как «фазовые корректоры»), так и наобо- рот-фильтр с постоянным фазовым сдви- гом, но с произольной амплитудно-час- тотной характеристикой. Коивертеры отрицательного полного со- ставления и гираторы. Есть два инте- ресных схемных элемента, которые сле- дует упомянуть в любом обзоре: это- конвертер отрицательного полного со- нротавления (КОС) и гиратор. Эти уст- °°нств1 могут имитировать свойства ка- индуктивности, хотя в них кроме используются только конденсаторы 0 Резисторы. Раз это так, то мы можем делать безин- 1УГгивные фильтры с идеальными свой- 01 Щ /?ГС-фильтра. таким образом- 5° по крайней мере один из способов Ш®нзапии активных фильтров. КОС преобразует полное сопротивле- в ему противоположное (т. е. с обрат- Рис. 5.4. Преобразователь (конвертер) полного отри- цательного сопротивления. ным знаком), в то время как гиратор преобразует полное сопротивление в об- ратное (т. е. емкость в индуктивность). Следующие упражнения помогут уяснить, как это происходит. Упражнение 5.1. Покажите, что изображенная на рис. 5.4 схема представляет собой конвертер полного сопротивления, в частности что Zbx = — Z. Подсказка: подайте на вход какое-нибудь напряжение U и вы- числите входной ток I Затем возьмите их отношение, чтобы найти Zex = U/I. Упражнение 5.2. Покажите, что схема на рис. 5.5 есть гиратор, в частности что Zbx = R2/Z. Подсказка: эту схему можно рассматривать как набор делителей напряжения, начиная справа. Таким образом, КОС превращает кон- денсатор в «обратную» катушку индук- тивности: Zc = 1/jcoC -»• ZBX =j/(dC, т. e. в том смысле, что порождаемый ток запаздывает относительно приложенного напряжения, а его полное сопротивление имеет неправильную частотную зависи- мость (при возрастании частоты оно не растет, а убывает) Гиратор же. напротив, превращает конденсатор в элемент с ис- тинной индуктивностью Zc = 1/jcoC -»• ZBX =j<bCR2, т.е. индуктивность которого L— CR2
282 Глава 5 Существование гиратора делает интуи- тивно ясным тот факт, что можно постро- ить безындуктивный фильтр, имитирую- щий любой фильтр, использующий ка- тушки индуктивности: просто заменить каждую катушку «гиратированным» кон- денсатором. Такое применение гираторов вполне корректно, и ранее упомянутый телефонный фильтр построен именно та- ким способом. Кроме того, простая вставка гираторов в существующие RLC- схемы позволяет создавать много иных структур фильтров. Проектирование ак- тивных безындуктивных фильтров - весь- ма активно развивающаяся область, и описания новых конструкций появляются в журналах каждый месяц. Фильтры Саллена и Ки. На рис. 5.6 приведен пример простого фильтра, по- строенного даже отчасти из интуитивных соображений. Он известен как фильтр Саллена и Ки, по имени его изобретате- лей Здесь в качестве усилителя с единич- ным коэффициентом усиления может ис- пользоваться ОУ, включенный в режиме повторителя, либо просто эмиттерный повторитель. Данный фильтр представля- ет собой двухполюсный фильтр верхних частот. Следует отметить, что это был бы просто двухкаскадный /?С-фильтр, если бы первый резистор не был соедцНен I выходом. Легко показать, что на а», с низких частотах наклон характеристик такой же, как и у /?С-фильтра, посколь выходной сигнал практически равен нуЛ' Рост же выходного сигнала при увелич нии его частоты приводит к уменьшений ослабления в результате действия этой следящей связи, и за счет этого становит ся более резким излом характеристики Конечно, такое объяснение на пальцах не может заменить полного расчета, уЖе к счастью, проделанного для огромного числа хороших фильтров. Мы вернемся к схемам активных фильтров в разд. 5.06 5.04. Критерии режима работы фильтра Ки При анализе фильтров и при расчете и.х параметров всегда используются некото- рые стандартные термины и имеет смысл придерживаться их с самого начала. Частотная область. Наиболее очевид- ной характеристикой фильтра является зависимость его коэффициента передачи от частоты; типичный случай - характе- ристика фильтра нижних частот, показан- ная на рис. 5.7. Здесь полоса пропускания представляет собой область частот, кото- рые сравнительно мало ослабляются фильтром. Чаше всего считается, что по- лоса пропускания простирается до точки, соответствующей значению затухания — 3 дБ, но для некоторых фильтров (сре- ди них замечательны фильтры с «равно- великими пульсациями») граница полосы пропускания может быть определена не- Полоса про- пускания ( •---------»- Размах (лог. масштаб) Рис. 5.7. Частотные характеристики фильтров, «-коэффициент усиления (логарифмический масштаб), б и сдвиг фазы и временное запаздывание (линейный масштаб) в
Активные фильтры и генераторы 283 пько иначе. Внутри же полосы про- характеристика может быть не- *%длмерной, или пульсирующей, с опре- Р\енным диапазоном (полосой) пульсаций <пактеристики, как это и показано на ^унке Частота среза fc определяет гра- полосы пропускания. Далее характе- -ясгика фильтра проходит через переход- ам область (известную также как «склон» Ждттепистики фильтра) к полосе задер- жания - области значительного ослаб- драия. Полосу задерживания можно опре- делить через некоторое минимальное за- дание например 40 дБ. Наряду с характеристикой коэффициен- та передачи в частотной области важен и другой параметр, а именно сдвиг фазы выходного сигнала по отношению к вход- ному. Другими словами, нас интересует комплексная частотная характеристика фильтра, которая обычно обозначается как H(s), где s = /со; s и Н-комплексные величины Фазочастотная характеристика важна, поскольку сигнал, целиком распо- ложенный по частоте в полосе пропуска- ния, будет искажен, если время запазды- вания при прохождении через фильтр не будет постоянным для различных частот Постоянство временной задержки (для всех частот) соответствует линейному возрастанию фазового сдвига в зависимо- сти от частоты, поэтому термин фильтр с линейной фазочастотной характеристи- кой применяется к идеальному в этом отношении фильтру. На рис. 5.8 показаны типовые графики фазочастотной характе- Нормированная частота (пин. масштаб) с 5.8. Фазовая и амплитудно-частотная характери- р™101 8-полюсного фильтра Чебышева нижних частот ^ЗМах пульсаций (неравномерность) 2 дБ. ристики и амплитудно-частотной харак- теристики фильтра нижних частот, кото- рый явно не является линейно-фазовым фильтром. Графики фазочастотной харак- теристики лучше всего строить в линей- ном по частоте масштабе. Временная область. Свойства фильтров, как и любых других схем переменного тока, могут быть описаны также их пара- метрами во временной области, а именно временем нарастания, выбросом, пульса- циями и временем установления. Эти свойства важны, в частности, там, где должны использоваться ступенчатые или импульсные сигналы. На рис. 5.9 показа- на типичная переходная характеристика фильтра нижних частот. Здесь время на- растания представляет собой время, необ- ходимое для достижения сигналом 90% своего конечного значения, в то время как время установления-это время, необхо- димое для того, чтобы сигнал попал в не- которую окрестность конечного значения и там остался. Выброс и колебания описы- вают нежелательные свойства фильтра, смысл которых ясен из их названия 5.05. Типы фильтров Предположим, что требуется фильтр ниж- них частот с плоской характеристикой в полосе пропускания и резким переходом к полосе подавления. Окончательный же наклон характеристики в полосе задержи- вания всегда будет 6п дБ/октава. где п- число «полюсов». На каждый полюс не- обходим один конденсатор (или катушка индуктивности), поэтому требования к окончательной скорости спада частотной
284 Глава 5 характеристики фильтра, грубо говоря, определяют его сложность. Теперь предположим, что вы решили использовать 6-полюсный фильтр нижних частот. Вам гарантирован окончательный спад характеристики на высоких частотах 36 дБ/октава. В свою очередь теперь мож- но оптимизировать схему фильтра в смысле обеспечения максимально плос- кой характеристики в полосе пропускания за счет уменьшения крутизны перехода от полосы пропускания к полосе задержива- ния. С другой стороны, допуская некото- рую неравномерность характеристики в полосе пропускания, можно добиться бо- лее крутого перехода от полосы пропус- кания к полосе задерживания. Третий кри- терий, который может оказаться важным, описывает способность фильтра пропус- кать сигналы со спектром, лежащим в по- лосе пропускания, без искажений их фор- мы, вызываемых фазовыми сдвигами Можно также интересоваться временем нарастания, выбросом и временем уста- новления. Известны методы проектирования фильтров, пригодные для оптимизации любой из этих характеристик или их ком- бинаций. Действительно разумный выбор фильтра происходит не так, как описано выше; как правило, сначала задаются тре- буемая равномерность характеристики в полосе пропускания и необходимое зату- хание на некоторой частоте вне полосы пропускания и другие параметры. После этого выбирается наиболее подходящая схема с количеством полюсов, достаточ- ным для того, чтобы удовлетворялись все эти требования. В следующих нескольких разделах будут рассмотрены три наиболее популярных типа фильтров, а именно фильтр Баттерворта (максимально пло- ская характеристика в полосе пропуска- ния), фильтр Чебышева (наиболее крутой переход от полосы пропускания к полосе подавления) и фильтр Бесселя (макси- мально плоская характеристика времени запаздывания). Любой из этих типов фильтров можно реализовать с помощью различных схем фильтров, некоторые из них мы обсудим позже Все они равным образом годятся для построения фильт- ров нижних и верхних частот и полосок фильтров. Ь1х Фильтры Баттерворта и Чебыщеь Фильтр Баттерворта обеспечивает наиб^ лее плоскую характеристику в полосе пп пускания, что достигается ценой плавно сти характеристики в переходной области* т.е. между полосами пропускания и За’ держивания. Как будет показано далыце' у него также плохая фазочастотная хара^ теристика. Его амплитудно-частотная ха- рактеристика задается следующей форму, лой: и.ш/ит = 1/[1 + (Ж)2"]1'2, где п определяет порядок фильтра полюсов). Увеличение числа полюсов да- ет возможность сделать более плоским участок характеристики в полосе пропус- кания и увеличить крутизну спада от по- лосы пропускания к полосе подавления, как это показано на рис. 5.10. Выбирая фильтр Баттерворта, мы ради максимально плоской характеристики по- ступаемся всем остальным. Его характе- ристика идет горизонтально, начиная от нулевой частоты, перегиб ее начинается на частоте среза /.-эта частота обычно соответствует точке —3 дБ. В большинстве применений самым су- щественным обстоятельством является то, что неравномерность характеристики в полосе пропускания не должна превы- Рис. 5.10 Нормированные характеристики фильП^ нижних частот Баттерворта. Обратите внимание увеличение крутизны спада характеристики с увели4®' нием порядка фильтра.
Активные фильтры и генераторы 285 Рю. 5.11. Сравнение характеристик некоторых обыч- во применяемых 6-полюсных фильтров нижних час- тот.’Характерис гики >дних и тех же фильтров изобра- жен и в логарифмическом (вверху), и в линейном (визу) масштабе. У-фильтр Бесселя; 2-фильтр Бат- терворта, 3-фильтр Чебышева (пульсации 0,5 дБ). шать некоторой определенной величины, стажем 1 дБ Фильтр Чебышева отвечает этому требованию, при этом допускается которая неравномерность характерис- тики во всей полосе пропускания но при этом сильно увеличивается острота ее из- юма Для фильтра Чебышева задают число полюсов и неравномерность в по- лосе пропускания Допуская увеличение равномерности в полосе пропускания, получаем более острый излом Ампли- тудно-частотная характеристика этого <*)альтра задается следующим соотноше- нием = 1/[1 + s2C«)]ln, гДе Сп-полином Чебышева первого рода степени п, а е-константа, определяющая неравномерность характеристики в поло- се пропускания. Фильтр Чебышева, как и фильтр Баттерворта имеет фазочастот- ные характеристики, далекие от идеаль- ных. На рис. 5.11 представлены для срав- нения характеристики 6-полюсных фильт- ров нижних частот Чебышева и Баттер- ворта. Как легко заметить, и тот, и дру- гой намного лучше 6-полюсного АС- фильтра. На самом деле фильтр Баттерворта с максимально плоской характеристикой в полосе пропускания не столь привлека- телен. как это может показаться, посколь- ку в любом случае приходится мириться с некоторой неравномерностью в полосе пропускания (для фильтра Баттерворта это будет постепенное понижение характе- ристики при приближении к частоте fc, а для фильтра Чебышева - пульсации, рас- пределенные по всей полосе пропускания). Кроме того, активные фильтры, постро- енные из элементов, номиналы которых имеют некоторый допуск, будут обладать характеристикой, отличающейся от рас- четной, а это значит, что в действитель- ности на характеристике фильтра Баттер- ворта всегда будет иметь место некоторая неравномерность в полосе пропускания На рис. 5.12 проиллюстрировано влияние наиболее нежелательных отклонений зна- чений емкости конденсатора и сопротив- ления резистора на характеристику фильтра. Рис. 5.12. Влияние изменений параметров элементов на характеритику активного фильтра.
286 Глава 5 В свете вышеизложенного весьма ра- циональной структурой является фильтр Чебышева. Иногда его называют равно- волновым фильтром, так как его характе- ристика в области перехода имеет боль- шую крутизну за счет того, что по полосе пропускания распределено несколько рав- новеликих пульсаций, число которых воз- растает вместе с порядком фильтра. Даже при сравнительно малых пульсациях (по- рядка 0,1 дБ) фильтр Чебышева обеспе- чивает намного большую крутизну харак- теристики в переходной области, чем фильтр Баттерворта. Чтобы выразить эту разницу количественно, предположим, что требуется фильтр с неравномерно- стью характеристики в полосе пропуска- ния не более 0,1 дБ и затуханием 20 дБ на частоте, отличающейся на 25% от гра- ничной частоты полосы пропускания. Рас- чет показывает, что в этом случае тре- буется 19-полюсный фильтр Баттерворта или всего лишь 8-полюсный фильтр Че- бышева. Мысль о том, что можно мириться с nyj ьсациями характеристики в полосе пропускания ради увеличения крутизны переходного участка, доводится до своего логического завершения в идее так назы- ваемого эллиптического фильтра (или фильтра Кауэра), в котором допускаются пульсации характеристики как в полосе пропускания, так и в полосе задерживания ради обеспечения крутизны переходного участка даже большей, чем у характерис- тики фильтра Чебышева. С помощью ЭВМ можно сконструировать эллиптиче- ские фильтры так же просто, как и класси- ческие фильтры Чебышева и Баттерворта. На рис. 5.13 представлено графическое задание амплитудно-частотной характе- ристики фильтра. В этом случае (фильтр нижних частот) определяются допусти- мый диапазон коэффициента передачи фильтра (т. е. неравномерность) в полосе пропускания, минимальная частота, на которой характеристика покидает полосу пропускания, максимальная частота, где характеристика переходит в полосу задер- живания, и минимальное затухание в по- лосе задерживания Фильтры Бесселя. Как было установле- ние. 5.13. Задание параметров частотной характер® тики фильтра. но ранее, амплитудно-частотная характе- ристика фильтра не дает о нем полной информации. Фильтр с плоской ампли- тудно-частотной характеристикой может иметь большие сдвиги фаз. В результате этого форма сигнала, спектр которого лежит в полосе пропускания, будет иска- жена при прохождении через фильтр. В ситуации, при которой форма сигнала имеет первостепенное значение, желатель- но иметь в распоряжении линейно-фазо- вый фильтр (фильтр с постоянным време- нем запаздывания). Предъявление к фильтру требования обеспечения линей- ного изменения сдвига фазы в зависи- мости от частоты эквивалентно требова- нию постоянства времени запаздывания для сигнала, спектр которого расположен в полосе пропускания, т. е. отсутствия ис- кажений формы сигнала. Фильтр Бесселя (также называемый фильтром Томсона) имеет наиболее плоский участок кривой времени запаздывания в полосе пропуска- ния. подобно тому как фильтр Баттер- ворта имеет наиболее плоскую амплитуй- но-частотную характеристику Чтобы по- нять, какое улучшение во временной о°т1 ласти дает фильтр Бесселя, посмотрите на рис. 5.14, где изображены нормированной по частоте графики времени запаздыв3’ ния для 6-полюсных фильтров нижЫв частот Бесселя и Баттерворта. Пл°хаЯ характеристика времени запаздывав^ фильтра Баттерворта обуславливает по* явление эффектов типа выброса при пр0^ хождении через фильтр импульсных С11Г
Активные фильтры и генераторы 287 рас 5.14. Сравнение временных запаздываний для флюсных фильтров нижних частот Бесселя (7) и Баттерворта (2). Фильтр Бесселя благодаря своим пр^осходным свойствам во временной области дает пяимгньтее искажение формы сигнала. налов. С другой же стороны, за посто- янство времен запаздывания у фильтра Бесселя приходится расплачиваться тем. что его амплитудно-частотная характе- ристика имеет еще более пологий пере- ходной участок между полосами пропус- кания и задерживания, чем даже у харак- теристики фильтра Баттерворта. Существует много различных способов проектирования фильтров, в которых де- лаются попытки улучшить рабочие пара- метры фильтра Бесселя во временной об- ласти, частично жертвуя постоянством времени запаздывания ради уменьшения времени нарастания и улучшения ампли- тудно-частотной характеристики. Фильтр Гаусса имеет почти столь же хорошие фазочастотные характеристики, как и фильтр Бесселя, но при улучшенной пере- ходной характеристике. Другой интерес- ный класс представляют собой фильтры, позволяющие добиться одинаковых по ве- личине пульсаций кривой времени запаз- дывания в полосе пропускания (аналогич- но пульсациям амплитудно-частотной ха- рактеристики фильтра Чебышева) и обес- печивающие примерно одинаковое запаз- дывание для сигналов со спектром вплоть до полосы задерживания. Еще один под- ход к созданию фильтров с постоянным временем запаздывания - это применение всепропускающих фильтров, называемых иначе корректорами во временной обла- сти. Эти фильтры обладают постоянной амплитудно-частотной характеристикой. Таблица 5.1. Сравнение характеристик фильтров нижних частот 11 /з дБ, Гц Число полюсов Время нарастания, с (от 0 до 90%) Выброс, % Время установ- ления, с Начало полосы подавле- ния, дБ f=2fc Ослабление. дБ /= ю/с до 1% до 0.1% Фильтр Бесселя 1,0 0,4 0,4 0.6 1.1 10 36 1~3 дБ при 1,0 4 0.5 0,8 0,7 1.2 13 66 £ = 1,0 Гц) 1,0 6 0.6 0,6 0.7 1.2 14 92 1,0 8 0.7 0,3 0,8 1.2 14 114 ®ЯльтР Баттерворта 1.0 э 0.4 4 0.8 1Д 12 40 ЛБ пси 1.0 4 0.6 11 1.0 2.8 24 80 £=1,о Гц) 1.0 6 0.9 14 1.3 3.9 36 120 1,0 8 1,1 16 1,6 5,1 48 160 Чебышева 1,39 0.4 11 1.1 1.6 8 37 иуяьсапии ),5 дБ 1.09 4 0,7 18 3,0 5,4 31 89 ' _0,5 дь при 1,04 6 1.1 21 5.9 10,4 54 141 £=i,o Гц) 1.02 8 1.4 23 8.4 16.4 76 193 ®Чьтр Чебышева 1.0"7 э 0.4 21 1,6 2,7 15 44 У-’йсации 2,0 дБ 1.02 4 ОД 28 4.8 8.4 37 96 -,0 дБ при 1,01 6 1.1 32 8.2 16.3 60 148 • = 1,0Гц) 1,01 8 I,4 34 11,6 24.8 83 200 Процедура проектирования этих фильтров изложена в разд. 5.07.
288 Г лава 5 Рис. 5.15. Сравнение переходных процессов 6-полюс- ных фильтров нижних частот. Кривые нормированы приведением значения ослабления 3 дБ к частоте 1 Гц. /-фильтр Бесселя; 2-фильтр Баттерворта; 3- фильтр Чебышева (пульсации 0.5 дБ). а сдвиг фазы может меняться согласно конкретным требованиям. Таким обра- зом, их можно применять для выравнива- ния времени запаздывания любых фильт- ров, в частности фильтров Баттерворта и Чебышева. Сравнение фильтров. Несмотря на ранее высказанные замечания о переходной ха- рактеристике фильтров Бесселя, он все же обладает очень хорошими свойствами во временной области по сравнению с фильт- рами Баттерворта и Чебышева Сам фильтр Чебышева при его весьма подхо- дящей амплитудно-частотной характерис- тике имеет наихудшие параметры во вре- менной области из всех этих трех типов фильтров. Фильтр Баттерворта дает компромисс между частотами и времен- ными характеристиками. В табл. 5.1 и на рис. 5.15 дана информация по рабочим характеристикам этих трех типов фильт- ров во временной области, дополняющая приведенные ранее графики амплитудно- частотных характеристик. По этим дан- ным можно сделать вывод, что в тех случаях, когда важны параметры фильтра во временной области, желательно при- менять фильтр Бесселя. СХЕМЫ АКТИВНЫХ ФИЛЬТРОВ Известны очень хитроумные конструкции активных фильтров, каждый из которых используется для того, чтобы в качестве характеристики фильтра получить нуж- ную функцию, как, например, фуНк Баттерворта, Чебышева и др. Мож/ спросить: зачем вообще нужно болы ° одной схемы активного фильтра? Приц? на в том, что каждая схемная реа лизан/ является наилучшей в смысле тех J иных желательных свойств, и поэтом^ «абсолютно лучшей» схемы активного фильтра не существует. Некоторые свойства, желательные ддя схемы активного фильтра, таковы: а) ма- лое число элементов, как активных, так и пассивных; б) легкость регулировки; в) малое влияние разброса параметров эле- ментов, в особенности значений емкостей конденсаторов; г) отсутствие жестких тре- бований к применяемому операционному усилителю, в особенности требований к скорости нарастания, ширине полосы про- пускания и полному выходному сопро- тивлению; д) возможность создания вы- сокодобротных фильтров; е) нечувстви- тельность характеристик фильтра по от- ношению к параметрам элементов и ко- эффициенту усиления ОУ (в частности, произведению коэффициента усиления на ширину полосы пропускания, /с). По мно- гим причинам последнее свойство являет- ся одним из наиболее важных. Фильтр, который требует соблюдения высокой точности значений параметров элементов, трудно настраивать, и по мере старения элементов настройка теряется, кроме того дополнительной неприятностью является требование использовать элементы с ма- лым допуском значений параметров Схе- ма фильтра на ИНУН (источник напря- жения, управляемый напряжением) ооя- зана широкой популярностью в основном своей простоте и малому числу деталей, но эта схема страдает недостатком. а именно высокой чувствительностью к из- менениям значения параметров элемеН' тов. Для сравнения: недавно возникли® интерес к более сложным гиратороподоо* ным схемам вызван их нечувствитель- ностью к малым изменениям параметров элементов. В этом разделе будет рассмотрено Не сколько схем для реализации фильтров нижних и верхних частот, а также поЛ^ совых фильтров. Начнем же с популяр110
Активные фильтры и генераторы 289 аЛц на ИНУН, или управляемого ис- \«ннка затем рассмотрим построение ? «д-ров на основе метода переменных Стояния, выпускаемых в виде инте- LajifcHbix схем различными фирмами-из- Т^овителями, и наконец, упомянем о 0Ном Т-образном фильтре с высоким избирательным подавлением («фильтр- пообка») и о некоторых интересных новых направлениях в области реализации фильтров на переключаемых конденсато- рах. 5.06. Схемы на ИНУН Фильтр на источнике напряжения, управ- ляемом напряжением (ИНУН), известный также просто как фильтр с управляемым источником-это вариант фильтра Сил- аева и Ки который был описан выше В этом случае повторитель с единичным коэффициентом усиления заменен неин- вертируюшим усилителем с коэффициен- том усиления, большим 1. На рис. 5.16 даны схемы для реализации фильтра ниж- них и верхних частот, а также полосового фильтра С помощью присоединенных к выходу Oi резисторов, образован неин- вертирующии усилитель напряжения с ко- эффициентом усиления К, а остальные R и С по-прежнему формируют частот- ную характеристику фильтра Как будет показано далее, эти двухполюсные фильт- ры могут быть фильтрами Баттерворта, есселя и др. за счет определенного под- бора параметров элементов. Любое число Двухполюсных секций на ИНУН может быть соединено каскадно для создания фильтров более высокого порядка. В та- t0M соединении отдельные секции, вооб- говоря, не идентичны. Действительно, Каа£Дая секция соответствует квадратич- сомножителю полинома степени к. описывающего фильтр в целом. ® большинстве обычных справочников По Фильтрам приведены формулы и таб- Для всех стандартных характеристик фильтров, включая отдельные таблицы фильтров Чебышева с разными ам- аггУДами пульсаций. В следующем раз- е будут представлены удобные в упот- леции таблицы для проектирования Рис 5.16 Схемы активны фильтров на ИНУН а- фильтр нижних частот: б-фильтр верхних частот; в полосовой фильтр фильтров на ИНУН типа Баттерворта. Бесселя и Чебышева (фильтр Чебышева с неравномерностью 0,5 и 2 дБ), исполь- зуемых в качестве фильтров нижних или верхних частот. Полосовой и полоснопо- давляюший фильтры легко могут быть составлены из их комбинаций. 11'626
290 Глава 5 5.07. Проектирование фильтров на ИНУН с использованием наших упрощенных таблиц Перед тем как пользоваться табл. 5 2, на- до решить, какая характеристика фильтра нам нужна. Как уже говорилось ранее, фильтр Баттерворта хорош, если нужна максимально плоская характеристика в полосе пропускания, фильтр Чебышева обеспечивает наиболее крутой спад от полосы пропускания к полосе задержи- вания (ценой некоторой неравномерности характеристики в полосе пропускания), а фильтр Бесселя имеет наилучшую фазо- частотную характеристику, т.е. постоян- ное запаздывание сигнала в полосе про- пускания и соответственно хорошую пе- реходную характеристику. Амплитудно- частотные характеристики всех этих ти- пов даны на соответствующих графиках (рис. 5.17). Для конструирования «-полюсного фильтра (при четном п) нужно соединить каскадно п/2 секций на ИНУН. Рассмат- риваются только фильтры четного поряд- ка. поскольку для фильтра нечетного по- рядка нужно столько же операционных усилителей, сколько и для фильтра на единицу большего порядка. В каждой сек- ции Rr = R2 = R и = С2 = С. Как и обычно в схемах на операционных усили- телях, значение R выбирается в диапазоне от 10 до 100 кОм. (Резисторов с малым номиналом сопротивления лучше избе- Таблица 5.2. ИНУН-фильтры нижних частот Фильтр Баттер- ворта К Фильтр Бесселя Фильтр Чебышева (0.5 дБ) Фильтр Чебышева (ОД дБ) А К А К А К 2 1,586 1.274 1,268 1.231 1.842 0.907 2.114 4 1.152 1.432 1,084 0.597 1,582 0.471 1.924 2.235 1.606 1,759 1.031 2.660 0.964 2.782 6 1,068 1.607 1 040 0.396 1,537 0.316 1.891 1.586 1.692 1.364 0.768 2.448 0.730 2.648 2,483 1.908 2.023 1.011 2,846 0.983 2.904 8 1,038 1.781 1,024 0,297 1.522 0.238 1,879 1,337 1,835 1.213 0,599 2.379 0,572 2.605 1,889 1.956 1.593 0,861 2.711 0,842 2,821 2,610 2,192 2,184 1,006 2.913 0.990 2.946 гать, поскольку на высоких частотах воз- растающее выходное полное сопротивде ние разомкнутого контура операционного усилителя добавляется к сопротивлению резистора, внося ошибку в расчет.) Торп все, что вам нужно сделать-это услано вить коэффициент усиления каждого кас- када К согласно табличным данным. ДЛ «-полюсного фильтра потребуется «/2 об- ращений к таблице - по числу секций. Фильтры Баттерворта нижних частот Если используется фильтр Баттерворта' то параметры всех секций имеют одина- ковые значения А и С, определяемые соот- ношением RC = 1 '2Tifc, где /с-частота, со- ответствующая значению ослабления все- го фильтра, равному —3 дБ. Чтобы по- строить, например, 6-полюсный фильтр Баттерворта нижних частот, мы соединя- ем каскадно три вышеописанные секции с коэффициентами усиления, равными со- ответственно 1,07, 1,59 и 2,48 (желательно именно в указанном порядке, во избежа- ние возни с динамическим диапазоном) и подбором идеи ичных для всех секций параметров R и С устанавливаем точку, отвечающую значению —3 дБ. Описанная в разд. 8.31 схема управления телескопом представляет собой подобный пример со значением /с = 88,4 Гц (R = 180 кОм, С = = 0,01 мкФ). Фильтры нижних частот Бесселя и Че- бышева. Ненамного сложнее построить на ИНУН фильтр Бесселя или Чебышева. Опять-таки соединим каскадно несколько двухполюсных фильтров на ИНУН с предписанным для каждой секции коэф- фициентом усиления Снова в каждой сек- ции зададим Rx = R2 = R v. Сх — С2 - С. Но теперь, в отличие от ситуации с фильт- ром Баттерворта, произведение RC б\ДеТ для каждой секции свое и должно вычв' ляться с помощью нормирующего мно- жителя /„ (его значения для каждой секпн0 приведены в табл. 5.2) по формуле RC~ = 1 2л/с/1. Здесь через /. обозначена точ- ка, отвечающая значению —3 дБ. фильтра Бесселя и i ранипа полосы пр0" пускания-для фитьтра Чебышева, т-е‘ это частота, на которой амплитудно-чзС' тотная характеристика спадает ниже ДИа пазона неравномерности при переход6
Активные фильтры и генераторы 291 Рк 5.17 Графики нормированных частотных характеристик 2-. 4- 6- и 8-полюсных фильтров из табл. 5.2. Характеристики фильтров Баттерворта (а) и Бесселя (б) нормированы приведением ослабления 3 дБ к единич- ной частоте, а фильтры Чебышева - приведением к этой частоте ослабления 0,5 дБ (в) и 2 дБ (г) соответственно полосе задерживания. Например, харак- теристика фильтра Чебышева нижних час- тот с неравномерностью 0,5 дБ и /с = = 100 Ги будет плоской с небольшой не- равномерностью от 0 до —0.5 дБ в диапа- зоне от 0 до 100 Гц, на частоте 100 Гп будет затухание 0.5 дБ. а дальше частоты 100 Гц-крутой спад. Значения парамет- ров приведены в табл. 5.2 для фильтров Чебышев имеющих неравномерность яарактеристики в полосе пропускания 0,5 а 2 дБ у последнего спад к полосе задер- живания несколько круче (рис. 5.17). Фильтры верхних частот. Чтобы по- бить фильтр верхних частот, использу- е** показанную ранее конфигурацию фильтра нижних частот, т. е поменяем фотами R и С. При этом для фильтра ^Перворта ничего больше не изменится 'ЗВаЧения R, С и К останутся те же). Для 10» фильтров Бесселя и Чебышева сами зна- чения К останутся те же, а нормирующий множитель fB должен быть обратный, т. е. для каждой секции новое значение равно А = 1/4е (как указано в табл. 5.2). Полосовой фильтр получается при кас- кадном соединении фильтров верхних час- тот и фильтров нижних частот с пере- крывающимися полосами пропускания. Полосноподавляющий же фильтр можно получить с помощью схемы сложения вы- ходных сигналов фильтров верхних час- тот и фильтров нижних частот с непере- крываюшимися полосами пропускания. Однако такие каскадные фитьтры не очень пригодны там, где нужны фильтры с высокой добротностью (полосовые фильтры с крутой переходной областью вследствие большой чувствительности ин- дивидуальных (непарных) фильтровых
292 Глава 5 секций к значениям параметров элемен- тов. В таких случаях следует применять высокодобротную однокаскадную поло- совую схему (т. е. описанную ранее поло- совую схему на ИНУН или рассматри- ваемые далее биквадратные фильтры и фильтры на основе метода переменных состояния) вместо многокаскадного фильтра. Даже однокаскадный двухпо- люсный фильтр может иметь характерис- тику с крайне острым пиком. Информа- цию о таких конструкциях фильтров мож- но найти в справочниках. В фильтрах на ИНУН используется ми- нимальное число элементов (один опера- ционный усилитель на два полюса харак- теристики), при этом они дают дополни- тельный выигрыш в виде неинвертирую- щего коэффициента усиления, низкого вы- ходного полного сопротивления, малого разброса значений параметров, простоты регулировки коэффициента усиления и способности работать при большом ко- эффициенте усиления или высокой доб- ротности. Их недостаток-высокая чув- ствительность к изменениям параметров элементов и коэффициента передачи уси- лителя, кроме того, они не годятся для построения перестраиваемых фильтров с устойчивой характеристикой. Упражнение 5.3. Спроектируйте на ИНУН 6-по- люсный фильтр Чебышева нижних частот с неравно- мерностью в полосе пропускания 0,5 дБ и част I среза /с = 100 Гц. Какое ослабление будет на чаг-гТ°® равной 1,5 /с? 5.08. Фильтры, построенные на основе метода переменных состояния Изображенный на рис. 5.18 двухполыс ный фильтр куда более сложен по сравце' нию с фильтрами на ИНУН, но он Широ] ко применяется благодаря повышенной устойчивости и легкости регулировки. Он называется фильтром на основе метода переменных состояния. Этот фильтр вы- пускается в виде интегральной схемы фир. мами National (AF100 и AF150), Виц. Brown (серия UAF) и другими. Поскольку этот фильтр является готовым модулем то все элементы у него встроенные, за исключением резисторов RG, RQ и двух Rf. Среди прочих достоинств этой схемы существенна возможность путем комму- тации выходов получать из одной схемы фильтры верхних и нижних частот, а так- же полосовой фильтр. Кроме того, часто- ту фильтра можно регулировать при не- изменном значении добротности Q (или неизменной полосе пропускания-по вы- бору) характеристики в полосе пропуска- ния. Как при работе с фильтрами на ИНУН. несколько секций могут быть со- единены каскадно для создания фильтров более высоких порядков. ЮООпФ метода
Активные филыры и генераторы 293 Изготовители этих интегральных схем ^гцагают для пользователей подроб- е расчетные формулы и таблицы. Они * рекомендации по выбору номина- Д я сопротивлений внешних резисторов Л я получения фильтров Баттерворта, слсселя и Чебышева разных порядков; -этом можно получать фильтры с ха- ^дтеристиками верхних, нижних частот полосовые и полосноподавляющие. Привлекательной особенностью этих гиб- гйдвых схем является то, что в модуль встроены конденсаторы; так что остается добавить только внешние резисторы. Полосовые фильтры. Несмотря на боль- шое число схемных элементов, фильтр, построенный на основе метода перемен- ил состояния представляется наиболее удачной схемой для реализации (высоко- добротных) полосовых фильтров. Он об- ладает низкой поэлементной чувствитель- ностью не предъявляет высоких требо- ваний к ширине полосы пропускания ОУ, а также прост в настройке Например в представленной на рис. 5.18 схеме, ис- пользуемой в качестве полосового фильт- ра, с помощью двух резисторов уста- навливается центральная частота полосы пропускания, в то время как резисторы T?Q и Rq совместно определяют добротность Q и коэффициент усиления в полосе про- пускания Яр =5,03 х 107//о Ом, = 105/(3,48(2 + G - 1) Ом Яс = 3,16 х 104Q/G Ом Следовательно, можно сделать настраи- ваемый по частоте фильтр с фиксирован- ной добротностью Q при использовании в качестве резистора двухсекционного переменного резистора (потенциометра). С другой стороны, переменным можно сделать резистор RQ, при этом получается фильтр с фиксированной частотой и из- меняемой добротностью Q (и, к сожале- нию, с переменным коэффициентом пере- дачи). Упражнение 5.4. Необходимо рассчитать номина- лы резисторов показанной на рис. 5.18 схемы, исполь- зуемой в качестве полосового фильтра с f0 = 1 кГц. Q = 50 и G = 10. На рис. 5.19 изображена полезная мо- дификация полосового фильтра на основе метода переменных состояния. Недостат- ком является использование в ней четырех ОУ, достоинство же заключается в воз- можности регулировать ширину полосы пропускания (т. е. добротность Q) без из- менения коэффициента усиления в полосе. Действительно, как добротность Q, так и коэффициент усиления устанавливаются единственным резистором Добротность <2, коэффициент усиления и центральная частота полосы пропускания полностью независимы и задаются следующими простыми соотношениями: /0 = 1/2k7?fC, Q = R1/Rq, G—R1/Rg, R ® 10 кОм (значение некритично, подго- няемое). Биквадратные фильтры. Наиболее близко к фильтру на основе метода пере- менных состояния примыкает изображен- ный на рис. 5.20 так называемый биквад- ратный фильтр В этой схеме также ис- пользуются три ОУ и ее можно сконст-
294 Глава 5 руировать с помощью упомянутой ранее ИС на основе метода переменных состоя- ния. Замечательным свойством такого фильтра является возможность регули- ровки его частоты (с помощью /?F) при сохранении постоянности ширины полосы пропускания (это предпочтительнее, чем сохранение неизменности добротности Q). Далее предлагаются расчетные уравне- ния: /0 = 1/2лДгС, BW = 1/2я RBC, G — RB/ Rg . Сама добротность Q определяется как f0/BWn равна RB/RF. При изменении зна- чения центральной частоты (с помощью T?F) пропорционально изменяется и до- бротность Q. при этом сохраняется не- изменной ширина полосы пропускания Qfo- Когда вы проектируете биквадратный фильтр вчерновую (правильнее использо- вать ИС активного фильтра, которая уже содержит большинство необходимых эле- ментов), то основная методика опреде- ляется следующим образом: 1. Выберем ОУ с шириной полосы про- пускания /с, которая по крайней мере в 10-20 раз превышает Gf0. 2. Подберем округленный номинал кон- денсатора. ближаишии к следующему зна- чению С — 10//о мкФ. 3. Используем требуемое значение цент- ральной частоты для расчета значения сопротивления RF согласно приведенному выше первому уравнению. 4. Используем второе расчетное уравне- ние для вычисления по заданному значе- нию ширины полосы пропускания номи- нала сопротивления RG. 5. Исходя из требуемого коэффициента передачи на центральной частоте полосы пропускания и согласно третьему расчет- ному уравнению получаем значение со- противления Rg. Если расчетные значения сопротивле- ний получаются слишком велики или слишком малы, что неудобно в некоторых случаях, то можно подобрать другой но- минал конденсатора. Например, в высо- кодобротном фильтре потребуется ис- пользовать увеличенное значение конден- сатора С, отчасти с тем чтобы предотвра- тить существенное увеличение значение сопротивления RB (или можно использо- вать описанную в разд. 4.19 Т-образн^ю цепь). Следует отметить, что каждое из сопротивлений /?F, Дв и RG является на- грузкой для ОУ и, следовательно, их зна- чение не должно становиться меньше, ска- жем, 5 кОм. Манипулируя номиналами элементов схемы можно найти их значе- ния, так чтобы просто удовлетворялось требование 1 путем уменьшения коэфф®' пиента передачи интегратора (у в< тичей* значения AF) при соответствующем У®6! чичении коэффициента передачи инверти- рующего каскада (увеличение значения резистора обратной связи, которое в Ис' ходной схеме составляет 10 кОм). Для иллюстрации предположим, желательно спроектировать ми же характеристиками как упражнении. Мы должны начать с того- что временно зададим С = 0,01 мкФ- Зат - фильтр с 1 в послеДИ6*1
Активные фильтры и генераторы 295 «яйдем К? = 15’9 кОм (/о = 1 кГц) и Лв = ^796 кОм (Q = 50; BW = 20 Гц). Нако- Дтт Rg = 79’6 кОм (С = 10)- if*3*’ урр+жнение 5.5. Необходимо спроектировать по- вОй фильтр со следующими параметрами: /0 = Гц BW = 1 Гц и G = 100. Полосовые фильтры высокого порядка. Как И Для рассмотренных ранее фильтров дуумих и верхних частот имеется воз- можность проектировать полосовые фильтры более высоких порядков с при- близительно плоской полосой пропуска- ния и крутым переходом к полосе задер- живания. Это можно сделать с помощью каскад- ного соединения нескольких полосовых фильтров более низкого порядка, комби- нируя их таким образом, чтобы обеспе- чить характеристику фильтра требуемого вида (Баттерворта, Чебышева и любые другие). Как и раньше фильтр Баттервор- та имеет «максимально плоскую» харак- теристику, в то время как фильтр Чебы- шева удовлетворяет требованиям плоской характеристики в полосе пропускания при крутых скатах (переходной области). Только что рассмотренные полосовые схемы такие как фильтры на ИНУН, биквадратные фильтры и фильтры на ос- ове метода переменных состояния, яв- ляется фильтрами второго порядка (два полюса Увеличение крутизны характе- ристики фильтра путем добавления до- полнительных секций приводит, как пра- вило, к ухудшению переходной и фазо- частотной характеристик. Сама «ширина полосы пропускания» полосового фильт- ра определяется как ширина характерис- тики между точками —3 дБ. кроме, есте- ственно, равноволновых фильтров. для ко- торых эта ширина определяется точками. Гдс характеристика спадает на величину пУЛЬсации з полосе пропускания. ® справочниках по активным фильтрам 888 В технических руководствах по при- менению ИС активных фильтров приво- таблицы и методики проектирова- таких сложных фильтров Существует Несколько прекрасных программ по С/'1ет фильтров, которые предназначе- Для недорогих машин (IBM PC, Ма- cmtoshj. 5.09. Двойной Т-образный фильтр-пробка Изображенная на рис. 5.21 пассивная RC- цепь имеет бесконечное затухание на час- тоте. равной fc = \/2nRC. Такое бесконеч- ное ослабление для /?С-фильтров, вообще говоря, не характерно-данный фильтр действует столь эффективно благодаря сложению двух сигналов, которые на ча- стоте среза имеют разность фаз в 180°. Получение достаточно близкого к нулю значения характеристики на частоте^ тре- бует хорошего согласования элементов. Этот фильтр называется двойным Т-об- разным и может употребляться для устра- нения сигнала помехи, например сетевой наводки 60 Гц. Трудность состоит в том, что характеристика этой цепи такая же «мягкая», как и у всех пассивных RC-u,e- пей, и лишь в окрестности частоты f. обрывается почти отвесно. Например, двойная Т-образная цепь, управляемая идеальным источником напряжения, име- ет затухание 10 дБ на частоте, равной удвоенной (или половинной) частоте fc, и ослабление 3 дБ на частоте, равной учетверенной (или деленной на четыре) частоте fc. Один из способов улучшить характеристику этой цепи - сделать ее «ак- тивной»-^ типу фильтра Саллена и Ки (рис. 5.22). Эта идея кажется в принципе хорошей, но на практике разочаровывает из-за невозможности сохранения хороше- го затухания на частоте нуля. Дело в том, что при увеличении резкости провала ха- рактеристики (большее усиление в петле следящей связи) ослабление на частоте нуля уменьшается Двойные Т-образные фильтры выпуска- ются в виде готовых модулей на диапазон Рис. 5.21. Пассивный двойной Т-образный фильтр- пробка.
296 Глава 5 частот от 1 Гц до 50 кГц с глубиной ослабления на частоте провала около 60 дБ (с некоторым ухудшением при вы- соких и низких температурах). Такие фильтры легко собрать из отдельных эле- ментов, но для получения глубокого и стабильного провала следует выбирать конденсаторы и резисторы со стабильны- ми параметрами и низкой температурной зависимостью. Один из элементов должен быть регулируемым. Двойной Т-образный фильтр функцио- нирует прекрасно при фиксированной час- тоте провала, но основные трудности воз- никают при попытке сделать его пере- страиваемым, поскольку три резистора необходимо изменять одновременно, со- храняя постоянным их соотношение. Од- нако замечательная своей простотой изо- браженная на рис. 5.23, а RC-схема, ко- торая ведет себя аналогично двойной Т-образной схеме, может перестраиваться в широком диапазоне частот (по крайней мере две октавы) с помощью единствен- ного потенциометра. Подобно двойному Т-образному фильтру (как и большинство активных фильтров), для него требуется провести определенное согласование эле- ментов; в этом случае номиналы всех трех конденсаторов должны быть идентичны, а значение фиксированного резистора должно точно в шесть раз превышать значение нижнего (регулируемого) резис- тора. Сама частота подавления опреде- ляется следующим образом: /провала ~ 1/2tuC^/3 • На рис. 5.23, б показана реализация этого фильтра, которая перестраивается в диа- пазоне от 25 до 100 Гц. Подстроечный резистор с номиналом 50 кОм позволяет установить максимальную глубину про- вала. Как и в случае пассивной двойной Т-об- разной схемы, этот фильтр (известный как мостовой дифференциатор) имеет пологое нарастание затухания за пределами точки провала и бесконечное затухание (при ус- ловии идеального согласования значений всех элементов) на самой частоте прова- ла. Его также можно «активировать» с помощью подачи на отвод потенциомет- ра следящей связи с усилителя напряже- ния, как правило, с коэффициентом пере- дачи меньше единицы (как на рис. 5 22). Увеличение коэффициента передачи в пет- ле следящей связи а именно его прибли- жение к единице, сужает ширину провала, а также приводит к появлению нежела- тельного пика характеристики со стороны более высоких частот относительно про* вала, наряду со снижением обеспечивае- мого затухания. 5.10. Построение фильтров на гиратора* Интересный тип активного фильтра МО*’* но создать с помощью гираторов; в °с
Активные фильтры и генераторы 297 6(R. + /?2) нров 2ttCJ3R, R2 6 Рис 5.23 Регулируемый фильтр-пробка на основе мостового дифференцирующего звена. Допускается иастроик схемы б в диапазоне от 25 до 100 Гц. новном они используются для замены «атушек индуктивности в традиционных конструкциях фильтров. Распространен- гираторная схема показана на Рис. 5.24 Обычно Z4- конденсатор, а ос- Тадьные полные сопротивления заменяют уИсторами имитируя, таким образом. ’^Ушку индуктивности L= кС. где к = "^iR3R5 R2. Можно показать, что эта Заторная схема мало чувствительна к Отклонениям параметров, как и ее пассив- ^LC-прототип. 5.11. Фильтры на переключаемых конденсаторах Один из недостатков биквадратных фильтров или фильтров, построенных на основе метода переменных состояния, связан с необходимостью обеспечения точного согласования конденсаторов. Ес- ли при построении схемы используются операционные усилители, то вам следует запастись парой стабильных конденсато- ров (не керамических и не электролити- ческих); для обеспечения оптимальных ра- бочих характеристик точность их согла- сования порядка 2%. Необходимо также провести многочисленные коммутации поскольку эти схемы содержат по крайней мере три ОУ и шесть резисторов на каж- дую двухполюсную секцию фильтра. С другой же стороны, можно купить фильтр в виде ИС. предоставляя право изготови- телям самим решать проблему согласо- вания конденсаторов с номиналом 1000 пФ в своей ИС. И, надо сказать, что изготовители ИС успешно решают эти проблемы, но за счет увеличения их стои- мости. Например, ИС AF100-«универ- сальный активный фильтр» производства фирмы National представляет собой гиб- ридную ИС с ценой приблизительно 10 долларов за штуку.
298 Глава 5 Рис. 5.25. а-интегратор на переключаемых конден- саторах; б-схема обычного интегратора. Известен и другой способ построения интеграторов, которые составляют осно- ву биквадратных фильтров и фильтров на основе метода переменных состояния Главная идея заключается в использова- нии аналоговых МОП-ключей, синхрони- зированных внешним сигналом прямо- угольной формы и высокой частоты (как правило, в 100 раз выше, чем у обрабаты- ваемых аналоговых сигналов), как это показано на рис. 5.25. На этом рисунке необычный треугольный объект представ- ляет собой цифровой инвертор, который переворачивает прямоугольное колебание «вверх ногами», так что два МОП-ключа замыкаются на противоположных полу- волнах этого прямоугольного колебания Анализ такой схемы весьма прост При замыкании ключа происходит заряд конденсатора Сг до напряжения 1/вх. т. е. он сохраняет заряд CiLBX; на другой же половине рабочего цикла конденсатор С, разряжается через виртуальную землю передавая свои заряд конденсатору С2 Само же напряжение на конденсаторе С2 изменяется, следовательно, на величину AL = AQ/C2 = UBXC\ С2. Следует отме- тить что выходное напряжение меняется в течение каждого цикла высокочастотно го прямоугольного колебания пропорцйо* нально напряжению 17вх (изменение кото* рого за один период прямоугольного к/ лебания предполагается весьма незначц* тельным), т е. эта схема представляет со бой интегратор! Легко показать, ЧТо функционирование этих интеграторов описывается приведенными на рисунке уравнениями. Упражнение 5.6. Получить представленные иа рис. 5.25 уравнения. Применение переключаемых конденса- торов вместо обычных интеграторов дает два существенных преимущества Первое как было указано ранее, он может быть менее дорогим при реализации на крем- ниевой подложке, так как коэффициент передачи самого интегратора зависит только от отношения двух конденсаторов, а не их индивидуальных значений. Вооб- ще говоря, достаточно просто на крем- ниевой подложке создать пару любых со- гласованных элементов, в то время как получение подобных элементов (резисто- ра или конденсатора) с точными значе- ниями и высокой стабильностью весьма затруднительно. Вследствие этого моно- литная ИС фильтра на переключаемых конденсаторах очень дешевая, например, универсальный фильтр на переключаемых конденсаторах фирмы National (MF10) стоит 2 долл (сравните с ценой в 10 долл, обычного фильтра AF100) и, кроме того, в одном корпусе вы получаете сразу два фильтра! Второе преимущество фильтров на пе- реключаемых конденсаторах состоит в возможности настройки их частоты (т-е- центральной частоты полосового фильтра или точки — 3 дБ фильтра нижних частот) изменением только частоты входного прямоугольного колебания («тактовой частоты»). Это объясняется тем, что ха- рактеристическая частота биквадратного фильтра или фильтра на основе перемен- ных состояния пропорциональна и зави- сит только от коэффициента передачи ин- тегратора. Фильтры на переключаемых конденса- торах выпускаются как в виде спедиаЛ0'
Активные фильтры и генераторы 299 зированной, так и «универсальной» струк- туры. Первая структура со встроенными компонентами формирует полосовые фиттьтры или фильтры нижних частот, в то время как вторая имеет дополни- тельные промежуточные входы и выходы, так что при подключении к ней внешних элементов можно получить любую желае- мую характеристику. При этом платой за такую универсальность является увеличе- ние размера корпуса ИС и необходимость в подключении внешних резисторов На- пример, автономный фильтр Баттерворта нижних частот MF4 фирмы National вы- пускается в 8-выводном DlP-корпусе и стоит 1,3 долл., в то время как их универ- сальный фильтр MF5 выпускается в 14-выводном DIP-корпусе (цена 1,45 Долл.), при этом в зависимости от выбора типа фильтра требуется 2 или 3 внешних резистора. На рис 5.26 показано с какой легкостью можно использовать эти спе- Диализир ванные фильтры Теперь о неприятном. Фильтры на пе- реключаемых конденсаторах имеют три фаздражаюшие» характеристики, кото- рые связаны и обусловлены присутствием периодического тактового сигнала. Пер- Вое’ это сквозное прохождение сигнала п'окпговой частоты, а именно наличие Некоторого выходного сигнала (с напря- жением приблизительно от 10 до 25 мВ) с Частотой тактового колебания, напря- 1е которого не зависит от приклады- ииемого входного сигнала. Обычно это не ^ее значения, поскольку этот сигнал значительно удален от полосы, занимае- * °и обрабатываемым сигналом. Если же такое сквозное прохождение тактового сигнала нежелательно, то для его подав- ления обычно используется простой RC- фильтр. Вторая проблема более тонкого свойства. Если во входном сигнале при- сутствуют спектральные компоненты, расположенные вблизи частоты тактового колебания, то они будут «накладываться» на полосу пропускания. Сформулируем это более корректно, а именно: любые спектральные компоненты входного сиг- нала, которые отстоят по частоте от так- тового сигнала на величину, соответ- ствующую частотам полосы пропускания, будут присутствовать (неподавленными! в полосе пропускания. Например, при ис- пользовании ИС MF4 в качестве фильтра нижних частот с частотой среза 1 кГц (т. е. при этом Утаит = 100 кГц) все спект- ральные компоненты входного сигнала в диапазоне от 99 до 101 кГц выделятся в полосе выходного сигнала, т. е. в диапа- зоне от постоянного тока до частоты 1 кГц. И никакой дополнительный выход- ной фильтр не сможет их ликвидировать! Таким образом следует твердо уяснить, что во входном сигнале не должно быть спектральных составляющих вблизи час- тоты тактового колебания. Если же этого невозможно избежать, то можно как обычно использовать простой 7? С-фильтр предфильтр), поскольку частота тактово- го сигнала отстоит, как правило, доволь- но далеко от полосы пропускания Третье нежелательное свойство, присущее фильт- рам на переключаемых конденсаторах, связано с типичным снижением динами- ческого диапазона сигнала (возрастание уровня «шума»), вследствие неполного гашения инжекции заряда МОП-ключа (см. разд 3.12). В типовой ИС фильтра динамический диапазон составляет 80- 90 дБ. Как и для любой линейной схемы фильтрам на переключаемых конденсато- рах (и их аналогам на трех ОУ) присущи характерные ошибки усилительных схем, а именно выходное напряжение смешения и низкочастотный шум с характеристикой вида l/f. Это может стать проблемой, если, например, нежелательно при подаче на фильтр нижних частот сигнала низкого
300 Глава 5 нал на землю. В результате этого ошибка в постоянной составляющей равна нулю, а характерный для переключаемых кон- денсаторов шум присутствует только в непосредственной близости от частоты среза (рис. 5.28). Фильтры на переключаемых конденса- торах широко предлагают такие фирмы- изготовители как AMI-Gould, Ехаг, Natio- nal и EGG-Reticon. Как правило, можно располагать частоту среза фильтра (или центр полосы пропускания) в любом мес- те диапазона частот от постоянного тока до нескольких десятков килогерц с помо- щью выбора определенного значения так- товой частоты. Сама характеристическая частота получается путем деления значе- ния тактовой частоты на фиксированное число, обычно /та1:т/50 или /тажт/100 Большинство ИС на переключаемых кон- денсаторах предназначено для построения фильтров нижних частот, полосовых или режекторных хотя некоторые (полоснозаграждающих). из них (например, AMI 3529) спроектированы как фильтры верх- них частот. Следует отметить, что сквоз- ное прохождение тактового сигнала и эф- фект дискретизации формы выходного сигнала (на частоте тактового колебания) являются особенно надоедливыми в по- следнем случае, поскольку они попадают в полосу пропускания ГЕНЕРАТОРЫ 5.12. Введение Неотъемлемой частью почти любИ электронного устройства яв ляется генера- тор гармонических или каких-либо ДрУ11^ колебаний Кроме очевидных случаев ав- тономных генераторов (а именно генерД торы синусоидальных сигналов, генерат0' ры каких-либо функций, импульсные гене
Активные фильтры и генераторы 301 •вторь1) источник регулярных колебаний ^обходим в любом периодически дей- ®*2уюшем измерительном приборе, в уст- ройствах. инициирующих измерения или Технологические процессы, и вообще в добом приборе, работа которого связана с периодическими состояниями или пе- ояодическими колебаниями. Они присут- ^уют практически везде. Так, например, Вераторы колебаний специальной фор- ум используются в цифровых мультиме- Ту осциллографах, радиоприемниках, ЭВМ в любом периферийном устройстве ЭВМ (накопители на магнитной ленте или магнитных дисках, устройство печати, алфавитно-цифровой терминал), почти в любом цифровом приборе (счетчики, тай- меры, калькуляторы и любые приборы с «многократным отображением») и во множеств : других устройств, слишком многочисленных, чтобы их здесь перечис- лять Устройство без генератора либо во- обще ни на что не способно, либо предна- значено для подключения к другому (ко- торое скорее всего содержит генератор). Не будет преувеличением сказать, что ге- нераторы являются таким же необходи- мым устройством в электронике, как регу- лируемый источник питания постоянного тока В зависимости от конкретного приме- нения генератор может использоваться просто как источник регулярных импуль- сов («часы» в цифровой системе); от него может потребоваться стабильность и точ- ность (например, опорный интервал вре- мени в частотомере), регулируемость (ге- теродин передатчика или приемника) или способность генерировать колебания в точности заданной формы (как например, генератор горизонтальной развертки ос- циллографа). В следующих разделах мы кратко рас- смотрим наиболее популярные конструк- ции генераторов - от простых релаксаци- онных .RC-генераторов до высокостабиль- ных кварцевых генераторов. Мы не ста- вим своей целью дать полный обзор с ис- черпывающими деталями, а хотим просто ознакомить вас с предметом, а также рассказать, какие i енераторы и когда при- меняются. 5.13. Релаксационные генераторы Очень простой генератор можно получить следующим образом: будем заряжать конденсатор через резистор (или источник тока), а затем, когда напряжение достиг- нет некоторого порогового значения, бы- стро его разрядим и начнем цикл сначала. С другой стороны, это можно сделать с помощью внешней цепи, обеспечиваю- щей изменения полярности тока заряда при достижении некоторого порогового напряжения; следовательно, будут генери- роваться колебания треугольной формы, а не пилообразные. Генераторы, постро- енные на этом принципе, известны под названием «релаксационные генераторы» Они просты и недороги и при умелом проектировании могут обеспечивать удов- летворительную стабильность по частоте. Раньше для создания релаксационных генераторов применялись устройства с от- рицательным сопротивлением, такие, как однопереходные транзисторы или неоно- вые лампы теперь предпочитают ОУ или Рис. 5.29. Релаксационный генератор на базе ОУ.
302 Глава 5 1/6 ИС 74НС04; напряж. питания +5В) Рис. 5.30. специальные интегральные схемы тайме- ров. На рис. 5.29 показан классический релаксационный .RC-генератор. Работает он просто: допустим, что при начальном включении питания выходной сигнал ОУ выходит на положительное насыщение (каким образом это произойдет-неваж- но). Конденсатор начинает заряжаться до напряжения U+ с постоянной времени, равной RC. Когда напряжение на конден- саторе достигнет половины напряжения источника питания, ОУ переключается в состояние отрицательного насыщения (он включен как триггер Шмитта) и конденса- тор начинает разряжаться до с той же самой постоянной времени. Этот цикл повторяется бесконечно, с периодом 2,2 RC, который не зависит от напряжения источника питания. Выберем ОУ с КМОП выходным каскадом (см разд 4.11 и 4.12), поскольку насыщение его выходных сигналов происходит точно на уровне ца пряжения питания. Биполярный ОУ Т1} ' LM10 также имеет максимальный удвоец ный перепад выходного напряжения в отличие от КМОП, ОУ позволяет фуУ}° ционировать при полном напряжений ± 15 В: однако он имеет гораздо бодее низкую частоту fc (0.1 МГц). Упражнение 5 7. Покажите, что этот период указав верно. Применяя для заряда конденсатора ис- точники тока, можно получить колебания хорошей треугольной формы. Пример удачной схемы, использующей этот ме- тод, приведен в разд. 4.29. Иногда необходим генератор с очень низким уровнем шума (так называемый «низкий внеполосный шум»). В этом от- ношении хороша простая схема, показан- ная на рис. 5.30. В ней используется пара КМОП-инверторов (в виде цифровых ло- гических схем, которые будут подробно рассматриваться в гл. 8-11), соединение которых между собой образует некото- рую разновидность RC релаксационного генератора с выходным сигналом в виде прямоугольного колебания. Реальные из- мерения, приведенные для этой схемы, работающей на частоте 100 кГц, показа- ли, что плотность мощности шума в бли- жайшей боковой полосе (мощность на корень квадратный из герц, измеренная на 100 Гц смещения от генерируемой часто- ты), ниже по крайней мере на 85 дБ уров- ня основного колебания. Иногда встре- + 4,5 В 74нсиО4(низк.уровеньшума) или 74НС04 (вь сок. уровень шума) 5,1 кОм Рис. 5.31. Мачошумящий генератор-
Активные фильтры и генераторы 303 .еГся аналогичная схема, но при пере- 1Гле местами элементов R2 и С. Хотя это >*цревосходный генератор, но он имеет Спайне зашумленный выходной сигнал. ^Представленная на рис. 5.31 схема име- даже более низкий уровень шума и, кро- уе того имеется возможность модулиро- рать выходную частоту с помощью внеш- вего тока, прикладываемого к базе тран- зистора Т В этой схеме транзистор Т} фудкнионирует как интегратор, выраба- тывая на своем коллекторе сигнал асим- метричной треугольной формы. Сами же инверторы работают в качестве неинвер- тирующего компаратора, изменяя поляр- ность возбуждения на базе каждые пол- периода Эта схема имеет плотность шу- ыа —90 дБ Ду Гц, измеренную на частоте 100 Гц смещения от несущего колебания 150 кГц, и —100 дБД/Гц. измеренную при смещении 300 Гц Хотя эти схемы превосходны в отношении уровня боково- го шума генерируемая частота имеет большую чувствительность к колебаниям напряжения источника питания, чем дру- гие рассмотренные в этой главе генерато- ры. 5.14. Классическая ИС таймера-555 Следующий уровень сложности предпо- лагает использование в качестве релакса- ционных генераторов ИС таймеров или ИС генераторов колебаний специальной формы. Наиболее популярная ИС тайме- ра-это схема 555 (и ее разновидности). Работа этой ИС часто толкуется неверно, поэтому мы дадим анализ ее работы пря- мо по изображенной на рис. 5 32 эквива- лентной схеме. Некоторые обозначения на ней относятся к области цифровой техни- ки (гл. 8 и следующие), поэтому вы пока еще не станете экспертом по ИС 555. Но принцип действия этого таймера доста- точно прост. При подаче сигнала на вход ТРИГГЕР выходной сигнал переключает- ся на ВЫСОКИЙ уровень (около UKK и остается в этом состоянии до тех пор, эквивалент-
304 Глава 5 Рис. 5.33. ИМС 555, включенная как генератор когда выходной сигнал имеет ВЫ СО К иг уровень, транзистор разряда Тг зак™ и конденсатор начинает заряжаться^ 10 В через резисторы /?А + /?в. Когда Д° напряжение достигнет 2/31/кк, перек^0 чается вход ПОРОГ и выходной сити переходит в состояние НИЗКОГО ур0В1Г одновременно происходит отпираю» транзистора 7], разряжающего кондед^ тор С на землю через резистор /?в Схем переходит в периодический режим раб0. ты, и напряжение на конденсаторе С ко- леблется между значениями 1/3 и 2/3UKK с периодом Т = 0,693(7? + 2/?в)с В этом случае с выхода схемы обычно снимается колебание прямоугольной фор. мы. пока не произойдет переключение входа ПОРОГ; в этот момент выходной сигнал падает до НИЗКОГО уровня (около по- тенциала «земли») и тогда включается транзистор РАЗРЯД Вход ТРИГГЕР включается при уровне входного сигнала меньше 1/ЗСкк, а ПОРОГ-при уровне входного сигнала больше 2/3 Скк. Наиболее легкий способ понять работу ИС 555-это рассмотреть конкретный пример (рис 5.33). При включении источ- ника питания конденсатор разряжен, по- этому ИС 555 оказывается в состоянии. Упражнение 5.8. Покажите, что период колебаний не зависит от напряжения источника питания. Схема 555 представляет собой доволь- но приличный генератор со стабильно- стью около 1%. Она может работать от единственного источника питания напря- жением от 4,5 до 16 В, сохраняя стабиль- ную частоту при изменениях напряжения источника питания, поскольку пороги сле- дят за флуктуациями питания. Схему 555 можно применять также для формиро- вания одиночных импульсов произволь- ной длительности и еще для многих ие- Таблица 5.3. Генераторы серии 555 Тип Изгото- витель 11 Кол-во в корпусе Напряжение питания, В Ток потреб- ления на один Ток входа триггер, nopoi, Максимальная частота (U„ = 5 В), Темпера- турный коэффи- генератор (Ь’и = 5 В), мкА нА МГц циент. ю-6/°с 1 2 4 ЛИН макс. ТИП. макс тип макс. мин ТИП. 555 SN _ V V — 4.5 18 3000 5000 100 500 0.5 30 ICL7555 IL V V — 2 18 60 300 — 10 1 150 TLC551 TI V V — 1 18 170 — 0.01 — 2.131 TLC555 TI V V — 2 18 170 — 0,01 — 2J ’ — LMC555 NS V — 1,5 15 100 250 0.01 — 3 75 ALD555-1 AL V — 1 12 100 180 0.001 0.2 1.4 2 300 ALD1504 AL V V — 1 12 50 90 0.01 0,4 1,5 2.5 300 ALD4503 AL — V 1 12 35 70 0,01 0,4 2 300 XR-L555M XR V - 2.7 15 150 300 500 — 30 ” См. прим, к табл. 4.1. 2> Означает. что выходной каскад имеет удвоенный перепаД- максимальный
Активные фичыры и генераторы 305 к тому же этот небольшой кристалл ^Ln»HT простые компараторы, вентили в^дяггеры. В электронной промышлен- ’’L даже появилась игра - придумать нОВое применение схемы 555. И надо ^Кть, что многие в этом развлечении предостережение: ИС 555. как и другие gjflUbi таймеров, создает мощную (~ 150 мА) токовую помеху в цепи пита- во время каждого переключения вы- ходного сигнала. Будет весьма полезным подключить к этой интегральной схеме здоровенный шунтирующий конденсатор. Кроме того, ИС 555 имеет склонность к формированию выходного сигнала с удвоенной частотой переключений. КМОП ИС 555. Некоторые из неприят- ных свойств ИС 555 (большой ток по- требления от источника питания, высокий ток запуска, удвоенная частота переклю- чения выходного сигнала и неспособность функционировать при очень низких на- пряжениях источника питания) были уст- ранены в ее КМОП-аналогах. Их можно узнать по цифрам «555». расположенным в какой-либо части маркировки В табл. 5.3 представлено большинство из этих схем, которые мы смогли найти а также их наиболее важные параметры. <^вас, тип. Макси- /ВВ1Х, макс, мальный (Си =-- 5 В, двойной Г’ВЬ1Х = 2,5 В) перепад 21 Г 7ц мА вых.Н - в 7УТ, мА 1ц' мА 7 , мА 1.4 2 0,1 10 200 200 2 0,5 10 V 4 25 1 2 2 0.2 0.2 10 10 V V — — 0.4 0.4 04 1,7 При 2 0,3 10 V — — 2 0.2 10 V 3 100 2 0.2 10 V 10 100 2 0.2 10 V 3 100 10 L нас 0,3 = 1.2 В. 100 Рис. 5.34. Генератор с укороченным рабочим циклом. Следует отметить, в частности, их спо- собность функционировать при очень низ- ких напряжениях питания (до 1 В!) и, как правило, токе потребления. Эти кристал- лы также более быстродействующие, чем исходная схема 555. Выходные КМОП-ка- скады дают максимальный удвоенный пе- репад напряжения выходного сигнала, по крайней мере при низких токах нагрузки (отметим, что эти кристаллы не имеют мощного выходного каскада, как в типо- вой схеме 555). Все перечисленные кри- сталлы (табл. 5.3), кроме исходной схемы 555 и XR-L555. сделаны по КМОП-техно- логии. Последняя же схема является мик- ромощной биполярной схемой 555 и про- являет свою родословную в виде здоро- венной нагрузочной способности и хоро- шей температурной стабильности. Показанный на рис. 5.33 генератор на схеме 555 вырабатывает выходной сигнал прямоугольной формы, чей рабочий цикл (часть времени, когда выходной сигнал имеет ВЫСОКИЙ уровень) всегда боль- ше 50%. Это происходит вследствие того, что времязадаюший конденсатор заряжа- ется через последовательно включенную пару резисторов RA а- Лв, а разряжается (более быстро) через единственный резис- тор /?в. На рис. 5.34 показано, как обма- нуть схему 555 с тем, чтобы получить в рабочем цикле узкие положительные
306 Глава 5 Рис. 5.35. Генератор пилообразных колебаний. импульсы. Цепь, состоящая из комбина- ции диода и резистора, быстро заряжает времязадающий конденсатор через вы- ходной каскад, разряд же его через внут- ренний разряжающий транзистор проис- ходит медленно. Этот трюк пригоден только для КМОП схем 555, поскольку в этом случае необходим полный положи- тельный перепад выходного сигнала. При использовании для заряда время- задающего конденсатора источника тока можно создать генератор линейного («пи- лообразного») напряжения. На рис. 5.35 показан способ использования дЛя целей простого источника тока на р- транзисторе. Пилообразный сигнал л дит до напряжения 2/ЗПкк, затем бы^ спадает (разряд происходит через ВцТ₽° ренний разряжающий «-р-и-транзис схемы 555. контакт 7) до напря*ен°Р 1/ЗС/кк, далее цикл начинается снова Q? метим, что этот сигнал пилообраз^ - формы выделяется на выводе конденс^ тора и необходимо обеспечить его развяз-' ку с помощью ОУ, который обладает высоким полным сопротивлением. % схему можно еще упростить путем за- мены источника тока на р -п -p-транзис- торе на «диодный регулятор тока», вы- полненный на полевом транзисторе с р-и-каналом (разд. 3.06); однако ее ра- бочие характеристики, а именно линей- ность пилообразного сигнала, будут хуже поскольку этот полевой транзистор пи- тается током 1С кк и при этом формирует- ся не такой хороший источник тока, как на биполярном транзисторе. На рис. 5.36 указан простой способ формирования с помощью КМОП-схемы 555 сигнала треугольной формы. В пред- ложенной схеме соединяются последова- тельно два регулятора тока на полевом транзисторе таким образом, чтобы полу- чился двунаправленный регулятор тока (каждый регулятор тока ведет себя в об- Рис. 5.36. Генератор треугольных колебаний.
Активные фильтры и генераторы 307 --оМ направлении как обычный диод, пр0водимости затвор-сток). Следо- ** льно, с помощью выходного сигнала «военным максимальным перепадом ^пцируетоя постоянный ток противопо- ^ядой полярности и при этом на самом ^прясаз оре вырабатывается треуголь- колебание (напряжение которого *Lj4ho лежит в дипазоне от 1/2С/кк до 2/31/кк)- Как и в пРедыДУшей схеме, для Связки этого сигнала (источник с вы- полным выходным импедансом) ^пользуется ОУ. Следует отметить, что в этом случае необходимо применять КМОП-схему 555, в частности при подаче на схему напряжения питания + 5 В, по- (додьку ее функционирование зависит от ядсимальнэго двойного перепада выход- ного напряжения. Например, напряжение аыходного сигнала ВЫСОКОГО уровня (аполярной схемы 555 в типовом случае дже максимального положительного пе- репада на падение напряжения на двух даодах (схема Дарлингтона на п-р-п- тризисторах), что составит +3,8 В при напряжении источника питания +5 В, свдовательно, остается всего 0,5 В паде- su напряжения (при верхнем значении сигнала) на последовательно включенную мру регуляторов тока, что явно недоста- rowo для включения регулятора тока (требуется приблизительно 1 В) и после- довательного диода (0,6 В), построенного из полевого транзистора с р-«-перехо- дом. УаИтш ине 5.9. Покажите, что вы уяснили работу аа<> мзображенн на рис. 5.35 и 5.36; для этого Рассчитайте для каждой схемы частоту генерируемого сигнала Существует еще несколько других ин- ТеРСсных интегральных схем таймеров Хема таймера 322 фирмы National имеет >,0®ственньш встроенный прецизионный Сочник опорного напряжения, с помо- к°торого задается напряжение поро- Это объясняет его прекрасные свой- 114 При формировании сигнала, частота орого должна быть пропорциональна ку, подаваемому от внешнего источни- ^Пример с фотодиода. В состав дру- Разновидности таймеров входят ре- ^СаЧИонныи генератор и цифровой счет- чик, с тем чтобы при формировании сиг- налов большой длительности избежать необходимости использования в схеме больших номиналов сопротивлений и конденсаторов. Примером таких схем мо- гут служить схемы 74НС4060, Ехаг 2243 и Intersil ICM7242 (выпускается также фирмой Maxim). Последняя схема выпол- нена по КМОП-технологии и может функ- ционировать при токе в доли миллиам- пера и вырабатывать выходной импульс один раз за 128 циклов генератора. Эти таймеры (и их ближайшие аналоги) при- годны для формирования задержки сиг- нала в диапазоне от нескольких секунд до нескольких минут. 5.15. Генераторы, управляемые напряжением Остальные ИС генераторов выпускаются обычно в виде генераторов, управляемых напряжением (ГУН), у которых выходной сигнал изменяется в некотором диапазоне в соответствии с управляющим входным напряжением. Некоторые из этих схем имеют частотные диапазоны, превосходя- щие 1000:1. Примерами таких схем яв- ляются исходная ИС NE566 и более новые ИС: LM331, 8038, 2206 и серии 74LS624-9 Схемы серии 74LS624, например, спо- собны работать на высоких частотах вплоть до 20 МГц, требуют внешней RC-цепи для установления номинальной частоты и формируют выходные сигналы с обычными логическими уровнями. Бо- лее быстродействующие схемы ГУН, та- кие как 1648 могут функционировать в диапазоне до 200 МГц и в гл. 13 будет показано, как создать ГУН для гигагер- цевого диапазона частот. Схема LM331 фактически представляет собой пример преобразователя напряжение частота (U/F-преобразователь) с хорошей линей- ностью (эти приборы мы рассмотрим в разд. 9.20 и 9.27). В тех же случаях, когда линейность является определяющим фак- тором, предпочтительны современные U/F преобразователи типа AD650, обес- печивающие линейность 0,005%. В боль- шинстве схем ГУН используются внут- ренние источники тока для формирования
308 Глава 5 +5 В R 6,19 кОм 1% +5 С 0,01 мкФ 5% Выход Рис. 5.37. Типичная ИС преобразователя напри», ние/частота (0 В соответствует частоте 10 кГп) /= (0,478/ЛтСт) (ДИ/7?Н)ОВХ треугольных импульсов, а схемы 8038 и 2206 даже имеют набор «мягких» клемм для преобразования с помощью ограни- чителя треугольных импульсов в гармо- нические колебания. Иногда в ИС ГУН используются неудобные значения опор- ного напряжения в качестве сигнала управления (например, положительный источник питания) и усложненные сим- метрированные схемы для получения си- нусоидального сигнала. По нашему мне- нию, идеальный ГУН все еще ждет своей разработки Ко многим из этих ИС могут подключаться внешние кварцевые резона- торы для повышения их точности и ста- бильности (это мы обсудим позже); в та- ких случаях кварцевый резонатор просто устанавливается вместо конденсатора. На рис. 5.37 показана схема ГУН с диапазо- ном выходного сигнала от 10 Гп до 10 кГц. построенная на основе схемы LM331 При обзоре интегральных схем ГУН нельзя не обратить внимание на ИС фазо- вой автоматической подстройки частоты (ФАПЧ), в состав которых входят ГУН и фазовый детектор. Например, популяр- на КМОП-схема 4046 (и ее более быстро- действующий аналог 74НС4046) Системы ФАПЧ будут рассмотрены в разд 9.27- 9.31. В табл. 5.4 представлено большин- ство из имеющихся схем ГУН. 5.16. Квадратные генераторы Время от времени возникает потребность в генераторах, которые формируют одно- временно пару одинаковых по амплитуде колебаний синусоидальной формы, но сдвинутых по фазе на 90°. Эту пару сигна- лов можно рассматривать как синусои- дальное и косинусоидальное колебания, мы же будем придерживаться термина квадратурная пара сигналов сигналы «в квадратуре»). Наиболее важны такие сиг- налы в радиосвязи (квадратурные смеси- тели, схемы формирования однополосных сигналов). Кроме того, дальше будет по- казано, что такая квадратурная пара сиг- налов всегда необходима для формирова- ния сигнала с любой произвольной фазой. Первая мысль, которая сразу возника- ет,- это как подавать сигнал синусоида®' ной формы на интегратор (или ифферен' пиатор). чтобы на его выходе появился сдвинутый на 90° сигнал косинусоида®' ной формы При этом сигнал имеет пра' вильный фазовьгй сдвиг но его амплитуд испорчена (поймите почему) Далее преД лагаются некоторые способы репгей0Я этой задачи. Резонатор на переключаемых конДеЯСГ торах. На рис. 5.38 показан способ пользования ИС фильтра на перекл^4 мых конденсаторах MF5 в режиме са
Активные фильтры и генераторы 309 5-4- ГУН Тип Изгото- витель ” Се- рия 2) Макси- мальная частота. МГц Выхо- ды3’ Напряжение питания, В Линей- ность при 10 кГц, % Примечания 1 МИН. макс. vFC32 вв. Л 0.5 ОК ±9 ±18 0,01 Промышленный стандарт; хорошая линейность VFC62C VFCHOB ВВ Л 1 ОК ±13 ±20 0.002 Прекрасная линейность ВВ л 4 ОК ±8 ±18 0,005 Быстродействующий, пре- красная линейность, вну- 1реннее Uon 74S124 74LS624-9 745724 TI т 60 ПК 4,75 5,25 TI т 20 ПК 4,75 5,25 TI т 16 ПК 4.75 5,25 Мини-DIP 215 ХР л 35 ПК 5 26 ФАПЧ LM331 NS л 0,1 ОК 4 40 Дешевый; хорошая линей- ность AD537 AD л 0,1 ОК 4,5 36 0,07 566 SN л 1 ПК, Т 10 24 AD65O AD л 1 ОК ±9 ±18 0.005 Прекрасная линейность AD654 AD л 0,5 ОК 4,5 36 0,141 Дешевый 1648 МО э 200 и -5,2 1658 МО э 130 и -5,2 XR22O6 XR л 0,5 пк.т.ск 10 26 2 0,5% искажений при сину- соид. сигнале (подстраи- вается) XR2207 XR л 0,5 пк,т 8 26 1 XR220 XR л 1 пк,т + 4 ±13 1 XR2212 XR л 0,3 ПК 4,5 20 ФАПЧ ХК213 XR л 0,3 ПК 4,5 15 ФАПЧ 4024 МО т 25 ПК 4.75 5.25 «46 RC. к 1 ПК 3 15 КМОП ФАПЧ НС4046 RC+ к 154’ ПК 3 6 Быстродействующий 4046 4151 RA л 0,1 ок 8 0,013 4152 RA л 0,1 ок 18 0.007 4153А RA л 0.5 ок ±12 ±18 0 002 Прекрасная линейность. 8038 легкость использования IL л 0,1 ПК.Т.СК 10 30 0,2 Ехаг 8038 до 1 МГц TSC9401 TP л 0.1 ок ±4 ±7,5 0.01 U/F, линейный, стабильный 11 См прим, к табл. 4.1 2> Серии. К КМОП; Э- эсл, л линейная , Т ТТЛ. 3* Выходы ОК открытый юллеггор, И - импульсы; ПК - прямоугольное колебание: СК синусоидальное колебание; Т треугольное При 250 кГц ’осуждаю цегося полосового фильтра. 10т°рыи формирует пару квадратурных гвгналов синусоидальной формы Наибо- простой способ понять ее работу-это нрелположить, что на выходе уже при- cy^TByci сигнал синусоидальной формы Залее компаратор преобразует его в пря- ^о^ольное колебание с небольшой амп- улой (падение напряжения на одном ?Оде’- которое снова подается на вход /"^ьтра Фильтр обладает узкой полосой ^ПУскания (Q = 10). так что он преобразу- ЭТо прямоугольное колебание в выход- ной синусоидальный сигнал и таким об- разом поддерживается генерация. Вход- ное прямоугольное колебание тактовой частоты (такт) задает центральную часто- ту полосы пропускания следовательно, сама частота генерации в этом случае составит/такт/100 Эта схема пригодна для работы в диапазоне частот от нескольких герц до приблизительно 10 кГц и форми- рует квадратурную пару синусоидальных сигналов с равными амплитудами Сле- дует отметить, что эта схема дает «сту- пенчатую» аппроксимацию синусоидаль-
310 Глава 5 ной формы выходного сигнала вследствие того, что переключаемый фильтр дает квантованный выходной сигнал. Генератор колебаний специальной формы (аналоговые тригонометрические функции). Фирма Analog Devices изготовляет инте- ресную нелинейную «функциональную ИС», которая преобразует входное напря- жение в выходной сигнал, пропорцио- нальный sm(+CBX), где коэффициент уси- ления А имеет фиксированное значение, равное 50е В Как правило, этот кристалл (AD639) может на самом деле выполнять гораздо больше функции Он вырабаты- вает четыре выходных сигнала, называе- мые XY, Х2, и У2, и формирует выход- ной сигнал, напряжение которого опреде- ляется следующим образом- Свых - = sin(А\ — X2)/sin(yi — У2). Таким обра- зом, если например установить Хг = = У = 90° (т.е. +1,8 В), У2 = 0 (закоротка на «землю»), а входное напряжение пода- вать на вход Х2. то вырабатывается сиг- нал вида cos(X2). Упражнение 5.10. Докажите последнее утвержде- ние. У схемы AD639 имеется также выход прецизионного опорного напряжения + 1.8 В. что существенно облегчает ее применение. Следовательно, если на пару ИС AD639 подать треугольное колебание с амплитудой 1,8 В, то можно получить пару квадратурных сигналов синусои- дальной формы, как это показано на рис. 5.39 Рабочий диапазон частот этой ИС лежит в пределах от постоянного тока до приблизительно 1 МГц. Просмотровая таблица (поиск элементе® при помощи просмотра). Это цифровая ме- тодика, которую ВЫ ПОЛНОСТЬЮ OCBO0TC только после изучения гл. 9 Основная идея состоит в том, чтобы запрограм*0*' ровать цифровую память большого объе* ма цифровыми значениями (выборками' синуса и косинуса, аргументы которь1-' выбираются через равноотстоящие уь10" вые промежутки (скажем, через 1 )- ТогД8- быстро последовательно перебирая аДР6'
Активные фильтры и генераторы 311 Ряс. 5.39. Генератор тригонометрических функций. са этой памяти можно получить колеба- нис синусоидальной формы, для этого считанные из памяти по каждому адресу цифровые значения (т. е. для последова- тельности угловых аргументов) подаются на пару цифро-аналоговых преобразова- телей (ЦАП). Этот метод имеет следующие недостат- п. Как и в случае резонатора на пере- тлючаемых конденсаторах, выходной сиг- нал имеет ступенчатую форму, поскольку он формируется из набора дискретных ^пряжении, по одному на содержимое ^ЖДой ячейки памяти. Можно, конечно. сглаживания выходного сигнала по- бить фильтр нижних частот, но, делая нельзя перекрыть широкий диапазон ^от, поскольку нужно выбирать такой ^льгр нижних частот, чтобы он про- пУс<ал само синусоидальное колебание 8 в То же время подавлял более высокую ^оту выборки (такая же проблема ха- Р^ерна и для резонатора на переклю- конденсаторах). В этом случае 7~*°Гае сокращение углового интервала соседними значениями, но тогда Ч)°тветственно снижается максимальная частота вырабатываемого выходного ко- лебания При использовании стандартных ЦАП с временем преобразования не более одной микросекунды, можно получить си- нусоидальные сигналы с частотами вплоть до нескольких десятков килогерц, полагая, что шаг углового аргумента со- ставляет порядка одного градуса. Для самих же ЦАП характерно наличие в мо- мент переключения больших остроконеч- ных выбросов напряжения («кратковре- менная импульсная помеха»). Эти пол- норазрядные кратковременные импульс- ные помехи возникают даже, если пере- ключение происходит между смежными (ближайшими) уровнями выходного на- пряжения. В гл 9 будут предложены спо- собы решения этой проблемы Разряд- ность имеющихся в распоряжении ЦАП достигает 16 (в этом случае разрешающая способность составляет единицу из 65536 значении). Генератор на основе метода переменных состояния. Все предложенные ранее мето- ды требуют выполнения некоторой тяже- лой работы. К счастью, сотрудники дру- жественной фирмы Burr-Brown провели
312 Глава 5 Рис. 5.40. Диодный ограничитель при UQ (</и + Цдиода) А2 эту работу дома и вышли на рынок с мо- делью 4423, которая представляет собой «прецизионный квадратурный генератор». В нем используется стандартная схема полосового фильтра на основе метода переменных состояния, выполненная на трех ОУ (рис. 5.18), где выходной сигнал через диодный ограничитель подается на вход (см. рис. 5.40). Она предназначена для работы в диапазоне частот от 0,002 Гц до 20 кГц и при этом она демон- стрирует высокую стабильность фазового сдвига, амплитуды и частоты (максималь- но 10 ~4 1/°С). Схема 4432 является мо- дульной (а не монолитной ИС) и выпуска- ется в 14-выводном стандартном DIP- корпусе при цене 24 долл, в малых пар- тиях Фильтры на схеме с упорядоченными фазовыми сдвигами. Известны изощрен- ные схемы АС-фильтров, которые обла- дают способностью при подаче на их вход сигнала синусоидальной формы ф0 ровать на выходе пару синусоида сигналов, имеющих разность фаз приб зительно 90°. В радиотехнике это н вается «фазовым» методом формип ния однополосного сигнала (благод Weaver), где предназначенный дпя дачи входной сигнал состоит из сигна речевого диапазона. ' ь К сожалению, этот метод работа удовлетворительно только в ограничен ном диапазоне частот и требует точное подбора номиналов резисторов и кондев саторов. Более приемлемый способ фов. мирования широкополосных квадратур, ных сигналов основан на использовании «цепи с упорядоченными фазовыми сдви- гами», которая представляет собой регу- лярную структуру, состоящую из резисто- ров с равными номиналами, а номиналы конденсаторов уменьшаются в геометри- ческой прогрессии, как это указано на рис. 5.41. На вход этой цепи подаются два сигнала, а именно прямой и сдвинутый иа 180° (это легко сделать с помощью ин- вертора с единичным коэффициентом пе- редачи). Выходной сигнал представляет собой набор из четырех квадратурных сигналов и при использовании 6-секцион- ной цепи их погрешность составляет ±0,5° в диапазоне частот 100:1. Квадратурные колебания прямоугольно! формы. В некоторых случаях формирова- ние квадратурных сигналов прямоуголь- ной формы является несложной задачей. Рис. 5.41. Цепь с упорядоченными фазовыми сдвигами.
Активные фильтры и генераторы 313 лчювная идея заключается в том, чтобы бисировать сигнал удвоенной частоты поделить его в два раза с помощью бового триггера (гл. 8) и декодиро- вентилях (снова гл. 8) Это наи- совершенный способ формирования братурных прямоугольных колебаний диапазон частот от постоянного тока по по крайней мере 100 МГц. Кчзратурные сигналы диапазона радио- г. В диапазоне радиочастот (выше дескольких мегагерц) формирование пары кваДратурных сигналов синусоидальной (кормы снова достаточно тривиальная за- дача; в этом случае используются при- боры, которые называются квадратурны- ми гибридными схемами (или квадратур- дые расщепитель/объединитель). На низко- частотной границе радиочастотного диа- пазона (от нескольких мегагерц до, может бить, 1 ГГц) они принимают форму не- больших трансформаторов с магнитным сердечником, в то время как на более высоких частотах нужно найти их вопло- щение в форме полосковых линий переда- чи (полоски и печатные проводники, изо- лированные от заземленной подложки) или световодов (полая прямоугольная трубка). Эти вопросы снова будут рас- смотрены в гл. 13. Методика достаточно узкополосная типовая ширина рабочей частоты не превышает октаву (т.е. соот- ношение частот 2:1). Нормирование синусоидального колеба- с произвольной фазой. Поскольку у нас Уже имеется пара квадратурных сигналов, Достаточно просто сформировать сину- соидальное колебание с произвольной фа- В этом случае требуется просто объ- •Жить синфазный (/) и квадратурные сФналь1 (Q) на резистивном сумматоре, 1110 наиболее просто реализуется с по- МоШью потенциометра, включенного I и Q сигналами. При вращении потенциометра эти сигналы (1 и ~ сУМмир /ются в различных соотноше- при этом удается получить плавное Г^аени фазы в диапазоне от 0 до 90 же рассматривать эту проблему с зрения векторов, то можно пока- что фаза результирующего колеба- с°верщенно не зависит от частоты; однако его амплитуда при регулировке фазы меняется, спадая на 3 дБ при фазе 45°. Метод достаточно просто можно распространить и на случай формирова- ния колебания, фаза которого должна ле- жать в диапазоне от 0 до 360°, при этом используются противоположные сигналы (фазовый сдвиг 180°) Г и (У, которые получаются с помощью инвертирующих усилителей с коэффициентом передачи -1. 5.17. Мостовые генераторы Вина и ГС-генераторы Для получения сигнала синусоидальной формы сигнала с малыми искажениями ни одна из описанных ранее схем, вообще говоря, не подходит Хотя в большинстве функциональных генераторов на широкий диапазон частот используется «размыва- ние» колебаний треугольной формы с по- мощью диодных ограничителей, в конце концов уровень искажений редко удается снизить до значений, меньших 1%. Для сравнения: большинство высококачест- венных звуковых колонок требуют усили- телей с уровнем искажений не больше 0,1%. Для испытаний подобной звуковос- производящей аппаратуры требуются ис- точники чистого синусоидального сигнала с остаточным искажением не больше 0,05%. На низких и средних частотах хорошим источником синусоидальных колебании с малым уровнем искажений служит мо- стовой генератор Вина (рис. 5.42). Идея его состоит в том, чтобы создать усили- тель с обратной связью, имеющий сдвиг фазы 180= на нужной частоте, а затем отрегулировать петлевое усиление таким ооразом, чтобы возникли автоколебания Для одинаковых значений R и С коэффи- циент усиления по напряжению выходно- го сигнала по отношению к сигналу на неинвертирующем входе ОУ должен быть точно равен -г 3,0. При меньшем усилении колебания затухают, при большем вы- ходной сигнал будет достигать насыще- ния Искажение будет малым, пока ам- плитуда колебаний не выходит за пределы линейного участка характеристики усили-
314 Г лава 5 Рис. 5.42. Мостовые генераторы Вина с малыми искажениями. Выходная частота /= 1/2л7?С теля, т. е. не следует допускать колебаний полного размаха. Если не применить не- которых приемов для управления усиле- нием, то именно это и произойдет-вы- ходной сигнал усилителя будет возрас- тать до уровня, при котором эффектив- ный коэффициент усиления вследствие на- сыщения упадет до 3,0. Как мы увидим, эти приемы включают в себя некую управляющую усилением обратную связь с большой постоянной времени. В первой схеме на рис. 5 42 в качестве элемента обратной связи с переменным сопротивлением используется лампа на- каливания. При повышении уровня вы- ходного сигнала нагревается нить лампы, уменьшая коэффициент неинвертируюше- го усиления. Искажения гармонического сигнала в показанной схеме для диапазо- на звуковых частот (выше 1 кГц) не пре- вышают 0.003%: для более глубокого изу- чения этого вопроса см. LTC Арр. Note 5(12 84). Во второй схеме амплитудный детектор, состоящий из диодов и RC-це- пи, регулирует усиление по переменному току, меняя сопротивление полевого тран- зистора, который при малых напряжениях ведет себя как нелинейное сопротивление (см. разд. 3.10). Следует отметить, что используется большая постоянная време- ни (2 с); для исключения искажений это существенно, поскольку быстродействую- шая обратная связь исказила бы генери- руемую синусоидальную волну, пытаясь регулировать ее амплитуду в пределах одного периода. 5.18. ГС-генераторы Наиболее распространенный способ по- лучения высокочастотных синусоидаль- ных колебаний - это применение енера- тора, стабилизированного LC-кснтуром. в котором LC-контур, настроенный на определенную частоту, подключен к уси- лительной схеме, чтобы обеспечить необ- ходимое усиление на его резонансной час- тоте. Охватывающая схему петля поло- жительной обратной связи применяется для поддержания колебаний на резонанс- ной частоте LC-контура и такая схема будет самозапускающейся На рис. 5 43 показаны две популярные схемы Первая-это настоящий генератор Колпитца: параллельный настроенный LC-контур на входе и петля положите®* I ной обратной связи с выхода на вход- В имеющимся сведениям эта схема обеспе- чивает искажения меньше — 60 дБ Втора* схема-это генератор Хартли, построй* ный на и-/?-и-транзисторе. Перемея®* конденсатор предназначен для регулир ки частоты. В обеих схемах использует катушка связи, т. е. просто несколько в ков провода, действующих как пони* J щий трансформатор.
Активные фильтры и генераторы 315 В небольшом диапазоне частот можно настраивать LC-генераторы электриче- ским способом. Этот прием заключается в использовании регулируемого напряже- гаем конденсатора («варактор») в часто- тозадающей LC-цепи. Физическая приро- да диодных р - «-переходов обеспечивает требуемое решение, в виде простого сме- щвяного в обратном направлении диода. Емкость этого р- «-перехода уменьшается суиеличением обратного напряжения (см. рг. 13.3). Хотя любой диод может рабо- тать как варактор, необходимо использо- вать специально разработанные варактор- ов диоды и на рис. 5 44 представлены характеристики некоторых из них. На Р«. 5.45 изображен простой генератор Колпитц i на полевом транзисторе с р-п- “среходом (сигнал обратной связи сни- Мастся с истока) и настройкой частоты il%. В этой схеме диапазон настройки °*0 преднамеренно сделан меньше, с тем ’т°бм добиться хорошей стабильности. этом используется относительно Иой конденсатор фиксированной ем- 5°СТи (ЮО пФ), который шунтируется не- ^®пим регулировочным конденсато- (максимальное значение 15 пФ). Сле- отметить большой номинал резисто- ^Метения (так что ток смешения диода оулет влиять на колебания), а также блокировочного конденсатора по дрянному току. Советуем также по- °тРет > материал разд. 13.11. В типовом случае варакторы имеют максимальное значение емкости от не- скольких пикофарад до нескольких сотен пикофарад с диапазоном регулировки приблизительно 3:1 (хотя имеются ва- ракторы с более широким диапазоном до 15:1). Поскольку резонансная частота LC-контура обратно пропорциональна корню квадратному из емкости, то воз- можно добиться диапазона настройки по частоте вплоть до 4:1, хотя обычно гово- рят о диапазоне регулировки +25% или около того. В настраиваемых варакторами схемах Рис. 5.44. Регулирующие диодные варакторы
316 Глава 5 + 12В Рис. 5.45. Управляемый напряжением LC-генератор само генерируемое колебание (и вдобавок прикладываемое внешнее регулирующее смещение постоянного тока) появляется на варакторе, что приводит к изменению его емкости в зависимости от частоты сигнала. Это вызывает искажения формы вырабатываемого колебания и, что более важно, приводит к зависимости амплиту- ды его колебании от частоты. Для того чтобы минимизировать эти эффекты, не- обходимо ограничить амплитуду колеба- ний (при необходимости усиление произ- водится в следующих каскадах); также лучше сохранять напряжение постоянного смещения на варакторе выше одного вольта или около того, с тем чтобы сде- лать напряжение генерации малым по сравнению с ним. Электрически настраиваемые генерато- ры широко используются для формиро- вания частотно-модулированных сигна- лов и вдобавок как радиочастотные си- стемы фазовой автоматической подстрой- ки частоты. Эти вопросы будут рассмот- рены в гл. 9 и 13. По историческим соображениям следо- вало бы упомянуть о камертонных гене- раторах. которые являются близкими «родственниками» LC-генераторов. В этих генераторах высокодобротные коле- бания камертона определяют частоту ге- нератора в низкочастотном диапазоне (стабильность несколько миллионных до- лей при постоянной температуре); это со- ответствует стабильности наручных ча- сов. Но кварцевые генераторы все-таки лучше, как будет показано в следующем разделе. Паразитные колебания Предположим, что вы собрали славный усилитель и ис- пытали его, подавая на вход синусоидаль- ный сигнал. Затем подключили ко входу усилителя генератор прямоугольных им- пульсов и увидели на выходе по-прежнему синусоидальный сигнал! У вас не усили- тель, а сплошные хлопоты. Но паразитные колебания не всегда проявляются так явно Обычно они за- метны в виде размытия части сигнала, «гуляющего» источника тока, необъясни- мых сдвигов у ОУ, или схема, нормально ведущая себя, пока за ней наблюдают на осциллографе, вдруг «дичает», стоит тишь перестать за ней следить. Все то- разнообразные проявления неподавлен- ных высокочастотных паразитных бамий. порожденных непреднамерен®» I получившимся генератором Хартли и# Колпитца, возникшим на основе инДж| тивности вводов и межэлектродных еМ костей * На схеме рис. 5.46 показан осциллирУ^* ший источник тока, возникший при полнении студенческой лабораторной боты по электронике, где с помоы вольтметра измерялся рабочий диап
Активные фильтры и генераторы 317 Рг. 5.46 Пример паразитного генератора. обычного транзисторного источника то- га Оказалось, что ток меняется слишком адьно (от 5 до 10%) при изменениях отряжения на нагрузке в пределах ожи- даемого рабочего диапазона-симптом, готорый снимался прикосновением паль- ца к выводу коллектора! Емкость тран- истора между коллектором и базой плюс емкость измерительного прибора в соче- таяии с его индуктивностью образовала иассическии генератор Хартли, в кото- ром обратная связь обеспечивалась ем- юстъю между коллектором и эмиттером. Добавление небольшого резистора в цепь к [ подавило эти колебания за счет Уменьшения коэффициента усиления на высоких частотах в схеме с общей базой один из приемов, часто бывающий полезным. горит только одна цифра, но глаз видит все число. Ясно, что точность здесь не очень важна. Несколько лучше стабиль- ность LC-генераторов-порядка 0.01% в течение разумного промежутка времени. Этого вполне достаточно для гетеродинов радиоприемников и телевизоров. Для получения по-настоящему стабиль- ных колебаний незаменимы кварцевые ге- нераторы В них используется кусочек кварца (искусственного-двуокись крем- ния), вырезанный и отшлифованный та- ким образом, что он имеет определенную частоту колебаний. Кварц представляет собой пьезоэлектрик (его деформация вы- зывает появление электрического потен- циала, и наоборот), поэтому упругие ко- лебания кристалла могут быть вызваны приложением электрического поля, а эти колебания в свою очередь генерируют напряжение на гранях кристалла. Поме- щая на поверхность кристалла контакты, можно превратить его в истинный схем- ный элемент, эквивалентный некоторой ALC-схеме, заранее настроенной на опре- деленную частоту. В самом деле экви- валентная схема этого элемента содержит два конденсатора, дающих пару близко расположенных резонансных частот-по- следовательного и параллельного резо- нанса (рис. 5.47), отличающихся друг от друга не более чем на 1%. Результат этого эффекта - резкое изменение реактивного сопротивления с частотой (рис. 5.48). Вы- сокая добротность Q кварцевого резона- тора (обычно около 10000) и хорошая стабильность делают естественным его Генераторы с кварцевыми ****торами /^-генератора можно легко добиться Цельности порядка 0,1% при началь- 10./ТОЧНОСТИ Установки частоты от 5 до to//70 вполне удовлетворительно для применений, таких, например, как ^Шр^лексный индикатор карманного ^/^Улятора, где цифры многозначного п°Дсвечиваются одна за другой с Та ‘Рыл чередованием (обычная часто- В каждый момент времени Рис. 5.47.
318 Глава 5 применение как задающего элемента в ге- нераторах и фильтрах с улучшенными параметрами (см. разд. 13.12). В схемах с кварцевыми резонаторами, как и в LC- генераторах, вводят положительную об- ратную связь и обеспечивают надлежащее усиление на резонансной частоте, что ве- дет к автоколебаниям На рис. 5 49 показаны некоторые схему кварцевых генераторов. На рис. 5.49, а по- казан классический генератор Пирса, в котором используется обычный полевой транзистор (см гл. 3). На рис. 5 49, б изо- бражен генератор Колпитца с кварцевым резонатором вместо LC-контура. В схеме на рис. 5.49, а в качестве обратной свя- 10 В Рис. 5.49. Схемы с кварцевыми резонаторами, «-генератор Пирса, б-генератор Колпитца
Активные фитьтры и генераторы 319 ^пользуется сочетание биполярного ^-транзистора и кварцевого резона- ” । Остальные схемы генерируют вы- сигнал с логическими уровнями использовании цифровых логических ^ший (рис. 5.49. г и д). fla последней диаграмме показаны схе- кварпевых генераторов, построенные ' 0С MCI2060/12061 фирмы Motorola. й микросхемы предназначены для ис- Хпвания. совместно с кварцевыми ре- зонаторами, диапазона частот от 100 кГц до 20 МГц и спроетированы таким обра- зом что обеспечивают прекрасную ста- дность частоты колебаний при тща- тельном ограничении его амплитуды с мошью встроенного амплитудного дис- криминатора и схемотехнического огра- ничителя. Они обеспечивают формирова- ние выходных колебаний как синусои- дальной, так и прямоугольной формы (с ТТЛ и ЭСЛ логическими уровнями). В качестве альтернативы, а именно в тех случаях, когда достаточно иметь вы- ходное колебание только прямоугольной формы и не предъявляются предельные ребования по стабильности, можно при- менять законченные модули кварцевых генераторов, которые обычно выпускают- ся в металлических DIP-корпусах. Они щмлагают стандартный набор частот например, 1, 2, 4, 5, 6, 8, 10, 16 и 20 МГц), а также «странные» частоты, которые обычно используются в микропроцессор- иых системах (например, частота 4,31818 МГц используется в видеопла- тах). Эти «кварцевые модули тактовой частоты» как правило, обеспечивают точ- ность (в диапазоне температур, напряже- 320 источник 1 питания и времени) только 0-01% (Ю~4), однако они дешевы (от 2 до Додд.) и вам не приходится строить Кроме того, они всегда дают ус- тойчивые колебания, тогда как при созда- Я собственного генератора этого не ^гда удается добиться Функционирова- ?Jie схем генераторов на кварцевых ре- 3°Н?ТоРах зависит от электрических °йств самого кристалла (таких, как по- 'Зедовательный или параллельный режим /сбаний эффективное последователь- dOe сопротивление и емкость монтажа). которые не всегда полностью известны. Очень часто вы можете найти, что хотя ваш самодельный кварцевый генератор и возбуждается, но на частоте, которая не соответствует той, которая указана на кварцевом резонаторе. В наших собствен- ных изысканиях в области схем дискрет- ных кварцевых генераторов бывало вся- кое. Кварцевые резонаторы выпускаются на диапазон от 10 кГц до 10 МГц, а у неко- торых образцов высокие обертоны дохо- дят до 250 МГц. Для каждой частоты нужен свой резонатор, но для наиболее употребительных частот резонаторы вы- пускаются серийно. Всегда легко достать резонаторы на частоты 100 кГц, 1, 2, 4, 5 и 10 МГц. Кварцевый резонатор на частоту 3.579545 МГц (стоящий меньше доллара) применяется в генераторе им- пульсов цветности телевизоров. Для электронных наручных часов нужна ча- стота 32,768 кГц (или 215 Гц), и вообще, часто нужны частоты, равные 2 какой-то степени Гц. Кварцевый генератор можно регулировать в небольшом диапазоне с помощью последовательно или парал- лельно включенных конденсаторов пере- менной емкости (см. рис. 5.49, г). Благо- даря дешевизне кварцевых резонаторов всегда имеет смысл рассмотреть возмож- ность их применения в тех случаях, когда /?С-релаксационные генераторы работа- ют на пределе своих возможностей. При необходимости стабильную часто- ту кварцевого генератора можно «подго- нять» электрическим способом в неболь- ших пределах с помощью варактора. Та- кая схема называется УНКГ (управляе- мый напряжением кварцевый генератор), при этом удается соединить прекрасную стабильность кварцевых генераторов с ре- гулируемостью LC-генераторов. Покупка коммерческого УНКГ, вероятно, является наилучшим решением проблем, возни- кающих при собственном проектирова- нии Стандартные УНКГ обеспечивают максимальные отклонения центральной частоты от номина а порядка +10~5- ± 10-+, хотя имеются образцы с более широким диапазоном (вплоть до + 10-3). Без особых усилий можно с помощью
320 Глава 5 кварцевого резонатора обеспечить ста- бильность частоты порядка нескольких миллионных долей в нормальном темпе- ратурном диапазоне. Применяя схемы температурной компенсации, можно по- строить температурно-компенсированный кварцевый генератор (ТККГ) с несколько улучшенными параметрами. Как ТККГ, так и некомпенсированный генератор вы- пускаются в виде готовых модулей раз- ными фирмами, например фирмами Biley, CTS Knights, Motorola, Reeves Hoffman, Statek и Vectron. Они бывают разных габаритов, иногда не больше корпуса DIP или стандартного корпуса для транзисто- ров ТО-5. Дешевые модели обеспечивают стабильность порядка 10 “6 в диапазоне от 0 до 50 е С, дорогие-порядка 10“7 в том же диапазоне. Температурно-компенсированные генера- торы. Чтобы получить сверхвысокую ста- бильность, может понадобиться кварце- вый генератор, работающий в условиях постоянной температуры. Обычно для этих целей используется кристалл с прак- тически нулевым температурным коэффи- циентом при несколько повышенной тем- пературе (от 80° до 90 ЭС), а также термо- стат, который эту температуру поддержи- вает. Выполненные подобным образом генераторы выпускаются в виде неболь- ших законченных модулей, пригодных для монтажа и включаемых в приборы, на все стандартные частоты. Типичным мо- дулем генератора с улучшенными харак- теристиками служит схема 10811 фирмы Hewlett-Packard. Она обеспечивает ста- бильность порядка 10“11 в течение вре- мени от нескольких секунд до нескольких часов при частоте 10 МГц. Если температурная нестабильность снижена до очень малых значений, то начинают доминировать другие эффекты: «старение» кристалла (тенденция частоты к уменьшению с течением времени), от- клонения питания от номинала а также внешние влияния, например удары или вибрации (последнее представляет собой наиболее серьезные проблемы в произ- водстве кварцевых наручных часов). Один из способов решения проблемы старения: в паспортных данных генератора указы- вается скорость снижения частоты более 5-10“10 в день. Эффект старении возникает частично из-за постепенного снятия деформаций, поэтому через не сколько месяцев с момента изготовлена этот эффект имеет тенденцию к устойчи вому снижению, по крайней мере для хорошо сделанных кристаллов. Взятый нами за образец генератор 10811 имеет величину эффекта старения не более Ю'11 в день. В тех случаях, когда стабильность тер- мостатированных кристаллов уже недо- статочна, применяются атомные с андар- ты частоты. В них используются микро- волновые линии поглощения в рубидие- вом газонаполненном элементе или часто- ты атомных переходов в пучках атомов цезия в качестве эталонов, по которым стабилизируется кварцевый резонатор. Таким образом можно получить точность и стабильность порядка 10“12. Цезиевый стандарт является официальным этало- ном времени в США. Эти стандарты вмес- те с линиями передачи времени принадле- жат Национальному бюро стандартов и Морской обсерватории. Как последнее средство для самых точных частот, где нужна стабильность порядка 10”14, мож- но предложить мазер на атомарном водо- роде. Последние исследования в области создания точных часов сосредоточивают- ся на технических приемах, использующих «охлажденные ионы», которые позволяют достигать даже еще лучшей стабильности. Многие физики считают, что можно до- стичь окончательной стабильности 10 I
Активные фильтры и генераторы 321 СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ 520* Удачные схемы ga рис. 5.51 представлен набор удачных схем, большинство из которых взяты из руководств фирм-изготовителей и фир- менных руководств по применению. Удачные схемы б^ь '5 ’ ^Дачные схемы а-моностабильный мультивибратор Длительность входного импульса должна сЧаетМеН-Ше Чем У выходного; б активный имитатор катушки индуктивности; в-квадратурный генератор отой I Гц; г-релаксационный генератор; -62.
- _\вх + Rj 1 l/R 2R2 RC и Рис. 5.51 (продолжение). д- резонансный усилитель; работает в диапазоне частот от fQ до/т 2Q. Proc. IEEE 60. 908 (1972). е-умножите-®’ емкости; лс-эмиттерно-связанный LC-генератоо: з высокочастотный ЭСЛ-генератор: и- преобразовате.® напряжение,частота;
Активные фильтры и генераторы 323 Рис. 5.51 (продолжение). х-фильтр Бесселя нижних частот 3-го порядка для другого значения частоты среза необходимо провести масштабирование номиналов элементов: л-широкодиапазонный ГУН (2 Гц-100 кГц) на усилителях с актив- ной проводимостью ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ 1- Спроектир и 6-полюсный фильтр Бесселя верх- них частот с частотой среза 1 кГц. - Спроектируйте фильтр-пробку на частоту 60 Гц с буфера ОУ на входе и выходе. 3 Спроектигуите генератор пилообразных колебаний с частотой 1 кГц, заменив резистор заряда в схеме оператора на таймере 555 транзисторным источни- ком тока. Проверьте, что обеспечивается достаточный рабочий диапазон источника тока. Каково должно быть значение резистора RB (рис. 5.33)? 4. Разработайте на схеме 555 генератор треугольных колебаний. Для этого используйте пару источников тока, а именно 10 (втекающий ток) и 27О (вытекающий ток). Используйте выходной сигнал ИС 555 для пере- ключения источника вытекающего тока 210. На рис. 5.50 показано одно из возможных решений.
ГЛАВА 6 СТАБИЛИЗАТОРЫ НАПРЯЖЕНИЯ И ИСТОЧНИКИ ПИТАНИЯ Почти любая электронная схема-от простых схем на транзисторах и опера- ционных усилителях и до сложнейших цифровых и микропроцессорных систем- требует для своей работы одного или нескольких стабильных источников пита- ния постоянного тока. Простые нерегу- лируемые источники питания типа транс- форматор - мостовой выпрямитель - кон- денсатор, которые мы рассматривали в гл. 1, вообще говоря, не годятся, так как их выходное напряжение зависит от тока нагрузки и напряжения в сети; кроме того, это напряжение пульсирует с частотой 120 Гц. К счастью, легко построить ис- точник стабильного питания, используя отрицательную обратную связь и срав- нивая выходное постоянное напряжение с некоторым постоянным эталонным (опорным) напряжением. Такие стабили- зированные источники питания универ- сальны и легко могут быть построены с помощью интегральных схем стабилиза- торов напряжения. Для этого потребуется только нерегулируемый источник посто- янного напряжения (трансформатор - вы- прямитель - конденсатор, батарея и т.п.) и еще несколько других элементов В этой главе мы расскажем, как по- строить стабилизатор напряжения, ис- пользуя некоторые интегральные схемы специального назначения. Та же схемотех- ника применяется в стабилизаторах на- пряжения на дискретных элементах (тран- зисторы, резисторы и т. п.), хотя это и не нужно ввиду доступности превосходных и недорогих ИМ С стабилизаторов напря- жения При рассмотрении стабилизаторов напряжения возникает круг вопросов, свя- занных с проблемой рассеяния больших мощностей, поэтому нам приходится го- ворить об отводе тепла и об «ограничении тепловой обратной связи» для снижения рабочих температур транзистора и пред- отвращения повреждений схемы. Эти под- ходы можно применить в любой мощной схеме, включая усилители мощности Разобравшись со стабилизаторами, мы вновь обсудим некоторые детали проек- тирования нерегулируемых источников питания. В этой главе мы рассмотрим также источники опорного напряжения и интегральные схемы для их получения, т. е. аппаратуру, которая применяется не- зависимо от стабилизаторов напряжения. БАЗОВЫЕ СХЕМЫ СТАБИЛИЗАТОРОВ НА ОСНОВЕ КЛАССИЧЕСКОЙ ИМС 723 6.01. ИМС стабилизатора 723 Классический стабилизатор цА723 разра- ботан Р Видларом в 1967 г. Это универ- сальный, простой в употреблении стаби- лизатор с превосходными рабочими ха- рактеристиками Хотя, быть может, вы предпочтете ему более современные схе- мы, все же его стоит изучить, так как и новые схемы работают на тех же прин- ципах. Его схемы изображены на рис. 6.1 и 6.2. Это настоящий блок питания, кото- рый содержит температурно-компенсиро- ванный источник опорного напряжения, дифференциальный усилитель, последова- тельно включенный проходной транзис- тор и схему защиты, обеспечиваюшУ10 ограничение выходного тока. В том виде- в котором блок выпускают. ИМС ™ ничего не регулирует. Чтобы заставить его делать то, что вам нужно, придете* подключить к нему некоторые внешние цепи Прежде чем их рассмотреть, °^Ра тимся к его собственной схеме. и
Стабилизаторы напряжения и источники питания 325 Рис. 6.1. Функциональная схема стабилизатора 723 (фирма Fairchild Camera and Instrument Corp.). .©Компен- сация Ограни- -очитель тока ю Датчик тока Рис. 6.2. Принципиальная схема стабилизатора 723 фирма Fairchild Camera and Instrument Corp.). Проста и легко понятна (в отличие от схем *вутреннего устройства многих других ИМС). Сердцем стабилизатора является темпе- атУрно-компенсированный стабилитрон- источник опорного напряжения Ста- 9илитрон Д2 имеет положительный тем- 3еРатурныи коэффициент, поэтому его 8апРяжение складывается с перепадом Напряжения между базой и эмиттером ^апзистора Т6 (вспомните, величина 1/БЭ меет отрицательный температурный ко- эффициент около — 2 мВ °C) для опор- ного напряжения 7,15 В с приблизительно нулевым температурным коэффициентом (обычно 0,003%/°С). Транзисторы Т4-Т6 предназначены для смещения Д2 током 7 = иьэ/Д8, стабилизированным отрипа • тельной обратной связью по постоянному току, как показано на схеме. Транзисторы Т2 и Т3 образуют несимметричное токовое зеркало для смещения источника опор- ного напряжения Ток этих транзисторов устанавливается диодом Д{ и резистором
326 Глава 6 R2 (в точке их соединения фиксируется напряжение на 6,2 В ниже U +), которые, в свою очередь, запитаны током транзис- тора Т]-полевого транзистора с ^-«-пе- реходом, который работает как источник тока Транзисторы Тг1 и Т12 образуют диффе- ренциальный усилитель (иногда его назы- вают «усилителем сигнала ошибки» если описывают схему в терминах отрицатель ной обратной связи)-это типичная диф- ференциальная пара с высоким подавле- нием синфазных сигналов за счет эмит- терного источника тока Т13. Последний входит в половину токового зеркала на Т9, Т10 и Т13, в свою очередь управ- ляемого токовым зеркалом 77 (Д, Т7 и Т8все эти транзисторы «отражают» ток, задаваемый источником опорного напря- жения на Дг; см. разд. 2.14). Коллектор транзистора 7} j имеет фиксированный по- ложительный потенциал эмиттера Т4, а выходной сигнал усилителя ошибки сни- мается с коллектора Т12 . Токовое зеркало Т8 запитывает коллекторную нагрузку Т12. Транзистор Т14 включен вместе с транзистором Т15 по «неполной» схеме Дарлингтона Заметьте, что коллектор транзистора Т15 выведен отдельно, чтобы обеспечить возможность подведения от- дельного положительного питания. Пп включении транзистора Т16 запираютс проходные транзисторы для того, чтоб ограничить выходной ток на безопасно 1 уровне. В отличие от многих более новы схем стабилизаторов ИМС 723 не снабже- на встроенными схемами аварийног отключения для защиты от чрезмерных токов нагрузки или слишком большого рассеяния мощности на ИМС. Сущест. вуют улучшенные стабилизаторы типа 723, а именно SG3532 и LAS 1000 с низко- вольтными источниками опорного напря- жения с малым разбросом (см. разд. 6.15) внутренними ограничителями тока и схе- мами тепловой защиты. 6.02. Стабилизатор положительного напряжения На рис. 6.3 показано, как на базе ИМС 723 построить стабилизатор поло- жительного напряжения. Все необходи- мые элементы кроме четырех резисторов и двух конденсаторов, содержатся в самой ИМС. Делитель напряжения Rr R2 задает часть выходного напряжения, сравнивае- мую с опорным, а элементы ИМС 723 обеспечивают все остальные функции. Та- кая схема подобна неинвертируюшему Рис. 6.3. Стабилизатор на ИМС 723 (17вых > Соп)
Стабилизаторы напряжения и источники питания 327 Ипггелю на ОУ с эмиттерным повтори- телем на выходе, если напряжение Uon рассматривать в качестве «входного сиг- рдла»- Резистор подбирают так, чтобы радение напряжения на нем при макси- мально необходимом выходном токе бы- ло равно ~ 0,5 В, т.е. напряжению 17БЭ. Тогда при слишком большом токе это рапряжение, приложенное к входам ОТ- дТ, включит токоограничивающии тран- зистор (TL6 на схеме 6.2), запирающий проходной транзистор. Конденсатор ем- костью 100 пФ добавлен для обеспечения устойчивости при включении обратной связи Резистор R3 (иногда отсутствует) подбирают так, чтобы на входах диффе- ренциального усилителя было бы одно и то же сопротивление. Это делает выход- ной сигнал нечувствительным к измене- ниям базовых токов смещения (например, при изменении температуры), подобно тому как это делалось при включении ОУ (см. разд. 4.12). С помощью этой схемы можно полу- чить любое стабилизированное напряже- ние питания от (70п до максимально до- пустимого уровня 37 В. Входное нестаби- лизированное напряжение (причем с уче- том его колебаний) должно на несколько вольт превышать выходное. Для стабили- затора 23 «перепад напряжения», т. е. величина, на которую подводимое напря- жение питания должно превышать стаби- лизированное напряжение на выходе, дол- жен быть не менее 3 В. Это значение типично и для большинства других стаби- лизаторов. Резисторы Ri и R2 обычно переменные или подстраиваемые, чтобы можно было точно установить выходное напряжение. Значение С7ОП имеет произ- водственный разброс от 6,8 до 7,5 В. Как правило, выход рекомендуется шунтировать конденсатором емкостью в несколько микрофарад, как показано на схеме. Это сохраняет малые значения пол- ного выходного сопротивления и на высо- ких частотах, при которых обратная связь становится менее эффективной. Лучше всего конденсатор выбрать в соответст- вии с рекомендацией изготовителя, иначе могут появиться автоколебания. И вооб- ще, неплохо заземлить по переменному току шины питания во всей запитываемой схеме, применяя для этого керамические конденсаторы 0,01-0,1 мкФ в сочетании с танталовыми или электролитическими 1-10 мкФ. Для выходных напряжении, меньших 1/оп, надо просто поставить делитель опорного напряжения (рис. 6.4). Тогда напряжение выхода будет сравниваться с нужной долей опорного напряжения ^с- 6.4 Стабилизатор на ИМС 723 (С/выж < Uo„).
328 Глава 6 Параметры схемы рис. 6.4 выбраны с ра- счетом на получение + 5 В, 50 мА (макси- мум). С помощью подобных схем можно получать напряжения от +2 В до UOTt. Невозможно снизить выходное напряже- ние ниже +2 В, так как дифференциаль- ный усилитель при уровне входного сиг- нала меньше 2 В работать не будет. Это задано в спецификации изготовителя (см. табл. 6.9). Напряжение питания схем не должно падать ниже +9,5 В, т. е. уровня, необходимого для питания. Третий вариант такой схемы исполь- зуется, когда надо построить стабилиза- тор с диапазоном выходного напряжения, содержащим С'оп (т. е. стабилизатор, спо- собный давать значения выходного напряжения и больше, и меньше С'оп). В таких случаях нужно сравнивать часть выходного напряжения с долей опорного напряжения С'оп, которая меньше нижней границы желательного диапазона. Упражнение 6.1. На основе ИМС 723 спроекти- руйте стабилизатор, дающий ток натр зки до 50 мА в диапазоне выходных напряжений от +5 до +10 В. Указание: сравните часть выходного напряжения с 0,5 Соп. 6.03. Стабилизаторы с большими выходными токами Встроенный проходной транзистоп ИМС 723 рассчитан на 150 мА максимум рассеяние мощности не должно превосхо’ дить 1 Вт при 25°С (и менее при болеё высокой окружающей температуре; этот параметр для ИМС 723 должен быть пе- ресчитан с коэффициентом 8,3 мВт/°C ца каждый градус превышения температуры окружающей среды 25°С, чтобы темпера- тура д-«-переходов удерживалась в без- опасных пределах). Таким образом, ста- билизатор на 5 В с напряжением на входе + 15 В не может давать ток нагрузки больше 80 мА. Чтобы обеспечить боль- шие токи нагрузки, нужно применять внешние проходные транзисторы. Подключим внешний проходной транзис- тор так, чтобы он образовал сс встроен- ным транзистором пару Дарлингтона (рис. 6.5). Транзистор Д-внешний про- ходной транзистор; он должен быть снаб- жен радиатором-чаще всего это ребрис- тая металлическая пластина-для отвода Рис- 6.5. Стабилизатор на +5 В с внешними проходным транзистором и зашитой
Стабилизаторы напряжения и источники питания 329 тепЛа (можно и по-другому: поместить Канзистор на одной из стенок металли- ческого корпуса блока питания). С вопро- теплового режима мы будем иметь дело в следующем разделе. Подстроеч- ный потенциометр применен для точного выставления +5 В на выходе; диапазон подстройки должен быть достаточным для компенсации допуска на сопротив- дения резисторов, а также призводствен- ного разброса Uon (рассматривается наи- худший случай). В данном случае диапа- зон настройки выходного напряжения на- ходится в пределах ± 1 В от номинала. Заметьте, что для получения тока нагруз- ки 2 А или около этого необходим мощ- ный токоограничиваюший резистор с низ- ким сопротивлением. Падение напряжения на проходном тран- зисторе. Одна из проблем при построении этой схемы-большое рассеяние мощнос- ти на проходном транзисторе (по крайней мере 10 Вт при полном токе нагрузки) Этого не избежать, если ИМС стабилиза- тора питается от нестабилизированного источника, поскольку в этом случае ему нужен «запас сверху» в несколько вольт (определяемый минимальным падением напряжения). Если использовать для ИМС 723 отдельный слаботочный источ- ник питания (например, +12 В), то мини- мум нестабилизированного напряжения питания на внешнем проходном транзис- торе может всего лишь на 1 В превышать стабилизированное напряжение на выхо- де, но лучше все же иметь запас хоть несколько вольт, так как в жестких усло- виях эксплуатации требуется нормальная работа даже при 20%-ном снижении на- пряжения в сети переменного тока. Звщи i нагрузки по напряжению. В схе- Ме рис. 6.5 предусмотрена также защита нагрузки от слишком больших напряже- ний, состоящая из Д}, Т2 и резистора Ом. Назначение этой схемы-закора- 'Фвать выход, если из-за какой-либо не- Исправности стабилизатора выходное Спряжение последнего выше 6,2 В (это ’иежет случиться, если отключится один 03 выводов резисторов делителя или отка- И какой-нибудь элемент схемы 723) г "Это КУ В (кремниевый управляемый выпрямитель, тиристор)-прибор, ток в котором нормально отсутствует до тех пор, пока переход управляющий элект- род-катод не получит прямое смещение. После этого прибор включается (входит в насыщение), и, однажды включившись, не выключится, пока анодный ток не бу- дет прерван извне. В нашем случае через управляющий электрод пройдет ток, если выходное напряжение окажется больше напряжения стабилитрона Д1 плюс пере- пад на р- «-переходе. Когда это произой- дет, в стабилизаторе включится схема ограничения тока и КУВ будет удержи- вать выходное напряжение около уровня земли. Если неисправность, приведшая к ненормальному повышению выходного напряжения, к тому же вывела из строя токоограничивающую схему (например, у транзистора 7\ замкнулся коллектор на эмиттер), то схема защиты будет отби- рать очень большой ток. Поэтому где- нибудь в цепи питания надо поставить плавкий предохранитель, как показано на схеме. Подробнее схемы защиты от пре- вышения напряжений рассмотрены в разд. 6.06. ПРОЕКТИРОВАНИЕ ТЕПЛООТВОДА МОЩНЫХ СХЕМ 6.04. Мощные транзисторы и отвод тепла Часто необходимо, как мы видели в при- веденных выше схемах, использовать мощные транзисторы или другие сильно- точные устройства, такие, как КУВ или силовые выпрямители, рассеивающие мощности во много ватт. Недорогой и очень распространенный мощный тран- зистор 2N3055, правильно смонтирован- ный, рассеивает мощность до 115 Вт. Все мощные устройства выпускаются в кор- пусах, обеспечивающих тепловой контакт между их металлической поверхностью и внешним радиатором. Во многих слу- чаях металлическая поверхность устрой- ства связана электрически с одним из выводов (например, у мощного транзис- тора она всегда связана с коллектором)
малый пластмассовый мощный корпус (ТО-126); В-большой пластмассовый мощный корпус (ТО-127). 2) Ток измерения й21Э. 31 СКБ (п-р-п) при 1/КБ = 10 В; Р) * 2Скб (л р п) Таблица 6.1. Мощные биполярные 1ранзисторы
Стабилизаторы напряжения и источники питания 331 В принципе задача теплоотвода-удер- жать переходы транзисторов или других устройств при температуре, не превы- шающей указанной для них максималь- ной рабочей температуры. Для кремние- вых транзисторов в металлических кор- пусах максимальная температура перехо- дов обычно равна 200°С, а для транзис- торов в пластмассовых корпусах равна 150°С В табл. 6.1 приведены некоторые часто применяемые типы мощных тран- зисторов и указаны их температурные параметры Зная эти параметры, проек- тировать теплоотвод просто: зная мощ- ность которую прибор будет рассеивать в данной схеме, подсчитываем темпера- туру переходов с учетом теплопровод- ности транзистора, радиатора и макси- мальной рабочей температуры окружаю- щей транзистор среды. Затем выбираем такой радиатор, чтобы температура пере- ходов была намного ниже указанной изго- товителем максимальной. Здесь разумно перестраховаться, так как при темпера- турах близких к максимальной, транзис- тор быстро выходит из строя Тепловое сопротивление. При расчете радиатора используют тепловое сопро- тивление 0, которое равняется отношению величины перепада температур в градусах к передаваемой мощности Если тепло- передача происходит только путем теп- лопроводности, то тепловое сопротивле- ние-величина постоянная, не зависящая от температуры, а зависящая только от устройства теплового контакта. Для по- следовательного ряда тепловых контак- тов общее температурное сопротивление равно сумме тепловых сопротивлений от- дельных соединений. Таким образом, для транзистора, смонтированного на радиа- торе общее тепловое сопротивление пере- даче тепла от р-«-перехода на внешнюю среду равно сумме тепловых сопротив- лений переход-корпус 0ПК, соединения корпус—радиатор 6K и перехода радиа- тор-среда 0рс. Таким образом, темпера- тУРа р- «-перехода будет равна ’' = 'гс + (е„ + в,р^ерс)р Де Р~ рассеиваемая мощность. Рассмотрим пример Приведенная ра- нее схема источника питания с внешним проходным транзистором имеет макси- мум рассеиваемой на транзисторе мощ- ности 20 Вт при нестабилизированном входном напряжении +15 В (10 В паде- ния напряжения, 2 А). Предположим, что эта схема должна работать при окру- жающей температуре 50°С-не так уж не- вероятно для компактно расположенно- го электронного оборудования,-и поста- раемся удержать температуру переходов ниже 150°С, т.е. намного ниже, чем ука- занные изготовителем 200°С. Тепловое сопротивление от перехода к корпусу рав- но 1,5 °С/Вт. Мощный транзистор в кор- пусе ТО-3, смонтированный со специаль- ной прокладкой, обеспечивающей элект- рическую изоляцию и тепловой контакт, имеет тепловое сопротивление от корпуса к радиатору порядка 0,3 сС/Вт. И нако- нец, радиатор фирмы Wakefield, модель 641 (рис. 6.6), имеет тепловое сопротив- ление на границе с внешней средой поряд- ка 2,3 °С/Вт Поэтому общее тепловое сопротивление между р-п-переходом и внешней средой будет равно 4,1 °С/Вт. При рассеиваемой мощности 20 Вт темпе- ратура перехода будет на 84°С выше тем- пературы окружающей среды, т.е. будет равна 134°С (при максимальной внешней температуре для данного случая) Итак, выбранный радиатор пригоден, а если необходимо сэкономить пространство, то можно выбрать и несколько меньший. Замечания о радиаторах. 1. В схемах, где рассеиваются большие мощности, напри- мер несколько сотен ватт, может понадо- биться принудительное воздушное охлаж- дение. Для этого выпускаются большие радиаторы, предназначенные для работы с вентиляторами и имеющие очень низкое тепловое сопротивление от радиатора к внешней среде-от 0.05 до 0.2 сС/Вт. 2. Если транзистор должен быть электри- чески изолирован от радиатора, как это обычно и необходимо, особенно если не- сколько транзисторов установлено на од- ном радиаторе, то используют тонкие изолирующие прокладки между транзис- торами и радиаторами а также изоли- рующие вкладыши для монтажных вин- тов. Прокладки выпускаются под стан-
332 Глава 6 Общий вид Марка Тепловое сопротивление (°C/Вт) при ИТ-^-тт^ дт= ДТ= 25 °C 50°С 15°С ITXBF-Q32- 1(1 70 70 025В IPA2 Т6107 2< Т6169 W641 IE 2000-06 Т6421 W421 IE1000-03 Т64П1 30 22 3,5 ’.3 2,6 27 18 16 3,1 2,8 1,1 1,0 2,2 1,9 Рис 6.6. Радиаторы для мощных транзисторов. Фир мы-изготовители 7-IERC, Т-Thermalloy. И7-Wake- field. (размеры даны в дюймах Г = 25.4 мм). дартные транзисторные корпусы и де- лаются из слюды, изолированного алю- миния и двуокиси бериллия ВеО2 При использовании теплопроводящей смазки они создают дополнительное тепловое сопротивление от 0,14 °C Вт (бериллие- вые) до 0,5 C/Вт. Хорошей альтернати- вой классическому сочетанию прокладка из слюды плюс смазка могут служить изоляторы на основе кремнийорганичес- ких соединений без использования смазки с дисперсионным покрытием теплоср*“ водным компаундом; обычно это дггрвД бора или окись алюминия. Эти изоляторы чисты и сухи, удобны в употреблении, вам не грозит испачкать руки, одежду и элект- ронику белым липким веществом, к тому же вы экономите уйму времени Тепловое сопротивление этих изоляторов состав- ляет 0,2-0.4 C/Вт, т. е. вполне сравнимое с величинами «грязного» метода. Фир^а Bergquist называет свою продукцию
Стабилизаторы напряжения и источники питания 333 pa(j’; Chomerics-“Cho- Therm”, продук- SPC известна под названием “Koolex”, Thermalloy называет свою “Thermasil”. Vfbi в своей работе с успехом используем эти изоляторы. Малые радиаторы выпускаются в виде простых насадок на малогабаритные кор- пусы транзисторов (подобные стандарт- ному ТО-5). В случае малой рассеиваемой мощности (1-2 Вт) этого вполне доста- точно и не надо мучиться, монтируя тран- зистор куда-то на радиатор, а потом та- щить от него провода обратно к схеме (пример см. на рис. 6.6). Кроме того, су- ществуют различные типы малых радиа- торов для работы с мощными ИМС в пластмассовых корпусах (многие стаби- лизаторы, а также мощные транзисторы имеют такие корпуса), которые монти- руются прямо на плату под корпус ИМС. Это очень удобно в схемах, где рассеи- вается мощность не больше нескольких ватт (пример см. также на рис. 6.6). 4. Иногда удобно монтировать мощный транзистор прямо на шасси или корпус прибора В этом случае лучше исполь- зовать консервативный метод проектиро- вания (корпус должен оставаться холод- ным), так как нагретый корпус нагреет я другие элементы схемы и сократит их сроки службы. 5. Если транзистор смонтирован на ра- диаторе без изоляции, то надо изолиро- вать радиатор от шасси. Применение изо- лирующих прокладок рекомендуется всег- да (например, модель Wakefield 103), если, конечно, корпус транзистора не заземлен по идее Если транзистор изолирован от радиатора то радиатор можно закрепить Тямо на шасси. Но если транзистор вы- едает наружу из прибора (скажем ра- диатор его смонтирован на внешней сто- Р8не задней стенки), то имеет смысл изо- лировать этот транзистор, чтобы никто До него случайно не дотронулся и не замкнул на землю (изолировать можно, ^Пример, прокладкой Thermalloy 8903N). Тепловое сопротивление радиатор- среда обычно указывается, когда Радиатора установлены вертикаль- Р и обдуваются воздухом без помех. Ли радиатор установлен как-нибудь по-другому или есть препятствия на пути потока воздуха, то эффективность радиа- тора снижается (повышается тепловое со- противление); лучше всего монтировать радиатор на задней стенке прибора, ставя ребро вертикально. Упражнение 6.2. Транзистор 2N5320, имеющий теп- ловое сопротивление переход - корпус 17,5 “С/Вт, снабжен съемным радиатором типа IERC TXBF (см рис. 6.6). Максимальная допустимая температура пе- рехода 200°С. Какая мощность может рассеиваться такой конструкцией при внешней температуре 25°С? Как эта мощность уменьшается с каждым градусом увеличения температуры окружающей среды? 6.05. Ограничители тока с обратным наклоном характеристики Для стабилизатора с простым ограниче- нием тока рассеяние мощности на тран- зисторе будет максимальным, если выход закорочен на землю (случайно или из-за нарушения нормального функционирова- ния схемы), и эта мощность рассеяния обычно превосходит мощность при номи- нальной нагрузке. Например, проходной транзистор в рассмотренном нами ста- билизаторе, дающем + 5 В при токе 2 А, будет при закороченном выходе рассеи- вать мощность 30 Вт (на входе + 15 В, ток 2 А), а при номинальной нагрузке-20 Вт в худшем случае (перепад напряжений 10 В при токе 2 А). Еще хуже обстоит дело для схем, в которых напряжение, падающее на проходном транзисторе, представляет собой небольшую часть выходного напряжения. Например, в ста- билизаторе, дающем +15 В при 2 А от нестабилизированного питания +25 В, рассеиваемая мощность изменяется от 20 Вт (на полной нагрузке) до 50 Вт (при коротком замыкании). С аналогичной проблемой мы сталки- ваемся при работе с пушпульными усили- телями мощности. При нормальных усло- виях мы имеем максимальный ток нагруз- ки при минимальном напряжении на транзисторе (амплитуда выходного сигна- ла около максимальной), и, наоборот, при значении тока нагрузки, близком к нулю (нулевое напряжение на выходе), напряже- ние на транзисторе будет максимальным В случае короткого замыкания мы имеем
334 Глава 6 Нестабилизир. вход (25-30 В) Рис. 6.7. Мощный стабилизатор, снабженный схемой ограничения тока с обратным наклоном харак- теристики. а-схема; б-зависимость выходного напряжения от тока нагрузки. = 1 + [Л2/(Л1 + + R2)] 1/„/ L БЭ. максимальный ток нагрузки в самый не- подходящий момент, а именно при напря- жении на транзисторе, равном полному напряжению питания. В результате мощ- ность рассеяния на транзисторе намного превышает нормальную. Лобовое решение этой проблемы при- менение массивных радиаторов и транзис- торов с большой расчетной мощностью, работающих в далекой от опасной об- ласти характеристик (см. разд. 6.07). Но Даже и в этом случае нехорошо, что в ава- рийных условиях в схеме будет протекать слишком большой ток, поскольку могут выйти из строя другие элементы. Лучше применить метод ограничения с обрат- ным наклоном токовой нагрузочной ха- рактеристики, при которой выходной тох уменьшается в условиях короткого замы- кания или перегрузки Идея метода видна из схемы рис. 6.7-опять же на примере стабилизатора 723 с внешним проходным транзистором. Делитель в цепи базы транзистора *о обеспечивает обратный наклон характе- ристики при коротком замыкании. Пр0 нормальном значении напряжения выход0 +15 В ток в схеме ограничен величиной
Стабилизаторы напряжения и источники питания 335 порядка 2 А, так как напряжение на базе гранзистора То равно +15,5 В, а на эмиттере + 15 В (при повышенной темпе- аТуре, при которой чип стабилизатора обычно работает, 1/БЭ равно ~ 0,5 В) Ток короткого замыкания будет меньше; при выходе, замкнутом на землю, выходной ток будет ~ 0,5 А, а рассеиваемая на транзисторе 7j мощность будет меньше, чем при полной нагрузке. Это очень хоро- шо, так как нет необходимости проек тировать теплоотвод с запасом, достаточ- но его рассчитать только для случая пол- ной нагрузки Величина тока короткого замыкания при заданном токе полной на- грузки определяется выбором номиналов резисторов токоограничивающей схемы. Предупреждение: будьте осторожны при выборе значения тока короткого замыка- ния! Если переусердствовать, то можно построить источник питания, который «не запустится» на номинальную нагрузку. Ток короткого замыкания не должен быть слишком малым: приблизительно одна треть тока максимальной нагрузки при полном выходном напряжении. Упражнение 6.3. Спроектируйте стабилизатор с внешним проходным транзистором и обратным на- клоном характеристики при коротком замыкании, который даст ток 1 А при стабилизированном напря- жении на выходе + 5 В и всего лишь 0,4 А при коротком замыкании выхода 6.06. Защита от больших напряжений Как было отмечено в разд. 6.03, полезно на выходе стабилизированного источника питания иметь какую-нибудь защиту от превышения номинального напряжения Рассмотрим, например, источник питания "5 В питающий большую цифровую систем (мы встретим много таких при- меров после гл. 7). Входное напряжение стабилизатора может быть от +10 до +15 В Если проходной транзистор вый- Яет из строя и коллектор замкнется на эМиттер (обычная неисправность), то все Нестабилизированное напряжение будет приложено к питаемой схеме и резуль- таты будут разрушительны. Хотя предох- ранитель, возможно, и расплавится, но в°обще-то предохранитель и кремниевые Элементы в схеме будут соревноваться- кто быстрее выйдет из строя,-и скорее всего предохранитель расплавится позже. Эта проблема особенно серьезна для ло- гических схем ТТЛ, которым требуется питание + 5 В и которые не могут выдер- живать больше 7 В Другая опасная си- туация создается при работе от «стендо- вого» источника питания с широким диа- пазоном выходных напряжений, имеюще- го нестабилизированное входное напря- жение 40 В или выше, независимо от зна- чения выходного напряжения. Датчик перенапряжении на стабилитроне. На рис. 6 8 показана известная схема за- щиты. которая выпускается также в виде модуля фирмами Lambda (тип L-6-OV-5) и Motorola (МРС2004). Ее вставляют меж- ду выходом стабилизатора и землей. Если напряжение на выходе стабилизатора превзойдет пробивное напряжение стаби- литрона и прямое напряжение на диоде (для изображенной схемы-порядка 6,2 В). КУВ включится и останется в этом со- стоянии до тех пор, пока его анодный ток не упадет до нескольких миллиампер. Не- дорогой КУВ типа 2N4441 может отво- дить ток 5 А постоянно и выдерживать всплески тока до 80 А, перепад напряже- ния на нем в проводящем состоянии обычно равен 1 В при 5 А. Резистор 68 Ом должен обеспечить нормальный ток стабилитрона (10 мА) при включении КУВ, а конденсатор добавлен, чтобы схе- ма зашиты не срабатывала от безвредных коротких всплесков напряжения. Описанная схема, как и все схемы защи- ты подобного типа, жестко устанавливает при срабатывании по напряжению на вы- водах источника питания напряжение «ко- роткого замыкания» 1 В. и может быть выключена только при отключении пита- *5 В (сшабилизир.) + 5В (.стабилиз.) Рис. 6.8. Защита от перенапряжения. L-6-0V-5) (Lambda) или МРС2004 (Motorola)
336 Глава 6 ния. Так как на КУВ в проводящем состо- янии падает небольшое напряжение, нет проблем с перегревом самой схемы за- щиты, поэтому такая схема защиты на- дежна. Важно только, чтобы источник стабилизированного питания имел какую- нибудь токоограничивающую схему или хотя бы плавкий предохранитель на слу- чай короткого замыкания. Могут поя- виться проблемы с перегревом самого стабилизатора при срабатывании схемы защиты. Если он содержит внутреннюю токоограничивающую схему, то плавкий предохранитель не сработает и источник питания так и будет сидеть на схеме защиты с низким напряжением на выходе, пока кто-нибудь этого не заметит. Здесь хорошо применить схему защиты от короткого замыкания с обратным накло- ном характеристики. С этой простой схемой защиты связано несколько вопросов, в основном по пово- ду выбора напряжения стабилитрона. По- следние выпускаются только на опреде- ленные значения пробивного напряжения, задаваемого, вообще говоря, с большим допуском, и часто не имеют резкого изло- ма на вольт-амперной характеристике. Вместе с тем желаемое напряжение сраба- тывания схемы защиты может быть зада- но с довольно жестким допуском. Рас- смотрим источник питания 5 В, питаю- щий цифровую логическую схему. Обыч- ный допуск напряжения питания состав- ляет 5-10% от номинала, таким образом напряжение срабатывания схемы защиты не может быть ниже 5,5 В. Эту цифру еще нужно увеличить из-за переходных про- цессов в источнике питания: при резком изменении тока нагрузки может произой- ти скачок напряжения-всплеск и вслед за ним затухающие пульсации. Эта пробле- ма усугубляется, если измерительные эле- менты отдалены и подсоединены длин- ными проводами (индуктивность). Полу- чающиеся колебания накладывают дина- мические помехи на уровень выходного напряжения, и схема защиты не должна срабатывать. Поэтому ее напряжение срабатывания не должно быть меньше 6 В, с другой стороны, оно не должно превосходить 7 В во избежание поврежде- ний логических схем. И вот когда вы начнете обдумывать схему с учетом До_ пусков стабилитронов, конкретных значе ний их номинальных напряжений и допус ков напряжения срабатывания КУВ 0 вам приходится решать хитрую задачу В схеме рис. 6.8 напряжение срабатывания может оказаться от 5,9 до 6,6 В даже цри использовании обозначенного на схеме сравнительно дорогого 5%-ного стаби- литрона. ИС-датчик перенапряжений. Проблемы возникающие при построении простой схемы защиты на стабилитроне и КУВ (плохая предсказуемость и отсутствие подстройки), превосходно решаются при использовании специальной триггерной ИМС защиты, такой, например, как МС3423-5, TL431 или МС34061-2. Это недорогие ИМС в удобных корпусах (8-штырьковом мини-DIP или 3-вывод- ном ТО-92), напрямую управляющие КУВ и очень простые в использовании. Например, ИМС МС3425 имеет регули- руемые порог и время срабатывания, а также имеет вывод для сигнализации о недопустимом уменьшении напряжения питания (очень удобно для схем с микро- процессорами). ИМС содержит встроен- ный источник опорного напряжения, не- сколько компараторов и драйверов, и для построения всей схемы защиты требуется еще только два внешних резистора, КУВ и конденсатор (необязательно). Эти ИМС защиты относятся к классу схем «слеже- ния за источником питания», куда входят такие сложные ИМС, как МАХ691, кото- рые не только воспринимают падение напряжения, но и переключаются на бата- рейное питание в случае отключения пита- ния в сети переменного тока, генерируют сигнал обратного переключения при вос- становлении нормального питания и не- прерывно контролируют отсутствие за- мыкания в схеме микропроцессора. Модули защиты. Зачем что-то строить, если можно это купить?! С точки зрения разработчика самой простой схемой за- щиты является приспособление с двум*5 выводами, у которого на крышке написа- но «защита». Вы можете купить такие устройства у фирм Lambda или Motorola,
Стабилизаторы напряжения и источники питания 337 коТорые предлагают серию модулей за- -ргы от перенапряжения в нескольких ^апазонах по току. Вы только выбираете веобходимые вам номинальные напряже- и ток и подсоединяете защиту на вЬ1Ход стабилизированного источника пи- таяия постоянного тока. Например, са- ууе маленькие устройства такого типа, выпускаемые фирмой Lambda, рассчита- ны максимум на 2 А при следующем на- боре фиксированных значений напряже- дня: 5, 6, 12, 15, 18, 20 и 24 В. Они выпускаются в монолитном исполнении в корпусе ТО-66 (малый металлический корпус для мощных транзисторов) и сто- ит 2,5 долл, за шт. Монолитные ИМС фирмы Lambda на 6 А выпускаются в кор- пусе ТО-3 (большой металлический кор- пус для мощных транзисторов) по цене 5 долл за штуку. Выпускаются также гибридные ИМС защиты на 12, 20 и 35 А. Вся серия МРС2000 (Motorola) выпус- кается в монолитном исполнении (только 5, 12 и 15 В, рассчитанные на номиналь- ный ток 7,5, 15 или 35 А). Первые два номинала выпускаются в корпусе ТО-220 (мощный пластмассовый), последний (только на 5 В)-в корпусе ТО-3 (мощный металлический). Цены неправдоподобно низкие -при покупке небольшими партия- ми ИМС этих трех номиналов по току стоят всего лишь по 1,96, 2,36 и 6,08 долл, соответственно. Эти схемы зашиты име- ют одну приятную особенность-у них высокая точность; например, 5-вольтовое устройство фирмы Lambda имеет точку срабатывания 6,6 + 0,2 В. Ограничители. Другое возможное реше- те вопроса защиты от перенапряжения - Установка мощного стабилитрона или его аналога параллельно выходу источника питани Это снимает вопрос о сраба- тывании на всплесках, так как стабилит- рон немедленно перестает проводить, как только исчезает «лишнее» напряжение (не т° что КУВ, у которого память, как у сло- На рис. 6.9 показана схема «актив- в°го стабилитрона». К сожалению, схема Защцт. на мощном стабилитроне также ВЫеет свои недостатки Если стабилиза- Т°Р выйдет из строя, схеме защиты при- Дется справляться с рассеянием большой 1N5347 (10 В) 1,0 кОм 2N3055 Лст“= 10,6 В, ц' ток до 10 А Рис. 6.9. Мощный «активный» стабилитрон. мощности (LCT/orp) и она сама может выйти из строя. Это и случалось, напри- мер, с серийным источником питания для магнитного диска на напряжение 15 В и ток 4 А. Когда в нем портился проходной транзистор, на стабилитроне 16 В, 50 Вт рассеивалась мощность больше расчетной и он тоже выходил из строя. 6.07. Специальные вопросы проектирования сильноточных источников питания Использование отдельных дестабилизиро- ванных источников для питания сильно- точных цепей. Как уже упоминалось в разд. 6.03, хорошо, как правило, исполь- зовать отдельный источник для стабилит- рона в мощном источнике питания Таким путем рассеивание мощности на проход- ном транзисторе можно свести к миниму- му, поскольку нестабилизированное на- пряжение, которое подается на проходной транзистор, может быть выбрано точно таким, какое нужно для достаточного «за- паса сверху» (стабилизаторы типа 723 имеют для этой цели выводы питания U+). Например, стабилизатор, дающий на выходе +5 В. 10 А, может работать от входного напряжения 10 В с размахом пульсаций около 1-2 В и отдельного источника питания +15 В для питания элементов стабилизатора (опорный ис- точник, усилитель ошибки и т. д.). Как говорилось выше, нестабилизированное входное напряжение должно быть выбра- но достаточно большим в расчете на наи- худший случай напряжения в силовой ли- нии переменного тока (200 В), а также на допуски параметров трансформатора и конденсатора.
338 Глава 6 Рис. 6.10. Заземление питания в общей точке («Мек- ка» заземления). Линии связей. Для источников питания с большим выходным током или источ- ников прецизионного напряжения следует тщательно продумать линии соединении в самом стабилизаторе и между стабили- затором и его нагрузкой. Если несколько различных приборов работают в качестве нагрузки одного стабилизатора, то все они должны присоединяться к источнику питания в точке, в которой подключен и датчик выходного напряжения стабили- затора, иначе флуктуации тока в одной из нагрузок повлияют на напряжение, посту- пающее к остальным нагрузкам (рис. 6.10) В действительности хорошо иметь, как показано на схеме, общую точку заземле- ния («Мекка») для нестабилизированного питания, опорного источника и т. д. Проб- лему падения напряжения в соединитель- ных проводах между источником питания и нагрузкой с большим током иногда можно решить путем вынесения измери- тельных элементов: клеммы, ведущие об- ратно к усилителю ошибки и опорном^ источнику, выводятся отдельно на клемм- ную колодку источника питания и могут или присоединяться к выходам стабили- зированного напряжения прямо на этом месте (обычный способ), или от них могут быть проложены шины дальше и присое- динены к нагрузке рядом с выводами напряжения питания (этот способ требует наличия четерых проводов, два из кото- рых должны быть расчитаны на большие токи нагрузки). У большинства серийных источников питания имеется перемычк на задней стенке, соединяющей измепи тельные входы стабилизатора с его выхо' дом. которую можно убрать для «вынесе ния» измерительных входов. Аналогично включаются четырехпроводные резисто ры для измерения тока нагрузки при ц0 строении источников питания с точно удерживаемым постоянным значением тока в нагрузке. Более подробно об этом описано в разд. 6.24 Параллельное включение проходных транзисторов. Если от источника питания требуются большие значения выходного тока, то приходится применять несколько проходных транзисторов, соединенных параллельно. При этом из-за разброса параметра С7БЭ приходится последова- тельно с эмиттером каждого из них ста- вить небольшой резистор, как показано на рис. 6.11 Эти резисторы приблизи- тельно одинаково распределяют ток меж- ду проходными транзисторами. Значение /? выбирается таким чтобы падение на- пряжения на резисторе было — 0.2 В при максимальном значении выходного тока. Мощные ПТ могут быть соединены па- раллельно без дополнительных элементов благодаря отрицательному наклону зави- симости их тока стока от температуры (рис 3.13). Область безопасной работы (ОБР). По- следнее замечание о мощных транзисто- рах- явление, известное как «лавинный пробой», ограничивает одновременно и Рис. 6.11. Применение «бал ластных» эмиттерных зисторов при параллельном включении мощных полярных транзисторов.
Стабилизаторы напряжения и источники питания 339 250 мкс ’ 3,0 6,0 10 20 30 60 Напряжение Укэ, В Рис. 6.12. Область безопасной работы мощного би- полярного транзистора 2N3055 (с разрешения Moto- rola, Inc.).------ограничен сечением выводов: _ _ I температурное ограничение Т* — 250°С (отдель- пые импульсы); ограничение лавин- ного пробоя ток, и напряжение, которое может быть приложено к любому конкретному тран- зистору, поэтому изготовителем указы- вается область безопасной работы (это совокупность диапазонов безопасных на- пряжений при данном токе в зависимости от времени его протекания) Лавинный пробой связан с образованием «горячих точек» в транзисторных переходах и возникающем вследствие этого неравно- мерном распределении полного тока нагрузки Этот факт накладывает на ток коллектора более жесткие ограни- чения, чем максимум рассеиваемой мощ- ности (кроме случаев малых напряжений между коллектором и эмиттером). На рис. 6.12 показана область безопасной ра- боты для широко применяемого тран- зистора 2N3055. При икэ > 40 В лавин- ный пробой ограничивает постоянный ток коллектора до величин меньших, чем поз- воляет максимальное значение рассеи- ваемой мощности (115 Вт). На рис. 6.13 показана область безопасной работы для двух подобных друг другу мощных вы- сокочастотных транзисторов: биполярно- го «-^-«-транзистора 2N6274 и «-ка- нального МОП-транзистора VNE003A. При С7КЭ > 10 В лавинный пробой огра- ничивает постоянный ток коллектора п- р- «-транзистора значениями, соответст- вующими мощности рассеяния меньшей, чем максимально допустимая паспортная величина 250 Вт. Эта проблема не столь серьезна для коротких импульсов и фак- тически перестает просматриваться при длительности импульсов менее 1 мс Об- ратите внимание на то, что МОП-тран- зистор не подвержен лавинному пробою; его ОБР ограничена максимально до- пустимым током (ограничение вносит се- чение проводников, а их сопротивление для коротких импульсов тока выше, чем на постоянном токе), допустимой мощ- ностью рассеяния и максимально до-
340 Глава 6 пустимым напряжением затвор-исток. Более подробно об этом сказано в гл. 3, там где рассматриваются мощные тран- зисторы. 6.08. Программируемые источники питания Часто возникает необходимость в нали- чии такого источника питания, который можно регулировать вплоть до нулевого напряжения, особенно в случае стендовых источников, где такая гибкость сущест- венна. Кроме того, часто целесообразно «программировать» выходное напряже- ние каким-либо другим напряжением, цифровым кодом или, например, ручным переключателем. На рис. 6.14 показана классическая схема источника питания, допускающая регулировку 17вых вплоть до нулевого (в отличие от схем, использую- щих ИМС 723). Отдельный расщепленный источник питания питает стабилизатор и дает точное опорное отрицательное нап- ряжение (об опорных источниках подроб- нее см. разд. 6.14 и 6.15). Резистор R1 служит для установки выходного напря- жения, и, так как инвертирующий вход потенциально заземлен, оно может ме- няться до нуля (при нулевом сопротивле- нии ). Поэтому когда схема стабилиза- тора (это может быть интегральная схема или собранная из отдельных элементов) Рис. 6.14. Стабилизатор с регулируемым до О В вы ходом. питается от расщепленного источника не возникает трудностей, обусловленных низким выходным напряжением. Чтобы сделать стабилизатор програм- мируемым внешним напряжением, просто заменим Соп напряжением, задаваемым извне (рис 6.15). Остальная часть схемы останется без изменений. Резистор R те- перь будет масштабировать Пупр. и Управление цифровым кодом можно получить заменой опорного напряжения на устройство, называемое «цифро-анало- говый преобразователь» (ЦАП) с токо- отбирающим выходом. Эти устройства, которые мы рассмотрим позже, преобра- зуют двоичный код на входе в пропор- циональный по току (или напряжению) сигнал на выходе. Хорошим выбором здесь будет устройство AD7548-моно- литный 12-разрядный ЦАП с токоотби- рающим выходом стоимостью около 9 долл. Заменив R2 на ЦАП. получим источник питания, программируемый цифровым кодом с шагом задания выход- ного напряжения, равным 1 '4096 (2 )• Так как на инвертирующем входе потен- циальная земля, от ЦАП не требуется значительного рабочего диапазона п° напряжению. На практике использует- ся для выставления определенного масш- таба преобразования цифрового кода- например 1 мВ на единицу входного кода- 6.09. Пример схемы источника питания Лабораторный стенд питания, схема к°* торого показана на рис. 6.16, дает в°3' можность собрать вместе все проектНЫ6
Стабилизаторы напряжения и источники питания 341 Общий радиатор 120 Вт 1N4004 |Вь|ход] 0,10 Ом 10 Вт 1% -X------- 10,03 мА IN829 Б.2В ОТ 200Г I /?2 10 ГшП 1к0м '---1 । Подбирается под ^ии| напряжение кОм Н о,з в на потен - ____| циометре R2 Отбор тока -6,2 В 8,5 А Рис 6 16. Лабораторный блок питания идеи Для стендового питания общего назначения важна возможность регулиро- вать выход стабилизированного питания вплоть до нулевого напряжения, поэтому тля питания стабилизатора используется Дополнительный расщепленный источник. MCj-это высоковольтный операционный Усилитель который может работать при Полном напряжении питания 80 В. На вы- х°Де в качестве проходного транзистора Мы использовали параллельно включен- ие мощные МОП-транзисторы, исходя 113 Двух соображений-простоты возбуж- дения затвора и превосходной ОБР (ха- Риктеристическая особенность всех мощ- МОП-транзисторов) Такая комбина- обеспечивает рассеяние достаточной *ЧЩности (60 Вт на транзистор при тем- ’’ердтуре корпуса 100°С), необходимую Для умеренных значений тока, если иОесПечивается столь широкий диапазон выходного напряжения. Последнее объяс- няется тем, что нестабилизированное входное напряжение должно быть доста- точно большим, чтобы обеспечить макси- мум стабилизированного выходного напряжения, а в результате при низком напряжении на выходе падение напряже- ния на проходных транзисторах будет большим. В некоторых источниках эта проблема решается тем, что используется несколько диапазонов выходного напря- жения и соответственно этому переклю- чается и нестабилизированное входное напряжение. Имеются даже схемы, в ко- торых нестабилизированный вход посту- пает с регулируемого напряжением трансформатора, управляемого в такт с выходом. В обоих случаях, правда, те- ряется возможность дистанционного про- граммирования
342 Глава 6 Упражнение 6.4. Чему равна максимальная мощ- ность рассеяния в проходных транзисторах на этой схеме? 7?!-это прецизионный много декадный потенциометр для прецизионной и линей- ной регулировки выходного напряжения. Выходное напряжение сравнивается с опорным, получаемым от прецизионного стабилитрона 1N829 (температурный ко- эффициент 5 • 10“ 6 /°C при токе стабилит- рона 7,5 мА). Эта схема ограничения тока существенно лучше простого токового ог- раничителя, который обсуждался выше, так как при использовании стендового питания иногда желательно установить точный и стабильный предел тока нагруз- ки. Обратите внимание на необычный (но удобный) метод ограничения тока путем его отвода через предназначенный для частотной коррекции вывод микросхемы ИСг, у которого при работе с малым током коэффициент усиления равен еди- нице. Обеспечивая высокую степень ста- билизации как напряжения (во всем диапазоне вплоть до 0 В), так и тока, данное устройство становится достаточно гибким в использовании лабораторным источником питания. При этом указан- ный способ ограничения тока делает этот источник питания также удобным источ- ником неизменного тока. Транзистор Т* обеспечивает постоянную токовую на- грузку 100 мА, обеспечивая хороший ра- бочий режим схемы даже при значениях выходных напряжения или тока, близких к нулю, за счет удержания проходных транзисторов в активном режиме Этот приемник тока позволяет источнику питания «поглощать» некоторый ток от нагрузки без увеличения выходного на- пряжения Это целесообразно при работе с некоторыми необычными видами на- грузки, с которыми иногда приходится сталкиваться, например, прибор с собст- венным источником питания, который может подать некоторый ток на выводы стенда питания. Отметим наличие внешних измеритель- ных входов, не слишком правильно соеди- ненных с входными клеммами источника питания. Для прецизионного регулирова- ния напряжения на нагрузке следовало бы подвести измерительные цепи к са^ нагрузке, избегая падения напряжения связующих проводниках, создающие 8а разитные цепи обратной связи. Па‘ 6.10. Другие ИМС стабилизатора ИМС 723 была оригинальным стабндц затором напряжения и все еще остаетс полезной. Существуют несколько уду, шейных версий, которые, однако, во гом работают таким же образом, и вам при проектировании стабилизированного источника питания следует их рассмот- реть. Микросхемы LAS 10000 и LAS 1100 фирмы Lambda, а также SG3532, вы- пускаемая фирмой Silicon General, мо- гут работать при уменьшении входного напряжения вплоть до 4,5 В, поскольку в них используется «опорный источник с напряжением запрещенной зоны» (см разд. 6.15), выдающий 2,5 В. а не 7,15 В напряжения стабилитрона, как в ИМС 723. В этих микросхемах имеются также встроенные схемы, отключающие питание кристалла при его перегреве; сравните с решением в стабилитроне 723 (выго- рание!). Хотя у этих стабилизаторов вы- воды с теми же названиями, вы не можете просто вставить их в разъем, предназна- ченный для ИМС 723, так как (помимо прочих отличий) они предполагают бозее низкое опорное напряжение. Еще один стабилизатор, подобный ИМС 723,-это MCI469 (и его двойник с отрицательным питанием MCI463) фирмы Motorola. Если вы посмотрите на современные схемы источников питания, то вы не часто встретите ИМС 723 или даже ее только что перечисленные улучшенные версв Вместо этого вы увидите главным обра- зом такие ИМС, как 7805 или 317, приме- чательной особенностью которых ся отсутствие внешних элементов 7805 не требуется ни одного!). В боль- шинстве случаев вы можете получить в# параметры, которые вам требуются. °т этих имеющих высокую степень интегра- ции и простых в использовании «гр6* выводных» стабилизаторов, вклю4 сюда большой выходной ток (до без внешних проходных гранзистор0®* яв яет- (ИМС
Стабилизаторы напряжения и источники питания 343 «страиваемое выходное напряжение, ^сходную степень стабилизации и Троенные схемы ограничения тока и мовыключатель. Вскоре мы погово- о них но вначале в качестве прелю- ’ рассмотрим а) проектирование неста- ^зИрованного источника питания и б) ^очники опорного напряжения. ^СТАБИЛИЗИРОВАННЫЕ ИСТОЧНИКИ ПИТАНИЯ gee стабилизированные источники пита- ния требуют для своей работы источника дестабилизированного питания постоян- ного тока», который мы начали рассмат- ривать в разд. 1.27 вместе с расчетами выпрямителей и величины пульсаций. По- „мотрим на данный предмет более де- тально, начав со схемы, представленной на рис. 6.17 Это источник нестабилизи- рованного питания +13 В (номинал), предназначенный для использования со стабилизатором +5 В, 2 А. Рассмотрим эту схему слева направо, отмечая вопро- сы, о которых надо помнить при проекти- ровании подобных устройств. 6.11. Компоненты линии переменного тока Трехпроводная связь. Всегда используйте трехпроводный шнур с нейтральной зеле- ной жилой, присоединенной к кожуху при- °°ра. Без заземления прибор может ока- ться смертоносным в случае пробоя изоляции трансформатора или случай- ного контакта одной из шин питания (от сети) с кожухом прибора. Если кожух заземлен, то при такой неисправности просто сгорит предохранитель. Линейный фильтр и устройство подав- ления переходных процессов. В этой схеме мы применяем простой сетевой LC- фильтр. Вообще часто обходятся без та- ких фильтров, но с ними лучше, во-пер вых. потому, что они препятствуют воз- можному радиоизлучению из силовых проводов, а во-вторых, потому, что эти фильтры убирают помехи, которые наво- дятся извне в линии питания. Фильтры для линий питания с великолепными па- раметрами выпускают несколько фирм, например Corcom, Cornell-Dubilier, Spra- gue. Эксперименты показали, что большие всплески (от 1 до 5 кВ) иногда случаются в любых линиях сетевого питания, а всплески поменьше встречаются чаще. Сетевые фильтры довольно эффективно снижают действие таких помех. В многих ситуациях желательно ис- пользование «гасителя переходных про- цессов». показанного на схеме. Это- устройство, которое проводит ток, как только напряжение на его выводах пре- восходит определенный предел (действует как двусторонний высоковольтный стаби- литрон). Устройства эти невелики и деше- вы и могут гасить опасные импульсы тока в сотни ампер. Гасители переходных про- цессов выпускаются многими фирмами, например GE и Siemens. В табл. 6.2 и 6.3 приведены данные фильтров радиочастот- ных помех и гасителей переходных про- цессов. 10 В (эфф п k* Диодный мост Varo VH241 1A-SB П5В1 0,1 _L Линейный фильтр перем, тока Corcom 1R1 1,0 кОм У2Вт СИД 12000мкФ25В ^^MV6151 Р8380 Sprague ' ' 36D123G025AF2A V130LA10A L Гаситель переходных процессов /7. Несгабилизированный источник питания со схемой связи с сетью переменного тока. Обратите Чие на цветовую маркировку проводов сетевого питания.
344 Глава 6 Таблица 6.2. Гасители переходных процессов переменного тока 130 В Фирма- изготовитель Обозначение Диаметр, мм Энергия. Вт с Пиковый ток, А Емкость, пФ GE V130LA1 8,64 4 500 180 Siemens S07K130 8,89 6 500 130 GE VI30LA10A 16,51 30 4000 1000 Siemens S14K130 17,02 22 2000 1000 GE V130LA20B 22,61 50 6000 1900 Siemens S20K130 23,11 44 4000 2300 Таблица 6.3. Фильтры для линий переменного тока 115 В Фирма- Обозначение изготовитель Схема Ток, А Типичное ослабление 1) (50 Ом/50 Ом), дБ Соединительные выводы 150 кГц 500 кГц 1 МГц Согсот 3EF1 тьобразный 3 15 25 30 Общего назначения 3EC1 « 3 20 30 37 Высокое ослабление 3EDSC2-2 « 3 32 37 44 С предохранителем • 2EDL1S « 2 14 — 24 С предохранителем и вы- ключателем Curtis F2100CA03 « 3 15 25 30 Общего назначения F2400CA03 « 3 22 35 40 Высокое ослабление F2600FA03 « 3 21 35 41 С предохранителем PE810103 « 3 18 24 30 С предохранителем и вы- ключателем Delta O3GEEG3H « 3 24 30 38 Общего назначения 03SEEG3H Двойной тг-об- 3 42 65 70 Высокое ослабление f разный 04BEEG3H тг-образный 4 26 35 40 С предс хранителем 1 03CK2 « 3 35 40 40 С предохранителем и вы- ключателем 03CR2 Двойной тг-об- 3 50 60 55 То же, высокое ослабпе- разный ние Schaffner FN323-3 л-образный 3 22 32 36 Общего назначения FN321-3 « 3 35 43 46 Высокое ослабление FN361-2 « 25 40 46 С пред хранителем FN291-25 « 2.5 25 40 46 С предохранителем •• ключателем FN 1393-2.5 « 2.5 40 45 42 То же, высокое •-Я®*’ ние Sprague 3JX5421A « 3 15 25 30 Общего назначения 3JX5425C « 3 20 30 37 Высокое ослабление 200JM6-2 « 6 12 25 С предохранителем Значения ослабления радиочастотных помех измерены в 50-омной системе и их нельзя брать за осн°в- чтобы предсказать характеристики фильтра в сети переменного тока
Стабилизаторы напряжения и источники питания 345 Ллявкии предохранитель. Плавкий Жжранитель - существенная деталь бого предмета электронного оборудо- Большие щитовые предохранители * 15'20 А не защитят электронное обо- . дование. поскольку они срабатывают 4L0 в слУчае превышения общего ра- кетного тока проводки. Например, если ородка в здании сделана проводами ть1рнадпатого номера сечения, то пре- охранители будут рассчитаны на 15 А. сЛи же замкнется накоротко конденса- тор фильтра в только что рассмотренной хеме (довольно обычная неисправность), Ток в первичной обмотке трансфор- атора может достичь 5 А вместо обыч- ного 0,25 А. Общий предохранитель не орит, но ваш прибор превратится в пектроплитку или костер, поскольку на рансформаторе будет рассеиваться мощ- юсть более 500 Вт! Несколько замечаний о плавких предох- панителях Во-первых, в блоках питания тучше использовать медленно действую- щие предохранители, поскольку имеют место большие токи переходных продес- ?ов при включении (например, при заряд- ке конденсаторов фильтра). Во-вторых, вы можете кое-что недоучесть при расчете номинального тока срабатывания предох- ранителя Дело в том, что в источнике 'штания постоянного тока велико отно- ние эффективного (действующего) зна- ения тока к его среднему значению ввиду 'илости угла проводимости (части цикла, ла диоды выпрямителя находятся в водящем состоянии) Проблема усу- Убляется, когда конденсаторы фильтра ^еют большую емкость В результате ^Фективное значение тока будет значи- ’ц>Ео выше, чем вы могли бы предполо- lt8Tb- Лучше всего в этой ситуации посту- следующим образом измерить ток “^Псрметром «истинного действующего ченияь, а затем выбрать предохрани- те током срабатывания по меньшей на 50е большим измеренной вели- 1 (чтобы учесть перенапряжение в се- г «усталости» предохранителя наконец, последнее замечание, провода к держателю предохра- i тому, что обычно применяется эФ<Ьек ’»).И 1*"ЛЯ(К для предохранителей 3AG, которые почти универсальны для любого электронного оборудования), делайте это таким обра- зом, чтобы человек, меняющий предохра- нитель не мог случайно коснуться сило- вой линии Для этого нужно «горячий» провод подводить только к заднему вы- воду предохранителя (один из авторов убедился в этом на собственном опыте!). Серийно выпускаемые сетевые коннекто- ры с встроенным держателем предохра- нителя сделаны обычно так, что пре- дохранитель нельзя достать, не сняв разъем питания. Риск электрического удара. Из изложен- ного следует, что неплохо было бы все места соединений внутри прибора, на ко- торых есть напряжение сети, изолировать тефлоновыми трубками («кембриками»), дающими усадку при нагревании (исполь- зование внутри электронных приборов «фрикционной» ленты или электрической изоляционной ленты-это чистая парти- занщина). Поскольку большинство тран- зисторных схем работает на относительно низких постоянных напряжениях - от + 15 до + 30 В или около, единственное место в большинстве электронных приборов (конечно, есть и исключения), где может стукнуть током,-это провода силового питания. Очень коварен в этом отноше- нии выключатель на передней панели устройства, так как он близок к другой, низковольтной, проводке Ваш измери- тельный прибор (в худшем случае-ваши руки) может легко вступить в контакт с этим напряжением при измерительных работах Полезные мелочи. Мы предпочитаем ис- потьзовать «входные силовые модули», включающие в себя 3-контактный разъем IEC (позволяющий вытаскивать шнур се- тевого питания) и некоторый набор из сетевого фильтра, держателя предохрани- теля и сетевого выключателя Например, разъемы серии FN380 фирмы Schaffner (или серии L фирмы Согсош) имеют все перечисленные компоненты и могут про- пускать ток до 2-6 А. Есть модификации разъемов этой серии, позволяющие вклю- чать плавкие предохранители и разрывать при выключении одну или обе линии сети.
346 Глава 6 Стабилизаторы напряжения и источники питания 347 и кроме того, они имеют фильтры не- скольких конфигураций. Перечислим еще несколько изготовителей подобного типа разъемов: это фирмы Curtis. Delta и Power Dynamics (табл. 6.3). В представленной на рис. 6.17 схеме мы применили для индикации включения пи- тания светоизлучающий диод (СИД) с то- коограничивающим («гасящим») резисто- ром, запитанный от нестабилизированно- го напряжения постоянного тока Вообще говоря, лучше подавать на СИД стабили- зированное напряжение - здесь нет всплес- ков при выключении нагрузки и не прояв- ляются колебания напряжения сети. Цепь из последовательно соединенных резистора 100 Ом и конденсатора 0.1 мкФ, поставленная параллельно первичной об- мотке трансформатора, предупреждает появление больших переходных процессов индуктивного характера, которые могли бы возникать при выключении. Часто об- ходятся без такой цепи, но лучше этого не делать, особенно в оборудовании, кото- рое будет работать рядом с ЭВМ или другим цифровым устройством Иногда такие RC-амортизаторы ставят парал- лельно выключателю, что то же самое 6.12. Трансформаторы Теперь о трансформаторе. Никогда не стройте прибора, работающего от сети переменного тока без трансформатора! Так поступать-это играть с огнем. Бес- трансформаторные источники питания, предпочитаемые некоторыми потребите- лями электронной аппаратуры (радиопри- емники, телевизоры и т. д.) за их дешевиз- ну, ставят схему под высокое напряжение по отношению к внешнему заземлению (водопроводные трубы и т п.) Этого не должно быть в приборах, предназначен- ных для связи с каким-либо другим обо- рудованием, и вообще этого следует избе- гать. Будьте крайне осторожны, работая с подобным оборудованием’ даже простое подключение щупа осциллографа к шасси может дать очень неприятный эффект. Выбор трансформатора — более слож- ное дело, чем можно было бы ожидать Одна из причин заключается в том, что изготовители долго раскачивались пуском трансформаторов на те ЗНаС &Ь1' напряжения и тока, которые подхо ятЯ транзисторных схем (каталоги заб^ трансформаторами, разработанными для электронных ламп), и н жныи трансформатор часто приходится мот самому, чего вам совсем не хочется О чается от прочих фирма Signal Тг; mer Company, предлагающая большст' выбор трансформаторов и быстро их ПоИ ставляющая. Не проглядите возможность получить трансформаторы, сделанные^ заказ, если вам их требуется больше не- скольких штук. Даже если считать, что у вас есть такой трансформатор, какой вы хотите, все рав- но еще надо решить, какие величины на- пряжения и тока будут для вас наилуч- шими. Чем меньше входное напряжение стабилизатора, тем меньше рассеяние мощности на проходном транзисторе. Но надо быть абсолютно уверенным в том, что входное напряжение стабилизатора не упадет ниже необходимого минимума- обычно от 2 до 3 В над уровнем стабили- зированного напряжения,-иначе можно получить провалы стабилизированного уровня с пульсациями на удвоенной час- тоте сети. Здесь сказываются пульсации нестабилизированного напряжения, по- скольку существует минимум входного напряжения для стабилизатора, превыша- ющий некоторое критическое напряжение. Рассеяние мощности на транзисторе опре- деляется средним значением входного на- пряжения стабилизатора. Для примера: в стабилизаторе на +5 В можно иметь входное напряжение 4-Ю’В при минимуме пульсации, которая <гма по себе может легко достигать 1-2 В- Зная напряжение во вторичной обмотке- можно получить довольно точную опенк? напряжения постоянного тока, снимаемо' го с выпрямительного моста: на верпгиве пульсации это пик выпрямленного напря* жения. приблизительно в 1 4 раза боль- ший среднеквадратичного значения ва пряжения вторичной обмотки, за вычете** I падения напряжения на двух диодах 6» нако нужно провести и практические в3 мерения, если вы стараетесь построй бйлизатор с минимальным падением Шяже ия на нем. так как истинное зна- выходного напряжения нестабили- Шванного источника питания зависит у\.е от параметров трансформатора, торые трудно учесть заранее: сопротив- обмотки и магнитная проницае- шь сердечника, которые влияют на на- 1 яжение под нагрузкой. Удостоверьтесь, измерения производятся в наихудших сдовиях: полная нагрузка и минимальное Спряжение питающей сети. Помните, что ^льшие конденсаторы фильтра имеют очень большой разброс: от — 30 до д00%- Есть смысл применять трансфор- маторы с набором входных клемм на первичной обмотке, если они доступны, я окончательной регулировки выходно- го напряжения Трансформаторы серий nad F-90X и Stancor ТР обладают в этом смысле большой гибкостью. Еще одно замечание о трансформато- рах: иногда расчет тока делается для эф- фективного тока вторичной обмотки, в частности для трансформаторов для ра- боты с омической нагрузкой (например ця трансформаторов накала). Так как схема выпрямителя проводит ток в тече- ние только малой части цикла (в то время, когда конденсатор действительно заря- жается), эффективное значение тока и I рассеиваемая мощность (I2 jR) могут превзойти допустимое значение тока на- грузки соответствующее расчетному феднеэффективному значению. Ситуация усугубится, если увеличить емкость кон- денсатора для сглаживания пульсаций до Яабилизатора,-это просто потребует оольшей мощности трансформатора. В этом отношении лучше двухполупериод- | выпрямитель поскольку он исполь- 61 большую часть периода напряжения ^Ременного тока. Элементы схемы, ч’)отан)1ци на постоянном токе ^°ЧДенсатор фильтра. Конденсатор ультра выбирается достаточно большой для уменьшения пульсации до Лемои величины и рассчитывается Достаточное напряжение, чтобы выдер- жать худший вариант-отсутствие нагруз- ки и максимальное напряжение сети. Для схемы на рис. 6.17 пульсации составят 1,5 В (двойное ампл. значение) при пол- ной нагрузке Из опыта проектирования можно рекомендовать использование электролитических конденсаторов, подоб- ных тем, которые используются в ЭВМ (они выпускаются в виде цилиндров с резьбовым выводом с одной стороны), например типа Sprague 36D. На неболь- шие значения емкостей большинство из- готовителей выпускают конденсаторы та- кого же качества в варианте с осевыми выводами (по одному проводнику торчит с каждого конца), например типа Sprague 39D. Помните о большом допуске значе- ний емкости! Здесь полезно вернуться к разд. 1.27, где впервые обсуждался вопрос о пульса- циях. Всегда, кроме случая импульсных стабилизаторов (разд. 6.19 и следующие), можно прикинуть напряжение пульсаций, считая выходной ток постоянным и рав- ным максимальному току нагрузки. Дей- ствительно, вход подключенного к схеме стабилизатора потребляет постоянный ток Это упрощает расчеты, поскольку разряд конденсатора происходит по ли- нейному закону и не надо возиться с по- стоянными времени или экспонентами (рис. 6.18). Например, вы хотите выбрать конден- сатор фильтра для нестабилизированнои части источника питания +5 В, 1 А. и предположим, что уже выбрали транс- форматор с эффективным значением напряжения вторичной обмотки 10 В. обеспечивающий после выпрямителя 12 В Время Рис. 6.18.
348 Глава 6 постоянного тока на пике пульсации при полном токе нагрузки. При минимальном падении напряжения на проходном тран- зисторе стабилизатора на 2 В входное напряжение стабилизатора не должно ни- когда падать ниже 4-7 В (знакомая вам ИМС 723 требует 4-9,5 В, но соответст- вующие трехвыводные стабилизаторы, описанные ниже, в разд 6 16, оказывают- ся более покладистыми) Так как надо подстраховаться от возможных отклоне- ний напряжения в сети на 10% в любую сторону, максимальный размах пульса- ций не должен превышать 2 В за период Таблица 6.4 Выпрямители Тогда 2 В = T(dU/dT) = Т1/С = 0 008 х 1,0/С, откуда С = 4000 мкФ. Элек-ц/ литический конденсатор 5000 мкф 25 В-это выбор с подстраховкой из.** возможного 20%-ного допуска значенТ емкости конденсатора При выборе денсатора фильтра не забывайте о СЛе' дующем: конденсатор излишне больщ0^ емкости не только съедает пространство но и увеличивает нагрев трансформатора (уменьшая угол проводимости и тем са- мым увеличивая отношение I фф I ) Кроме того, это увеличивает и нагрузки на выпрямитель. «Гасящий» резистор с СИД, установ- Тип Напряжение пробоя и^, В Прямой перепад Ппр1Т (тип.), В Средний ток ср.выпр » А Корпус и выводы, вид монтажа Примечания Общецелевые N4001-7 50-1000 09 1 Проводами Популярный 1N5059-62 200-800 1,0 2 То же 1N5624-72 200 800 10 5 —»— 1N1183A-90A 50-600 0,9 40 Штыревой Популярный — R для мар- Быстрое восстановление (г = 0,1 мкс 1N4933-7 50-600 тип.) 1,0 1 Проводами кировки обратной поляр- ности 1N5415-9 50-500 1,0 3 То же 1N3879-83 50 400 1.2 6 Штыревой — R для маркировки обрат- 1N5832-34 50-400 1,0 20 То же ной полярности То же Шоттки (малое 1/пр, 1N5817-19 высокое быстродействие) 20-40 0,6 макс. 1 Проводами 1N582O-22 20-40 0,5 макс. 3 То же 1N5826-28 20-40 0,5 макс. 15 Штыревой 1N5832-34 20-40 0,6 макс. 40 То же Двухполупериодные 3N246-52 МОСТЫ 50-1000 0,9 1 Пластмассовый MDA100A 3N253-59 50-1000 2 однорядный То же MDA200 MDA970A1-A5 50-400 0,85 8 Монтируется на MDA3500-10 50-1000 Специального назначения GE A570A-A640L *100-2000 1,0 макс. 35 1500 птя сси То же Типа большой Ток! Semtech SCH5000- 5000-25000 7-33 макс. 0.5 кнопки Проводами Высокие напряжения т* 25000 Varo VF25-5-40 000—40000 12-50 макс. 0,025 То же быстродействие (0^ Высокое напряж ние Semtech SCKV100K3 100-200 кВ 150-300 0,1 Пластмассовый Очень высокое напря*еВ* -200КЗ стержень 1) Измеряется при среднем токе.
Стабилизаторы напряжения и источники питания 349 раньте параллельно выходу на схеме д 6.17, разряжают конденсатор за не- (1оЛЬк0 секунд в условиях отсутствия на- зКИ. Это полезно, так как, если конден- ^то । источника питания остается заря- флньтм после того как источник выклю- чеН можно легко повредить какие-нибудь темные элементы ошибочно считая, что напряжения в схеме нет Выпрямители. Прежде всего следует от- метить, что диоды, применяемые в источ- никах питания это совсем не то, что малосигнальные диоды 1N914, применяе- мые в схемотехнике Сигнальные диоды рассчитаны на высокое быстродействие несколько наносекунд), малые токи утеч- ки (несколько наноампер) и малую ем- кость (несколько пикофарад); они могут выдерж вать ток до 100 мА, а напряже- ние пробоя редко превосходит 100 В Вы- прямительные диоды и мосты, предназна- ченные для работы в источниках питания, выдерживают ток от 1 до 25 А и более, а напряжение пробоя их-от 100 до 1000 В. У них сравнительно большие токи утечки (от микроампер до миллиампер) и довольно большая емкость переходов. Они не предназначены для высоких скоро- стей переключения Перечень ряда широ- ко применяемых типов выпрямителей приведен в табл. 6.4. < Типичными представителями выпря- мителей являются устройства серии N4001-1N4007, рассчитанные на ток А. с напряжением обратного пробоя от 50 до 1000 В Серия 1N5625 рассчитана на А, что является почти наивысшим воз- можным значением тока для элемента в герметичном корпусе с выводами под ватный монтаж (охлаждение за счет ТеПлопговодности выводов). Популярная серия 1N1183A-типичные сильноточные, эснащенны; штыревыми выводами вы- пРямители с расчетным током 40 А и на- ряжением пробоя до 600 В. Популярны Мостовые выпрямители в пластиковых ^рпусах, монтируемые на печатных пла- Тах> с расчетным током 1 и 2 А и монти- •У^МЫе на шасси, рассчитанные на 25 А 0 более. Для тех применений, где важно Геоксе быстродействие (например, пре- образователи постоянного тока, см. разд. 6.19). используются диоды с быст- рым восстановлением, например одно- амперные диоды серии 1N4933. В низко- вольтных схемах может оказаться жела- тельным использование диодов Шоттки, например серии 1N5823 с прямым паде- нием напряжения менее 0,4 В при токе 5 А ИСТОЧНИКИ ОПОРНОГО НАПРЯЖЕНИЯ Необходимость в хорошем источнике опорного напряжения часто возникает во многих схемах Например, вам нужно по- строить прецизионный источник стабили- зированного питания с лучшими характе- ристиками, чем у готовых стабилизаторов типа 723 (поскольку интегральные схемы стабилизаторов рассеивают заметную мощность из-за наличия встроенных про- ходных транзисторов, они могут доволь- но ощутимо нагреваться с соответствую- щим дрейфом параметров), или нужно построить прецизионный источник тока (т е схему со стабилизированным выход- ным током) Далее, есть еще одна об- ласть в которой нужны прецизионные источники опорных напряжений (но не прецизионные источники питания),- это проектирование точных вольтметров, ом- метров или амперметров. Существуют два вида источников опор- ного напряжения-стабилитроны и так на- зываемые источники опорного напряже- ния с шириной запрещенной зоны полу- проводника («СБЭ -стабилитроны», см разд. 6.15); каждый из них может исполь- зоваться как сам по себе, так и в составе ИМС источника опорного напряжения. 6.14. Стабилитроны Простейшим видом источников опорно- го напряжения является стабилитрон- прибор, который мы рассматривали в разд. 1.06. В сущности это диод, рабо- тающий при обратном смещении на участке, соответствующем напряжению пробоя, где ток пробоя очень быстро возрастает при дальнейшем росте напря- жения. Чтобы использовать этот диод в качестве источника опорного напряже-
350 Глава 6 ния, надо обеспечить прохождение через него приблизительно постоянного тока. Обычно это делается с помощью резисто- ра, подключенного к достаточно высо- кому напряжению и таким образом стро- ится наиболее примитивный стабилизиро- ванный источник. Стабилитроны выпускаются на целый ряд значений напряжения-от 2 до 200 В (их напряжения имеют тот же набор зна- чений, что и сопротивления стандартных 5%-ных резисторов), с допустимой мощ- ностью рассеяния от долей ватта до 50 Вт и допуском на напряжение стабилизации от 1 до 20%. Привлекательные на первый взгляд в качестве опорных источников напряжения для различных целей стаби- литроны, однако, не так просты в исполь- зовании по многим причинам, они имеют конечный набор значений напряжения, у них большой допуск на напряжение стаби- лизации (кроме дорогих прецизионных стабилитронов), они сильно шумят и их напряжение зависит от тока и темпера- туры. Вот пример двух последних эффек- тов: стабилитрон на 27 В из распростра- ненной серии 1N5221 стабилитронов на 500 мВт имеет температурный коэффи- циент порядка +0,1 %/°C, и в силу этого его напряжение меняется на 1%, когда ток изменяется от 10 до 50% от максималь- ного. Есть исключение из правила о плохих характеристиках стабилитронов. Оказы- вается, что в окрестности значения напря- жения стабилизации 6 В стабилитроны мало чувствительны к изменениям тока и при этом имеют почти нулевой темпера- турный коэффициент. Этот эффект виден на кривых рис. 6.19. полученных путем измерения стабилитронов с разными на- пряжениями Это характерное поведение связано с тем, что в стабилитронах в дей- ствительности используются два разных механизма пробоя: зенеровский и лавин- ный; первый-при низком напряжении, второй-при высоком. Если стабилитрон используется только как стабильный ис- точник напряжения и вам все равно, како- во будет это напряжение, то лучше всего взять один из компенсированных опор- ных стабилитронов, состоящих из стаби- Рис. 6.19. Зависимость дифференциального сопротив- ления стабилитронов (а) и вариаций напряжения ста- близации стабилитронов (б) от номинального напря- жения стабилизации (с разрешения Motorola. Inc.). литрона приблизительно на 5,6 В и после- довательно с ним соединенного диода, смещенного в прямом направлении. На- пряжение стабилитрона выбирается так, чтобы взаимно компенсировать положи- тельный температурный коэффициент стабилитрона и отрицательный темпера- турный коэффициент диода, соответст- вующий около —2.1 мВ ЭС. Как видно из рис. 6.20, температурив коэффициент зависит от рабочего тока, а также от напряжения стабилитрона- Поэтому, выбирая ток стабилитрона, можно как-то «подстроить» температур" ный коэффициент. Из таких стабилитр0" нов со встроенными последовательно диодами получаются неплохие источник0 опорного напряжения. Для примера: се* рия дешевых стабилитронов на 6,2
Стабилизаторы напряжения и источники питания 351 Рис. 6.20 Зависимость температурного коэффициента напряжения стабилизации стаби титронов от их номи- нального напряжения (с разрешения Motorola. Inc.). N821 имеет температурные коэффициен- ты от 10"4/°С (1N821) до 5 10~6/°С 1N829), а стабилитроны 1N940 и 1N946 на 9 В и 11,7В имеют температурный коэффициент 2- 10-6/°С. Задание рабочего тока стабилитрона. Описанные выше компенсированные ста- билитроны могут использоваться в схе- мах в качестве источников стабильного напряжения но надо обеспечить питание их постоянным током. Для серии 1N821 изготовителем указано 6,2 В + 5% при токе 7.5 мА с дифференциальным сопро- тивлением 15 Ом; таким образом, измене- ние тока на 1 мА изменяет напряжение в три раза сильнее чем изменение темпе- ратуры от —55 до +100°С (для прибора N829). На рис. 6.21 показано, как до- вольно просто можно обеспечить посто- янный ток смещения прецизионного ста- 7,5 мА 510 0 м Г---------CZ3 +15 би литрона. Операционный усилитель включен как неинвертирующий усилитель и имеет на выходе стабильное напряже- ние, равное + 10,0 В, которое использует- ся для получения прецизионного тока 7.5 мА. Это самозапускающаяся схема, но она может включиться с любой поляр- ностью на выходе! При «неправильной» полярности стабилитрон работает как обычный диод с прямым смещением. Включение операционного усилителя от однополярного источника питания сни- мает эту странную особенность. Прежде чем ставить в схему тот или иной ОУ, убедитесь, что его диапазон синфазных входных сигналов включает в себя потен- циал минусовой шины источника питания (ОУ с «однополярным питанием»). Существуют компенсированные спе- циальные стабилитроны с гарантирован- ной временной стабильностью напряже- ния; этот параметр, как правило, не ука- зывается. Примеры - серия IN3501 и 1N4890. Стабилитроны такого типа име- ют гарантированную стабильность 5 х х 10 ~6/1000 ч или еще лучше. Они не де- шевы. В табл. 6.5 собраны характеристи- ки некоторых стабилитронов и диодных источников опорного напряжения, а в табл. 6.6-ряд представителей двух попу- лярных серий стабилитронов общего назначения на 500 мВт. Стабилитронные ИМС. Для достижения свойственных стабилизатору 723 превос- ходных характеристик (стабильность Uon 30-10~6/°С) используется компенсиро- ванный стабилитрон Стабилизатор 723- вполне приличный источник опорного напряжения, и соместно с необходимыми навесными элементами эта ИМС может использоваться для получения стабильно- го источника с любым желательным на- пряжением. Стабилизатор 723, применяемый в ка- честве опорного источника напряжения, служит примером «трехвыводного» опор- ного источника, т. е. источника, для рабо- ты которого нужен внешний источник пи- тания; в схему источника входят цепь смещения стабилитрона и буферный уси- литель выходного напряжения. К трехвы- водным стабилитронным ИМС относятся
352 Глава 6 Таблица 6.5. Стабилитроны и источники опорного напряжения п Тип Напряже- Номи- Допуск, Темпера- Нестабиль- Мощность ние ста- билиза- ции 2) и„, В нальный ток /ст, мА ± % турный ко- эффициент (макс.), 10“6/°С ность ли (макс.) при отклоне- ниях, /ст на ±10%, мВ (макс.), Вт Примечания Источники опорного напряжения 1N821A- 1N829A 6.2 6,2 7,5 7,5 5 5 ±100 ±5 7,5 7,5 0,4 0,4 5 типов в серии, отличаю, щиеся по температурщ^ коэффициентам, указаны лучший и худший 1N4890- 6,35 7.5 5 ±20 0,4 Долговременная стабиль- 1N4895 Стабилитроны 6,35 7,5 5 ±5 0,4 ность не хуже 10~*/1000ч Долговременная стабиль- ность не хуже Ю"5/1О00ч 1N5221A 2,4 20 10 -850 60 0,5 60 типов в серии, от 2,4 до 1N5231A 5,1 20 10 ±300 34 0,5 200 В (5%-ный ряд номи- 1N5281A 200 0,65 10 + 1100 160 0,5 нальных значений плюс еще несколько). -В = = ±5%; популярный* 3’ 1N4728A з,з 76 10 -750 76 1,0 37 типов в серии, от 3,3 до 1N4735A 6,2 41 10 + 500 8 1,0 100 В (5%-ный ряд номи- 1N4764A 100 2,5 10 + 1100 88 1,0 нальных значений) — В = ±5%; популярный 11 См. также табл. 6.7 (ИМС источников опорного напряжения). 2) При номинальном токе стабипизяпии 3) См. табл 6 6 (Стабилитроны на 500 мВт). превосходная LM369 фирмы National (1.5 1(Г6/°С тип.) и REF10KM фирмы Burr-Brown (температурный коэффициент не более 10-6/°С); в своих схемах мы часто используем недорогую ИМС Moto- rola MCI404 (которая фактически являет- ся иБЭ- стабилитроном, см. ниже). Вскоре мы более подробно рассмотрим трехвы- водные источники опорного напряжения, а сейчас обратимся к двухвыводным. Прецизионные температурно-компенси- рованные стабилитронные ИМС выпус- каются в виде двухвыводных устройств с точки зрения внешних электрических соединений они выглядят просто как ста- билитроны, хотя в действительности содержат еше ряд активных элементов для улучшения характеристик (наиболее существенная-постоянство стабилизиро- ванного напряжения при заданном токе). Пример-недорогая схема LM329 с напряжением ~ 6,9 В. В лучшем варианте Таблица 6.6. Стабилитроны на 500 мВт Серия 1N5221 Серия 1N746 Напряжение стабилизации и„, в Ток и мерения 4Т. мА 1N5230 1N750 4,7 ' 20 1N5231 1N751 5.1 20 1N5232 1N752 5,6 20 1N5233 — 6,0 20 1N5235 1N754 6,8 20 1N5236 1N755 7,5 20 1N5237 1N756 82 20 1N5240 1N758 10 20 1N5242 1N759 12 20 1N5245 1N965 15 8,5 1N5248 1N967 18 7,0 1N5250 1N968 20 62 1N5253 — 25 5,0 1N5256 1N972 30 42 1N5259 1N975 39 32 1N5261 1N977 47 2,7 1N5267 1N982 75 1,7 ] 1N5271 1N985 100 1,3 1N5276 1N989 150 0,85 1N5281 1N992 200 0,65
Стабилизаторы напряжения и источники питания 353 с 6.22. Зависимость напряжения шумов малошу- нмпего стабилитрона подобного тому, который ^пользуется в стабилизаторе 723, от рабочего тока спбалитрона к темп коэффициент равен 6-10“б/°С тип), 10-5/°С (макс.) при постоянном токе 1 мА Перечислим некоторые стаби- литронные ИМС с необычными характе- ристиками температурно-стабилизиро- ванная LM399 (0,3 10-6/°С тип.), микро- мощная LM385 (которая работает от то- ва, доходящего до 10 мкА) и выпускаемая фирмой Linear Technology ИМС LTZ1000 с ее потрясающими параметрами: типо- вой температурный коэффициент 0,05 х х 10-6/°С, дрейф 0,3-10“6/месяц и низ- кочастотный шум 1,2 мкВ. К несчастью, стабилитронные ИМС, ик и их дискретные аналоги, сильно шу- мят. Шум становится сильнее для стаби- лизаторов, использующих лавинный про- бой, т.е. с напряжением стабилитрона больше 6 В. На рис. 6.22 показан график иума стабилитронного источника 723. Этот шум связан с поверхностными эф- фектами и применение стабилитронной структуры с так называемым захоронен- (скрытым) или подповерхностным -лоем может сильно улучшить стабиль- аость стабилитрона и существенно умень- его шум Так, только что упоминав- шая источник опорного напряжения rZlOy на стабилитроне с захоронен- слоем-самый совершенный из всех {г*0 источников опорного напряжения ,369 и REF10KM также имеют очень '^адшум 6.7 еречислены характеристики цЛ1"0 всех выпускаемых стабилитронных to как на основе собственно стабилит- °в> так и на иьэ- стабилитронах. ,2'б2б 6.15. Источник опорного напряжения на иъэ- стабилитроне Недавно стала получать распространение схема, известная под названием «стаби- литрон с напряжением запрещенной зо- ны». Более точно было бы название стабилитрон». Это легко понять, вспомнив формулу Эберса-Молла для диода. В основе схемы лежит идея генера- ции напряжения с температурным коэф- фициентом, положительным и равным по абсолютной величине отрицательному температурному коэффициенту напряже- ния 1/БЭ. При сложении этого напряжения с иъэ получается напряжение с нулевым температурным коэффициентом. Начнем с рассмотрения токового зер- кала с двумя транзисторами, работаю- щими с разной плотностью эмиттерного тока (рис. 6.23), с обычным отношением плотностей тока порядка 10:1. Применяя формулу Эберса-Молла, легко показать, что ^«ых имеет положительный темпе- ратурный коэффициент, так как разность напряжений 1/БЭ для двух транзисторов есть просто (kT/q)ln а, где о-отношение плотностей тока (см. график на рис. 2.53). Здесь может возникнуть вопрос: где взять постоянный задающий ток Несколь- ко позже мы покажем остроумный способ его получения. Сейчас вам надо только преобразовать этот ток в напряжение с помощью резистора и сложить с нор- мальным напряжением 1/БЭ. Такая схе- ма показана на рис. 6.24. Резистор R2 устанавливает величину напряжения, ко- торое складывается с 1/БЭ и имеет поло- жительный температурный коэффициент. Подбирая должным образом величину R2, получаем нулевой результирующий температурный коэффициент. Оказывает- ся, что температурный коэффициент бу- дет нулевым, если суммарное напряжение равно напряжению запрещенной зоны кремния (при температуре абсолютного нуля), т.е. примерно 1,22 В. Часть схемы, обведенная пунктиром, является стаби- литроном. Ее выход используется (через резистор 7?3) для создания постоянного тока /упр, который мы с самого начала считали существующим
Таблица 6.7. ИМС источников опорного напряжения Тин Из| ото- С нанря вигель " жением запре- щенной зоны Сзаби литрон Число выводов Наличие под- стройки Напря- жение, В Точ- ность, % Темпера- турный коэффи- циент (тип.), 10'6/°С Напря- жение питания, (мин.), В Ток Выходной питания, гок мА (макс.), мА Напря- жение шумов 0,1 10 Гц (тип.), мкВ (п-п) Долго- Стабилизация стабиль- ность (тип.), 10 6/1000 ч по по входу нагрузке (з ип.), 0-10 мА %/В (тип.), % Стабилизатор LM10C NS + V — 8 V 0 20 5 30 1,1 03 20 — — 0001 0,01" цА723С FS + — V 14 V 715 3 20 9,5 2,3 65 — 000 0,003 0,03 SG3532J SG + V 10 V 2,50 4 50 4,5 1,6 150 — 300 0,005 0,02 Двухвыводной (сз абилитронный) LM129A NS — V 2 — 6,9 5 6 — 1 152’ — 20 — 0,1 VR182C DA V — 2 — 2,455 1,4 23 — 2 120" 105) 10 — 0,1 LM313 NS V — 2 — 1,22 5 100 — I12’ 20" 56> — — 0,5'" LM329C NS — V 2 — 6,9 5 30 — 1 15" — 20 — 0,1 LM336-2 5 NS V - 3 V 2,50 4 10 — 1 10" — 20 — 0,1 LM336B 5 NS V 3 V 50 1 15 — I15’ 102’ — 20 — 0,1”» LM385B NS V 2 — 1,23 1 20 — 0,14’ 201 2) 25 — 0,02" LM385BX 1 2 NS V — 2 — 1,235 1 30* ” — 0 I4’ 202) 606' 20 — 0,814’ LM385BX 2 5 NS V — 2 — 2,50 1 5 ЗО13’ — 0,1 *6’ 20" I206’ 20 — 0,414' LM299A NS — V 4 — 6,95 2 0,2 9 17 102) — 20 — 0,1 LM399 NS V 4 — 6,95 5 03 9 17 10" — 20 — 0,1 LM3999 NS — V 3 — 6,95 5 2,0 9 17 102’ — 20 — 0,1 TL430 TI V 3 V 2,75 5 120 — 10 1002> 50 — — 05 TL431 и V 3 V 2,75 2 10 — 10 100" 50 — — 0,5 AD589M AD V — 2 — 1,235 2 10'3' — 0,18’ 5" 56) — — 0,05" LTZ1000 LT — V 2 — 7,2 4 0,05 — 5 1,2 0,3 — 118) LT1004C 1 2 LT V - 2 — 1,235 0,3 20 — 0,14> 202) 606’ 20 — 0,81314> LT1009C 1 Г V 3 V 2,50 0,2 15 — Г5’ 102' — 20 — 0,1'" LT1029A 1 Г V 3 V 5,0 0,2 8 — р») 10" — 20 — 0,04*5) LT1034B 1 I V —. 3 — 1,225 1 10 — 0,1 *6) 202> 4 — — ОЗ"5’ —» — IT — V — 7,0 4 40 — 01'" 20” — — — ъ HS50I0N IIS V 2 — 1 22 2 3 — 018» 52> 56’ — 00.5” U T .XOGO к. u. V 2 1.23 2 ю 05 10 > _ . — 0,2” IS. 2 1,22 2 ЗО — O.11,1 О.511 — — — 1,2‘” RI / СИЛ гм V 8 \/ 10,0 0,3 3 12 1 1О 20 0,006 0,005 RIH 02Л 1 м V 8 V 5,0 0,3 3 7 1 10 10 ——» 0 006 0 005 RI 1-031 I м V 8 V 2,5 03 3 4,5 1 10 5 — 0,006 0,05 RIF-05 РМ V 8 V 5,0 0,3 3 7 1 10 10 Ю013) 0,006 0,05 REF 08G РМ — V 8 V -10,0 0,2 ю'3’ -114 213> 10 10 0,2'3* 0 2*3) КЕР-Ю РМ V —. 8 V 10,0 0,3 3 12 1 10 20 50>з» 0,006 005 REF10KM вв V 8 V 10,0 0,05 I'3’ 13,5 4,5 10 6 10 o’ooi 0,01 REF-43F РМ V — 8 V 2,5 0,05 з,3> 4,5 0,213’ 10 87'3 0,0002'3) 0,03*3) LHOO /0-1 NS V 3 — 10,0 0,1 4 12,5 3 10 20 . 0,001 0 01 REF101KM вв — V 8 V 10,0 0,05 I'3’ 13,5 4,5 10 6 25 0,0003 0,003 LM368Y -2.5 NS V - 8 V 2,5 0,2 11 4,9 0,35 10 12 0,0001 0.003 LM368-5 NS V 4 V 5,0 0,1 15 7,5 0,25 10 16 0 0001 0,003 LM368 10 NS V — 4 V 10,0 0.1 15 12,5 0,25 10 30 0,0001 0 003 ЬМзбУП NS V 3,8 V 10,0 0,05 1,5 13 14 10 4 6 0,0002 0003 AD580M AD V 3 — 2,5 1 10 4,5 1 10 60 25 0,04 04 AD581L AD + V 3 — 10,0 0,05 5 12 0 75 10 50 25 0005 0,002 AD584L AD V 8 V 2,5 0,05 10 5 0 75 18 50 25 0 005 0Д02 -»- AD 5,0 0,06 5 7,5 0,75 15 50 25 0,005 0,002 » - AD 7,5 0,06 5 10 0,75 13 50 25 0,005 0,002 » AD 10,0 0,1 5 12,5 0,75 10 50 25 0,005 0,002 AD586L А1) V 8 V 5,0 0,05 513) -— 513) 10 15 AD587I А1) V 8 V 10,0 0,05 5'3, 513) 10 15 AD588B AD V 14 V ±10,0 0,01 1,5‘3) ±14 ±ю + 10 10 25*3) 0,00213) 0,01‘3) МАХ6/1С МА V 14 V 10,0 0,01 1'3> 13,5 9 10 12 50 0,005'3) 0 01 *3) AD689L AD V 8 V 8,192 0,05 513» 10,8 2 + 10 2 15 0,002'3) 0 0113) R675C-3 IIS V 14 V ±10,0 0,05 5 ±13 + 15, -З13) 10 0,00313) 0 0213’ LT1019A-2.5 1 1 V — 8 V 2,5 0,002 3 4 0,7 10 6 0,00005 0.0008 LI 1021 В-5 1 1 V 8 V 5,0 1 2 7 08 10 3 15 0 0004 001 LT1031B L1 — V 3 — 0,05 3 11 1,2 10 6 15 0 00005 0,01 МС1403А MG V 8 — 2,5 1 10 4,5 1,2 10 .0 002 006 MC1404AU5 МО V 8 V 5,0 1 10 7,5 1,2 10 12 25 0 001 006 MC1404AU10 МО V 8 V 10,0 I 10 12,5 1,2 10 12 25 0,0006 о’об AD2702L9' AD + V 14 V ±10,0 0,05 5*3» ±13 + 12, -2 + 10 50 100 0,0313) 00513) AD2712L9' AD + — V 14 V ±10,0 0,01 |13) ±13 + 12, -2 ±5 30 25 0,013 0,003'01 LP2950ACZ NS V 3 — 5,0 0,5 20 5,4 0,08 100 0,002 0 004 ICL8212 II V 8 V 1,15 3 200 1,8 0,035 20 02 TSC9495 TS V —- 8 V 5,0 1 20 7 1 8 12 0Д1 0 06 TSC9496 rs V 8 V 10,0 1 20 12 1 8 25 — 0,01 о’об 1) От 0 до 1 мА. Максимальный 1ок стабилитрона 3) Встроенный в чип нагреватель/термостат 4* Рабочий ток (эфф ). 10 Гц 1 кГц (эфф ). При 50 мкА- 5 мА. ” 2700, 2710: + 10 В; 2701: - 10 В; 2702, 2712: ± 10 В 10) 0-5 мА или макс ,4) 1 20 мА (макс.). 151 При 0,5 10 мА. ,6) При 20 мкА-20 мА ,7‘ При 0,1-20 мА. 18) При 1-5 мА. 10 мкА-20 мА. 5> 1 Гц -10 кГц. 6) 10 Гц-10 кГц П) При 50 500 мкА 12) При 0,5 20 мА. ,3) Мин.
356 Глава 6 Упр 7 (ПОСТОЯННЫЙ) 'вых . (положительным 1 температурный ’ коэффициент) Рис. 6.23. Рис. 6.24. Классическая схема источника опорного напряжения с напряжением запрещенной эоны полу- проводника. На рис. 6.25 показана другая весьм популярная схема стабилитрона «запое щенной зоны» (заменена обведенная часть схемы рис. 6.24). Тг и Т2-согласованная пара транзисторов, вынужденная благо даря обратной связи по разности напря жений коллекторов работать при отноше- нии токов коллекторов 10:1. Разность напряжений иБЭ, равная (kT/q)In 10, дела- ет ток эмиттера Т2 пропорциональным температуре (разность напряжений при- ложена к резистору /?!). Но поскольку коллекторный ток 7^ всегда в 10 раз больше этой величины, он также пропор- ционален Т. Поэтому суммарный эмит- терный ток пропорционален Т и создает на резисторе R2 падение напряжения, имеющее положительный температурный коэффициент. Это падение напряжения может быть использовано в качестве вы- ходного сигнала температурного датчика (мы об этом дальше упомянем). В данной схеме напряжение, снимаемое с резистора R2, складывается с напряжением 1/БЭ транзистора 7] для получения стабиль- ного опорного напряжения с нулевым температурным коэффициентом на базах транзисторов 7j и Т2. «Опорные источ- ники запрещенной зоны» существуют в самых разных вариантах, но для них всех характерно сложение напряжения 1/БЭ с напряжением, созданным парой транзис- торов, работающих с некоторым задан- ным отношением плотностей токов. Рис. 6.25. ИМС опорных источников с напряже- нием запрещенной зоны. Примером ста- билитрона с напряжением запрещенной зоны является недорогая двухвыводная схема LM385-1.2, имеющая номинальное рабочее напряжение 1,235 В — 1% ее со- брат LM385-2.5 имеет встроенную (хем\ для генерации 2.5 В), работоспособна^ при токах вплоть до столь малых ЗНЗ' чений как 10 мкА. Это много меньше- чем можно было бы требовать от люо0" го стабилитрона, и это делает данн^е ИМС прекрасным образом подходя^0 ми для микромошных приборов 0^’ гл. 14).Столь низкое опорное напряж^ (1,235 В) часто намного более уд°б0 вещь, чем номинальное рабочее HaD^ жение стабилитронов 5 В (вы МоЖ
Стабилизаторы напряжения и источники питания 357 встретить стабилитроны с номинальным ^пряжением 3,3 В, однако у них совер- шило ужасные характеристики с очень ддавным изгибом). Лучшие образцы из ряда LM385 гарантируют температурный коэффициент не хуже 30- 10-б/°С и типич- ное значение динамического сопротивле- ния 1 Ом при токе 100 мкА. Сравним эти величины с теми же параметрами стаби- литрона 1N4370 на 2,4 В: температурный коэффициент 800- 10-б/°С (тип.), динами- ческое сопротивление около 3000 Ом при токе 100 мкА, и одновременно при этом Хе токе «напряжение стабилизации» (определяемое в спецификации как 2,4 В при токе 20 мА) составляет около 1,1 В! Когда вам нужно прецизионно стабиль- ное напряжение, эти превосходные ИМС на иБЭ-стабилитроне кладут обычные стабилитроны на лопатки. Если вы готовы выложить чуть больше денег, то сможете найти опорные источ- ники на иьэ- стабилитронах с превосход- ной стабильностью, например такие, как двухвыводной LT1029 или трехвыводной REF-43 (2,5 В, 3-10"б/°С макс.). Послед- ний тип, так же как и трехвыводные ис- точники опорного напряжения на стаби- литронах, нуждается в источнике питания постоянного тока. В табл. 6.7 перечисле- ны большинство из имеющихся источни- ков опорного напряжения (на стабилитро- нах и I/rj-стабилитронах, двух- и трех- выводные). Одним из интересных источников опор- ного напряжения является ИМС TL431C. ^то недорогой источник опорного напря- жения на «программируемом стабилитро- не»; его схема включения показана на Рис. 6.26. «Стабилитрон» включается, когда управляющее напряжение достигает 2,75 В («стабилитрон» сделан по схеме 17Бэ ); этот прибор по управляющему вхо- ду потребляет ток всего лишь в несколько микроампер и имеет температурный ко- эффициент выходного напряжения около 10-5/°С. При указанных на схеме значе- ниях параметров на выходе получается стабилизированное напряжение 10 В. Эти приборы выпускаются в двухрядных кор- пусах мини-DIP и могут работать при токах до 100 мА. Температурные датчики, использующие иБЭ. Предсказуемостью изменения 1/БЭ с температурой можно воспользоваться при создании ИМС для измерения темпе- ратуры. Например, REF-02 помимо своей основной функции генерирует выходное напряжение, линейно изменяющееся с температурой (см. выше). С помощью простых внешних схем можно получить выходное напряжение, сигнализирующее о температуре ИМС с точностью 1% во всем «армейском» диапазоне (от —55 до 4- 125°С). Схема AD590, используемая как чисто температурный датчик, дает точ- ный ток 1 мкА/K. Это двухвыводное устройство; к нему надо приложить напряжени (4-30 В) и можно измерять ток. LM334 также можно применять та- ким способом. Другие датчики, такие как LM35 и LM335, генерируют на вы- ходе точное напряжение с крутизной +10 мВ/°С. В разд. 15.01 все эти «преоб- разователи» температуры рассмотрены детально. Трехвыводные прецизионные источники опорною напряжения. Ранее мы уже отме- чали, что возможно создание источников опорного напряжения с отличной темпе- ратурной стабильностью (до 10-6/°С и даже лучше). Это особенно впечатляет, когда вы видите, что имеющий почтенный возраст элемент Вестона-традицион- ный, прошедший через века источник опорного напряжения,-имеет темпера- турный коэффициент порядка 4 10-5/°С (см. разд. 15.11). Вот два способа получе- ния таких источников. 1. Температурно- стабилизированные ис- точники опорного напряжения. Хороший подход к получению превосходной темпе- ратурной стабильности источников опор- 6.26
358 Глава 6 ного напряжения или других схем заклю- чается в обеспечении работы источников опорного напряжения и, возможно, свя- занных с ними схем при постоянной повы- шенной температуре. В гл. 15 будут пока- заны простые приемы осуществления этой идеи (один очевидный способ состоит в организации управления нагревателем с помощью температурного датчика UB3). Таким образом можно добиться сильного уменьшения зависимости характеристик схемы от колебаний внешней темпера- туры. Для прецизионной схемотехники представляет интерес метод помещения хорошо температурно-компенсированно- го опорного источника в условия постоян- ной температуры, что значительно улуч- шает его характеристики. Подобная техника температурно-стаби- лизированных или «термостатирован- ных» схем применяется уже много лет, в частности для создания сверхстабиль- ных генераторов. Существуют не слиш- ком дорогие источники питания и опор- ные источники напряжения, в которых используются термостатированные опор- ные схемы. Этот метод дает хорошие результаты, но имеет свои недостатки: громоздкость и сравнительно большую потребляемую нагревателем мощность, а также медленный разогрев и выход на режим (обычно 10 или более минут). Эти проблемы легко снять, если стабилизиро- вать температуру на уровне кристалла ИМС (чипа) включением нагревательной схемы вместе с датчиком в состав самой интегральной схемы. Этот подход был впервые опробован в 60-х годах фирмой Fairchild, выпустившей температурно- стабилизированную дифференциальную пару цА726 и предусилитель постоянного тока цА727 Позже появились «термостатирован- ные» источники опорных напряжений, та- кие , как серия National LM199. ИМС этой серии имеют температурный коэффици- ент (типовое значение) 0,00002° о/°C, или 2-10“7/°С Такие опорные источники ус- тановлены в стандартных транзисторных корпусах ТО-46 Их нагреватели потреб- ляют мощность 0,25 Вт и разогреваются до нужной температуры за 3 с. Пользуясь этими схемами, следует отдавать себе от- чет в том, что последующие схеми на операционных усилителях, и даж проволочные прецизионные резисторы с их температурным коэффициентом ±2,5-10-6/°С, могут сильно испортить характеристики, если при проектировании не принять крайних мер предосторожнос- ти. В частности, приходится учитывать даже дрейф прецизионных ОУ с очень низким уровнем дрейфа, таких, как ОР-07 с типовым значением дрейфа входного каскада 0,2 мкВ/°С. Эти аспекты проекти- рования прецизионных схем рассматри- ваются в гл. 7 в разд. 7.01-7.06. При использовании LM399 существует одна опасность: чип может выйти из строя, если напряжение питания нагрева- теля хотя бы на короткий момент вре- мени упадет ниже 7,5 В. Источник опорного напряжения с запрещенной зоной LT1019 хотя и рабо- тает в нормальных условиях без подо- грева, однако имеет встроенные в крис- талл нагреватель и датчик Поэтому его можно включать так же, как и LM399 получая температурный коэффициент ме- нее 2-10-6/°С. Однако в отличие от LM399 для LT1019 требуется некоторая внешняя схемная обвязка, чтобы полу- чить термостат (ОУ и с полдюжины эле- ментов) 2 Прецизионные источники опорного напряжения без подогрева. Термостатиро- ванная LM399 имеет превосходный тем- пературный коэффициент, однако она не демонстрирует чего-либо экстраординар- ного в отношении таких параметров, каг шум или долговременный дрейф (СМ- табл 6 7). Кроме того, нагрев этого кристалла занимает несколько секунд и он потребляет большую мощность (4 Вт И* включении, 250 мВт после стабилизации Хитроумные разработчики сделали возможным создание источников опор' ного напряжения с эквивалентной ста^ бильностью, но без подогрева ИМС REF10KM и REF101KM фирмы Burr- Brown имеют температурный коэффиПй' ент 10“6/°С (макс.), они не потребляй’1' мощность для подогрева и у них задержки выхода на режим за счет натр6'
Стабилизаторы напряжения и источники питания 359 10000 LM385 при 10В (с напряжением запрет, зоны) 1000 х LM 399 при 10 В СставилиУрон с подогревом) 100 10 LT1031/LH0070 (стабилитрон с захороненным слоем) -------1----------1__________। ю2 ю5 ю4 Частота,Гц г в Сравнение напряжения шумов стабилитронов с захороненным слоем (а), стабилитронов с подогревом и источников опорного напряжения на Ь’БЭ-стабилитроне (в). (С разрешения Burr-Brown Corporation). °4внени: плотности шумов еш (г) и интегрального напряжения шума д стабилитронов указанных типов. Ва- Кроме того, долговременный дрейф 0*пум у них меньше, чем у источников Тапа LM399. Среди других трехвыводных ^очников опорного напряжения с темпе- Р^Урнык коэффициентом не более Г/°С-МАХ671 фирмы Maxim, и или AD2712, выпускаемые фир- .00 Analog Devices. В двухвыводной кон- ^Урации есть лишь один достойный соперник-это великолепный LTZ1000 фирмы Linear Technology, у которого за- явленный температурный коэффициент составляет 0,05- 10-6/°С. В спецификации на это устройство указаны также на поря- док лучшие характеристики по долговре- менной стабильности и шуму, чем у лю- бых других источников опорного напря- жения любого типа. Для ИМС LTZ1000
360 Глава 6 требуется хорошая внешняя схема смеще- ния, которую можно построить на ОУ и еще нескольких элементах. Во всех пере- численных высокостабильных источниках опорного напряжения (включая LM399 с подогревом) используются стабилитро- ны с захороненным слоем, что дополни- тельно обеспечивает намного меньший шум, чем обычные стабилитроны или СБЭ стабилитроны (рис. 6.27). ТРЕХВЫВОДНЫЕ И ЧЕТЫРЕХВЫВОДНЫЕ СТАБИЛИЗАТОРЫ 6.16. Трехвыводные стабилизаторы Для большинства не слишком ответствен- ных применений лучше выбрать простой трехвыводной стабилизатор напряжения. Он имеет всего три внешних вывода (вход, выход и земля) и настраивается изготовителем на нужное фиксированное напряжение. Типичные представители ста- билизаторов такого рода-серия 7800. Их напряжение указывается в последних двух цифрах (вместо нулей) и может иметь одно из следующих значений 05, 06, 08, 10, 12, 15, 18, 24. На рис. 6.28 показано, как легко сделать стабилизатор, например на 5 В с применением одной из этих схем. Конденсатор, поставленный параллельно выходу, улучшает переходные процессы и удерживает полное выходное сопротив- ление на низком уровне при высоких частотах (если стабилизатор расположен на значительном расстоянии от конденса- тора фильтра, следует применить допол- нительный входной конденсатор ем- костью по крайней мере 0,33 мкФ) Серия 7800 выпускается в пластмассовых и ме- таллических корпусах, в таких же, как и мощные транзисторы. Маломощный вариант, серия 78L00 также выпускается в пластмассовых и металлических корпу- сах, в которых выпускаются маломощные Несттта- билизир вход — от+7 до 35В 7805 1 +5,0 В (сптабилизир.) ОНА 0,1 мкФ Рис. 6.28. транзисторы (табл. 6.8). Серия 7900 билизаторов отрицательных напряжете работает точно так же, но, конечно отрицательным входным напряжением Серия 7800 обеспечивает ток нагрузКи д0 1 А и снабжена внутренней защитой от повреждений в случае перегрева или чрез, мерного тока нагрузки (ИМС не сгорает а выключается). Кроме того, предусмот- рена защита прибора при выходе из об- ласти безопасной работы (разд. 6.07) за счет уменьшения предельно возможного вых. тока при увеличении разности вход- ного и выходного напряжений. Такие ста- билизаторы дешевы и просты в употреб- лении; это делает реальным проектирова- ние схем с большим количеством печат- ных плат, к которым подводится неста- билизированное постоянное напряжение, а отдельный стабилизатор устанав ивает- ся на каждой плате. Трехвыводные стабилизаторы с фикси- рованным напряжением выпускаются в нескольких очень удобных вариантах. LP2950 работает точно так, как и 7805, но потребляет в установившемся режиме всего лишь 75 мкА (сравните с 5 мА у 7805 или 3 мА у 78L05); кроме того, он не теряет способности стабилизации даже тогда, когда перепад напряжений (неста- билизированного на входе и стабилизиро- ванного на выходе) составляет всего лишь 0,4 В (сравните с 2 В перепада напряже- ний, необходимыми для классической ИМС 7805). У LM2931 также низкий пере- пад напряжений, но его можно было бы назвать миллимощным (ток покоя 0,4 мА) в сравнении «микромошным» LP2950. Стабилизаторы с низким пере- падом напряжения выпускаются тах>е и на большие токи, например, cep® LT1085/4 3 фирмы LTC (3 А, 5 А и 7.5 А соответственно, у каждого типа есть ИМС на +5 и +12 В). Такие стабилиза- торы, как LM2984, в основе своей трех®0' водные с фиксированным напряжением- но с дополнительными выводами для с® нализации микропроцессору о том, питание пропало и вновь появилось. И ® конец, такие ИМС, как 4195, состоят * двух трехвыводных стабилизаторов 15 В, один на положительное, друг00'
Стабилизаторы напряжения и источники питания 361 оТрйцательное напряжение. Вскоре мы оговорим об этих специальных стабили- JaTopax подробнее. 07. Трехвыводные регулируемые стабилизаторы Иногда нам нужно нестандартное стаби- тизированное напряжение (скажем, + 9 В, чтобы заменить таким образом батарею) я мы не можем по этой причине приме- нить фиксированный стабилизатор серии 7800. Или, возможно, вам требуется стан- дартное напряжение, но устанавливаемое более точно, чем ±3%, типично предус- матриваемые в стабилизаторах с фикси- рованным напряжением. Но теперь вы уже «подогреты» простотой трехвывод- ных стабилизаторов и уже не представ- ляете себе, как можно иметь дело со схемами стабилизатора на ИМС 723 со всеми внешними элементами, которые для нее требуются. Что делать? Взять «трехвыводной регулируемый стабилиза- тор»! В табл. 6.9 перечислены характерис- тики представительной выборки трехвы- водных регулируемых стабилизаторов. Типичным представителем этих замеча- тельных ИМС является классический LM317 фирмы National. У этого стаби- лизатора нет вывода на землю; вместо этого у него поддерживается 17вых, такое, ЧТО между выходом и этим «регулировоч- ным» выводом всегда было напряжение 1,25 В. На рис. 6.29 показан простейший способ применения этого стабилизатора. Стабилизатор подводит напряжение С25 В к резистору , поэтому через него течет ток 5 мА. Регулировочный вывод потребляет очень небольшой ток (50- 100 мгД , поэтому выходное напряжение Равно Свых = 1,25 (1 -г R2/R1 ) В. Но в та- ком случае выходное напряжение можно Аудировать от 1,25 до 25 В. Для тех применений, которые требуют фиксиро- ванного выходного напряжения, R2 обыч- но подстраивается в очень узком диапазо- увеличения точности подстройки _1яется последовательное соедине- О’фиксированного резистора и подстро- ^ПНого). Выбирайте сопротивления ре- Истивного делителя достаточно неболь- пс Для (приме шими, чтобы ток через него можно было изменить на 50 мкА, корректируя его с изменением температуры. Поскольку петля коррекции данного стабилизато- ра-это выходной конденсатор, то здесь должны использоваться большие ем- кости, чем в других схемах. Требуется по меньшей мере танталовый конденсатор 1 мкФ, однако рекомендуется несколько большая емкость-что-нибудь вроде 6,8 мкФ. Схема 317 выпускается в разных корпу- сах: пластмассовых на большую мощ- ность (ТО-220), металлических большой мощности (ТО-3) и в корпусах для мало- мощных транзисторов (металлический ТО-5 и пластмассовый ТО-92). Схема в корпусе на большую мощность, осна- щенная соответствующим радиатором, может отдавать ток до 1,5 А. Поскольку эта схема не имеет непосредственного за- земления, ее можно использовать в стаби- лизаторах высокого напряжения, пока разность входного и выходного напря- жения не превосходит максимум 40 В (у высоковольтного варианта LM317HV- 60 В). Упражнение 6.5. Спроектируйте стабилизатор на + 5 В на основе схемы 317. Обеспечьте регулировку напряжения в пределах +20% с помощью подстроеч- ного потенциометра. Существуют трехвыводные регулируе- мые стабилизаторы, рассчитанные на бо- лее сильные токи, например LM350 (3 А), LM338 (5 А) и LM396 (10 А), а также на более высокие напряжения, например LM317H (60 В) и TL783 (125 В). Внима- тельно изучите спецификации, прежде чем применять эти устройства, обращая внимание на требования к шунтирующе- му конденсатору и предложения относи- тельно диода защиты. Как и в случае с трехвыводными стабилизаторами с фи - сированным напряжением, имеются вари- анты схем с малым перепадом напряже- ний (например, у LT1085 перепад напря- жений между входом и выходом составля- ет 1.3 В при токе 3,5 А) и можно най- ти микромощные варианты ИМС (напри- мер, LP2951-регулируемый вариант 5- вольтового стабилизатора LP2950 с фик- сированным напряжением; оба имеют Iпокоя = 75 мкА). Можно также найти и ва-
362 Глава 6 Таблица 6.8. Стабилизаторы с фиксированным выходным напряжением Тип Корпус ит, В Точ- ность, % Выходной ток/мощность (макс.) 13 Стабилизация (тип.), мВ при 75 °C на кор- без радиатора23 по на;х I , р , грузке входу4» пусе А Вт А На положительную полярность LM2950CZ-5.0 LM2931Z-50 ТО-92 ТО-92 5 5 1 5 0,08 0,1 0,1 о,1 0,5 0,5 2 14 1,5 3 LM78LO5ACZ ТО-92 5 4 0,1 0,1 0,6 5 50 LM330T-5.07’ ТО-220 5 4 0,15 0,15 1,5 14 20 TL75OLO5 ТО-92 5 4 0,15 0,15 0,6 20 6 LM2984CT ТО-220 8) 5 3 0,5 0,5 2 12 4 LM2925T ТО-220 5 5 0,75 0,5 2 10 8 LM2935T ТО-220 5 5 0,75 0,5 2 10 8 LM309K ТО-3 5 4 1 0,6 2,2 20 4 LT1005CT ТО-220 5 2 1 0,5 2 5 5 LM2940T-5JO ТО-220 5 3 1 0,5 2 35 20 LM7805CK ТО-3 5 4 1 0,6 2,2 10 3 LM7805CT ТО-220 5 4 1 0,45 1,7 10 3 LM7815CT ТО-220 15 4 1 0,15 1,7 12 4 LT1086-5CT ТО-220 5 1 1,5 0,5 2 5 0,5 LAS16A05 ТО-3 5 2 2 0,75 2,8 зо* °) 1001О> LM323K ТО-3 5 4 3 0,6 2 25 5 LT1O35CK ТО-3 5 2 3 0,8 3 10 5 LT1O85-5CT ТО-220 5 1 3 0,5 2 5 0,5 LAS14A05 ТО-3 5 2 3 0,8 3 ЗО103 50,о> LT1003CK ТО-3 5 2 5 0,8 3 25 5 LT1084-5CK ТО-3 5 1 5 0,8 3 5 0,5 LAS19A05 ТО-3 5 2 5 0,8 3 30*°» 501О) LT1O83-5CK ТО-3 5 1 7,5 0,8 3 5 0,5 LAS3905 ТО-3 На отрицательную полярность 5 5 8 0,8 3 2О10’ 1ОО10’ LM79L15ACZ ТО-92 -15 4 0.1 0,05 0,6 75,О> 451С| LM7915CK ТО-3 -15 4 1 0,2 ?7 4 3 LM7915CT ТО-220 -15 4 1 0,15 1," 4 3 LM345K-5.0 ТО-3 -5 4 3 0,2 2,1 10 5 ° 1/и = 1,7517^. 2> Температура окр. среды 50 °C. 31 От 0 до 1ткс. 4) Для Д17вх« 15 В 5) температуры переходов от 0 до 100 °C. 6' На 1000 ч. Подобен LM2930T-5.0, LM2931T-5.0. 83 Широкий ТО-^ ” При I_____ 103 Мин. или макс. 113 Типичное значение. Все имеют внутренние схемы тепловой зашит** и ограничения тока. Большинство устройств рассчитано на значения х5, 6, 8, 10, 12, 18 и 24 В Некотор**' выпускаются со значениями —2, —3, —4, —5.2. —9, +2,6, ±9 и +17 В.
Стабилизаторы напряжения и источники питания 363 в. Входное Подавле- Темпера- Долго- Выходное полное Примечания >С/"Йт напряжение, ние пуль- турная времен- сопротивление. В саций стабиль- ная ста- Ом _ - 120 Гц ность, бильность, — мин.” макс. (тип.), (тип.)5’ (макс.)6’ 10 Гц 10 кГц дБ % % 160 5,4 30 70 10 — 0,01 0,5 Микромощный, 1% 160 5,3 26 80 — 0,4* *’ 0,1 0,2 Малый перепад, малая мощ- ность 160 7 35 50 — 0,25 0,2 0.2 Малый корпус; LM240LAZ-5.0 4 5,3 26 56 25 0,41и 0,1 0,2 Малый перепад; 2930 160 5,6 26 65 50 — — — TL751 имеет вход «разреше- ние» 3 5,5 26 70 3 0,4,и 0,01 0,02 Сдвоенный выход (цР); вос- становление, вкл./выкл. 3 5,6 26 66 — 0,4*1 > 0,2 0,2 Микропроцессор; с восста- новлением 3 5,5 26 66 — 0,4* ° 0,02 0,02 Сдвоенный выход (цР); вос- становление, вкл./выкл. 3 7 35 80 50 0,4 0,04 0,05 Оригинальный стабилизатор на +5 В 3 7 20 70 25 — 0,003 0,01 Сдвоенный выход (gP) 3 5,5 26 72 20 ОД11’ 0,03 0,03 3,5 7 35 80 30 0,4 0,01 0,03 LM340K-5 3 7 35 80 30 0.4 0,01 0,03 Популярный; ML340T-5 3 17 35 70 100 0,4 0,02 0,05 LM340T-15 3 6,3 30 63 25 1 — — Малый перепад 2,5 7,6 30 75 — — 0,002 0,02 Lambda; монолитный 2 7 20 70 30 0,7 0,01 0,02 1,5 7,3 20 70 25 — 0.003 0,01 Сдвоенный +5 В; у 1036 + 12/+5 В 3 6,3 30 63 25 1 — — Малый перепад 2,3 7,5 35 70 10010* — 0,001 0,003 Lambda; монолитный 1 7,3 20 66 25 0,7 0,003 0,02 1,6 6,3 30 63 25 1 — — Малый перепад 0,9 7,6 30 70 150,0> — 0,01 0,2 Lambda; монолитный 1,6 6,3 30 63 25 1 — — Малый перепад 0,7 7,6 25 601О) 100 — 0,004 0,01 Lambda; монолитный 160 -17 -35 40 0,4* “ 0,05 0,05 Малый корпус; LM320LZ-15 3,5 -16,5 -35 60 60 0,4 0.06 0,07 LM320KC-15 3 — 16,5 -35 60 60 0,4 0,06 0,07 LM320T-15 2 -7,5 -20 65 25 1,0 0,02 0,04
Таблица 6.9. Регулируемые стабилизаторы напряжения Тип Корпус Выходное /м„с, Стабилизация Входное Перепад Подав Темпе- Времен Выходное с4 го g Примечания напряжение А (тип), С/Вт напряжение, напря- пение ратур- ная ста- полное В ’Э н Й В % В жения, пульса ная ста- биль- сопротив- 3 я П при ции биль- ность4’ ление Ом и « о о мин. макс по на по вхо мин макс. 1мпс 120 Гц ность3> (макс) Ё & грузке4 ду2) (макс ), (тип ), (тип.), % 10 Гц 10 кГц ей О fl § В дБ % § И ей Е & Трехвыводные 2,5” 2,5” 65 65 LM317L LM337L + 10 92 - ТО 92 1,2 1,2 37 37 0 1 0,1 0,1 0,1 0,15 0,15 1607> 1607' — 40” -40” LM317H + ТО 39 1,2 37 0,5 0,1 0,2 12 — 40” 2” 80 LM337H - ТО 39 -1,2 -37 0.5 0,3 0,2 12 — -40” 2” 75 TI 783С + ТО 220 1,3 125 0,7 0,2” 0,02 4 —- 125” 10 50 LM317T + ТО 220 1,2 37 1,5 0,1 0,2 4 — 40” 2,5” 80 LM317HVK + ГО-3 1,2 57 1,5 0,1 0,2 2,3 — 60” 2,5” 80 LM337T - ТО-220 -1,2 -37 1,5 0,3 0,2 4 — - 40” 2,5” 75 LM337HVK - ТО 3 - 1,2 47 1,5 0,3 0,2 2,3 — — 50” 2,5” 75 LT1086CP + ТО 220 1,3 30 1,5 0,1 0,02 — — 30” 1,5 75 LM350K + ТО-3 1,2 32 3 0,1 0,1 2 — 35” 2,5” 80 IP3RO7T + ТО 220 1,2 37 3 0,1 0,08 2,3 — 15” 0,8” 65 LM333T - ТО-220 -1,2 -32 3 0,2 0,02 50 — -35” 2,5” 60 LT1085CT + ТО 220 1,3 30 3 0,1 0,02 3 30” 1,5 75 LM338K + ТО-3 1,2 32 5 0,1 0,1 2 — 35” 2,5” 80 LT1084CP + ТО-247 1,3 30 5 0,1 0,02 2,3 30” 1,5 75 LT1O83CP + ТО 247 1,3 30 7,5 0,1 0,02 1,6 — 30” 1,5 75 LM396K 1- ТО 3 1,2 15 10 0,4” 0,08 1 — 20” 2,1” 74 LT1038CK + ТО 3 Четырехвыво дные 1,2 32 10 0,1 0,08 1 — 35” 2,5” 60 + ТО 220 5 1 1"» 1” 7,5 7,5 40 2,5 80 0,5 1 0,07 4 V V Миниатюрный 0,5 — — V V Миниатюрный (отриц 317L) 0,6 0,3 0,01 0,03 V V 317 в корпусе ТО-39 0,5 0,3 0,02 0,02 V V Отрицательный 317Н 0,3 0,2 005 0,3 V V МОП-транзисторный высоковольтный 0,6 0,3 0,01 0,03 V V Популярный 0,6 0,3 0,01 0,03 V V Высоковольтный 317 0,5 0,3 0,02 0,02 V V Отрицательный 317 0,5 0,3 0,02 0,02 V V Высоковольтный 337 0,5 1 — — V V Малый перепад 0,6 0,3 0,005 0,02 V V Монолитный на 3 А — — — — V V Два нестабилизиро- ванных входа 0,5 0,2 — — V V Отрицательный 350; LT1033 - улучшен- ный вариант 0,5 1 — — V V Малый перепад 0,6 0,3 — — V V Монолитный на 5 А 0,5 1 — — V V Малый перепад 0,5 1 — — V V То же 0,3 1 001 0,02 V V Монолитный на 10 А 1 1 0005 0,1 V V То же, 1 %-ная точность з8’ — — — V V ' Есть в корпусе ТО-39 pA79CiLl К IAS15U + Hf-220 10 3 2.5 4 ю Ю 1,5 0,6"’ |=> 2"> 7,5 3 6,5 — 40 40 2е* 2,4 GO 70 3"> 38> — 0,003 0,07 V V Lambda LAS181J ГО-3 -2,6 30 1,5 0,6“’ 2” 3 - 5 40 2,1 60 38‘ — 0,02 0,04 V V То же LAS16U + ГО 3 4 30 2 0,6” 28) 2,5 6,5 35 2,6 70 2В) — 0,002 0,02 V V -»- LAS14AD + ТО-3 4 35 3 0,6” 1” 1,5 6,5 40 2,3 70 2” — 0,001 0,01 V V -»- LAS19U + ТО-3 4 30 5 0,6” 1” 09 6,5 35 26 65 2” — 0,0005 0,004 V V -»- LAS39U + то-3 4 16 8 0,6” 2«) 07 6,6 25 26 60” 3” — 0,002 0,01 V V -»- М ноговыводные LM376N LM3O4H ICL7663S + DIP-8 - ТО-5 5 0 1,3 37 40 16 0,03 0,03 0,04 0,2” 1 мВ 0,4” 0,6” 0,2 0,5 1907* 45 2007’ 9 -8 1,5 40 - 40 16 3 2 1” 60” 65 20 1” 0,3 1 0,01 — — - — Ори! инальный отрицательный стабилизатор Микромощный; кроме того, МАХ663 г DIP 8 МАХ664 DIP-8 -1,3 16 004 0,8” 0,5 1207’ -2 -16 0,3” 15 1 — — — — — Микромощный, LM3O5AH + ТО 5 4,5 40 005 0,03 0,3 45 8,5 50 3 80 0,3 0,1 улучшенный 7664; малый пе- репад LM2931CT + ТО-220 3 24 0,1 0,3 006” 3 3,6 26 0,3” 60” — 0,4 0,1” 0,2” V V Малый перепад, LP2951CN + DIP-8 1,3 29 0,1 01” 003” 105 1,7 30 0,4” 70” 0,5 — 0,01 0,5 V V малая мощность Малый перепад, LT1020CN 4 DIP 14 2,5 35 0,13 0,2 0,15 60? 4,5 36 04” 60 1 — — — V V микромощный Микромощный NE550N + DIP 14 2 40 0,15 0,03 008 1507’ 8,5 40 3 90 0,2 0,1” 0,1 0,1 — — ИА723РС + DIP 14 2 37 0,15 0,03 0,1 15O7> 9,5 40 3 75 0,3 0,1 0,05 0,1 — — Классический LAS 1000 + 10-5 3 38 0,15 01” 0,2 1507> 5 40 2 60” 1,5” — 0,004 0,05 V — Lambda, улучшен- LAS 1100 + 10 5 3 48 0,15 0,1” 02 1507> 5 50 2 60” 1,5” 0,004 0,05 V — ный 723 Высоковольтный SG3532J + DIP 14 2 38 0,17 0,1 0,1 1257’ 4,7 40 2 66 0,5 0,3 — — V LAS1000 Улучшенный 723 MC1469R + TO-66 2,5 32 0,6 0,005 0,05 7 9 35 3 100 0,2 — 0,05 0,1 — — Прецизионный, MC1463R — 10 66 -3,8 32 0,6 0,005 0,5 17 -9 - 35 3 90 0,2 — 0,02 0,03 — — может самовоз- буждаться Отрицательный МС1469 LM2941CT LAS2200 + TO 220 Модуль 1,3 2,5 25 28 1 5 0,2” 0,15” 3 2 9,6 26 40 1 2,5 74 60” 0,4 0,7” 04” 0,04 0,1 V V V Малый перепад LAS3000 + Модуль 2,7 29 10 0,2” 0,15” 1.3 7,9 40 2,5 60” 1,5” — — V V Гибридный Lam- bda, два неста- билизирован ных входа
При изменении от 10 до 50% /мввс. 21 Для ДПВХ а 15 В 3) Дивь1Х для изменения Тпер с 0 до 100°С. 4) На 1000 ч. 51 Макс. UB, - UBblx. 5) При 5 В 7) 0ПС. 81 Мин. 9) Типичное значение.
Стабилизаторы напряжения и источники питания 367 z-p. 1 мкФ рис. 6.29. Трехвыводной регулируемый стабилизатор. ^вых данные, приведенные ниже, типичны для большинства трех- и четырехвыводных стабилизаторов, как регулируемых, так и нерегулируемых. Они могут быть по- лезны при грубой оценке ожидаемых тех- нических характеристик. Допуск выходного напря- жения Падение напряжения Максимальное входное напряжение Неста- билизир. вход ------ >18В /tA78G Выход Вход Земля УПР- Яг 10 кОм м’| кОм1 +15 В (стаби- - визир.) 0-1А -—0,1 мкФ Подавление пульсаций Подавление всплесков 1-2% 0,5-2 В 35 В (за исключением TL 783, для которого + 125 В) 0,01-0,1% 0,1-0,3% Стабилизация по нагрузке 0,1-0,5% во всем диапа- зоне нагрузки Подавление нестабиль- ности входного напряже- ния постоянного тока 0,2% Температурная нестабиль- 0,5% по всему диапазону ность температур Рис 6.30 рианты схем на отрицательное напряже- ние, хотя их разнообразие меньше LM337-аналог (на отрицательное напря- жение схемы LM317 (1,5 A), a LM333- схемы LM350 (3 А). Четырехвыводные стабилизаторы. Если условия применения не слишком жесткие, то лучше всего использовать трехвывод- ные регулируемые стабилизаторы. Исто- рически они предшествовали четырехвы- водных. подключение которых показано на рис 6.30. На «управляющий» вывод подается часть выходного напряжения; стабилизатор регулирует выходное напря- жение, поддерживая на управляющем вы- воде фиксированное напряжение ( + 3,8 В Для стабилизаторов Lambda, указанных в табл. 6.9, -г-5 В для pA79G и —2.2 В для стабилизаторов отрицательного напря- жен* - Четырехвыводные стабилизаторы зачем не лучше более простых трехвы- водных (но и не хуже), и мы упоминаем 3Десь о них липть для полноты картины ^•18. Дополнительные замечания °Тй°сительно трехвыводных Сг>бвдвзаторов характеристики трех- и четырех- ^Дных стабилизаторов. Технические Увеличение коэффициента подавления пульсаций. На рис. 6.29 показана схема включения стандартного трехвыводного стабилизатора; работает она превосходно. Тем не менее добавление шунтирующего конденсатора 10 мкФ между выводом для регулировки и землей (рис. 6.31) увеличи- вает подавление пульсаций (всплесков) почти на 15 дБ (в 5 раз по напряжению). Например, коэффициент подавления пульсаций LM317 достигает 65-80 дБ (по- следнее значение соответствует 0,1 В пульсаций на выходе при подаче на вход напряжения с пульсацией 1 В). Позаботь- тесь о включении разрядного диода для безопасности, более детальную схему вы сможете составить, заглянув в техниче- ские данные конкретного стабилизатора. Стабилизаторы с малым падением на- пряжения. Как мы уже ранее упоминали, Рис. 6.31 Вывод «рег.» для снижения помех и пуль- сации можно зашунтировать подключив для обеспе- чения безопасности разрядный диод
368 Глава 6 для работы большинства стабилизаторов требуется по крайней мере 2-вольтовая «добавка». Это объясняется тем, что база проходного п-р- «-транзистора находит- ся под напряжением, которое выше напря- жения на выходе на 17БЭ, и должна запус- каться от транзистора-формирователя, как правило, другого п-р-«-транзистора, база которого подключена к токовому зеркалу. Это уже два падения иъэ. Далее, следует допустить еше одно падение Ub3 на резисторе-датчике тока для защиты схемы от короткого замыкания; взгляните на упрощенную схему 78Lxx на рис. 6,32, а. Три падения 1/БЭ добавляются к 2 В, ниже этого напряжения стабилиза- тор перестает работать на полном токе. С помощью проходного р л р-тран- зистора (или «-канального МОП-транзис- тора) «перепад» напряжения можно сни- зить, избавившись от трех UB3 в обычной п—р—«-схеме и довести его почти до нап- ряжения насыщения транзистора. На рис. 6.32,6 показана упрощенная схема LM330 нерегулируемого стабилизатора + 5 В (150 мА) с малым «перепадом» напряжения. С помощью проходного р-л-р-транзистора выход схемы можно установить в пределах напряжения насы- щения нестабилизированного входного напряжения. Исключив падение иВэ на паре Дарлингтона в л-р-«-стабилизато- ре, разработчики не собираются тратить падение на диоде в обычной (последова- тельный резистор) схеме защиты от ко- роткого замыкания. Они пользуются хит- роумным приемом: выводят часть выхоД' ного тока через второй коллектор. Этот ток составляет фиксированную долю вы* ходного тока и используется, как показа- но на рисунке, для отключения управл®' ния базой. Такая схема ограничения тока
Стабилизаторы напряжения н источники питания 369 не отличается точностью (/огр составляет 150 мА мин. и 700 мА макс.), но она достаточно эффективна для защиты ста- билизаторов, которые имеют, помимо то- го, внутреннюю тепловую защиту. Выпускается большое число распрост- раненных типов стабилизаторов с малым «перепадом» напряжения, например трех- выводные нерегулируемые (LM2931, LM33O, LT1083/4/5 (5 и 12 В), TL750), трехвыводные регулируемые (LT1083/4/5, LM2931) и микромощные (LP2950/1, МАХ664, LT1020). В табл. 6.8 и 6.9 вклю- чены все стабилизаторы с малым «пере- падом», выпускаемые промышленностью на момент подготовки этого издания. Стабилизаторы, ориентированные на процессоры Для работы электронных устройств, содержащих микропроцессоры (гл. 10, 11), необходимо нечто большее чем простое стабилизированное напряже- ние Для того чтобы сохранить содержи- мое энергозависимой памяти (и данные необходимые для восстановления рабо- ты), следует предусмотреть отдельный слаботочный источник постоянного на- пряжения он может понадобиться при отключении устройства или в связи с вы- ходом из строя источника питания. Кроме того, эти устройства должны «знать», ког- да обычный источник питания работо- способен с тем, чтобы «проснуться» в из- вестном состоянии Более того, микро- процессорным устройствам может пона- добиться несколько миллисекунд перед окончательным выходом из строя обыч- його источника для того, чтобы успеть передать данные в «безопасную» память. До недавнего времени вы должны были =ами проектировать для этих целей до- полнительные схемы. Теперь жизнь стала легче -вы можете приобрести ИС стаби- лизаторов, ориентированные на (микро)- Ироцессоры, с различными сочетаниями Встроенных функций Иногда эти ИС про- х°Дят под наименованием «ИС-наблюда- Тели Для источников питания» или «сто- №Жевые> ИС. Существует, например, ^2984, которая имеет два сильноточных ь^ода +5 В (один-для микропроцессо- ру Другой-для остальных схем), слабо- т°ЧНый выход +5 В (для памяти) и вы- ход отсроченного флажка ПЕРЕЗАПУСК для инициализации вашего микропроцес- сора после восстановления питания и вход управления включением/выключением для сильноточных выходов. Кроме того, у нее есть вход, который следит за рабо- той микропроцессора, восстанавливая работу процессора, если тот был вынуж- ден остановиться. Примером сторожевой ИС без стабилизатора мбжет служить МАХ691 фирмы Maxim, которая следит за стабилизированным напряжением пи- тания и работой микропроцессора и посы- лает сигналы сброса (и «прерывание») в микропроцессор точно также, как LM2984. Однако в дополнение к функ- циям LM2984, она содержит Схему пред- упреждения об отказе источника питания и схему переключения на батарейное пи- тание В сочетании с обычным стабилиза- тором + 5 В ИС МАХ691 делает все, что необходимо для обеспечения жизнеспо- собности микропроцессора. В гл. 10 и 11 мы поближе познакомимся с обслужива- нием и питанием микропроцессоров. Микромощные стабилизатора. Как мы уже упоминали, большинство ИС стаби- лизаторов потребляют несколько милли- ампер тока покоя для питания источников эталонного напряжения и усилителей ошибки. Если устройство работает от се- ти переменного тока, то это не страшно; для батарейных устройств, работающих от 9-вольтовых щелочных аккумуляторов емкостью 400 мА-ч, это нежелательно, и совсем недопустимо для микромощных приборов, которые должны работать ты- сячи часов, допустим, от одной батареи. Выход из положения-это микромощ- ные стабилизаторы. Самыми «скупыми» из них являются ICL7663/4, положитель- ный и отрицательный регулируемые ста- билизаторы с токами покоя 4 мкА. При таком токе 9-вольтовая батарея может существовать 100 000 часов (более 10 лет), что превышает срок сохранности (время саморазряда) любой батареи, за исклю- чением некоторых литиевых батарей. Бо- лее полно о микромощных схемах мы расскажем в гл. 14. Двухполярные стабшязирпшмвыг ис- точники питания. Большинство описанных
370 Глава 6 а 6 Рис. 6.33. Двухполярные стабилизированные источники питания в гл. 4 схем операционных усилителей ра- ботали от симметричных биполярных ис- точников питания, обычно ±15 В. Это общее требование в аналоговой схемотех- нике, где приходится работать с сигна- лами, как правило, вблизи уровня земли, и самым простым способом формирова- ния симметричного расщепленного пита- ния является использование пары трех- выводных стабилизаторов. Для того что- бы сформировать, например, стабилизи- рованные напряжения ± 15 В вы использовать ИС 7815 и 7915 (рис. 6.33, а) Мы предпочитаем использовать регули* руемые трехвыводные стабилизаторы по* тому, что а) на каждую полярность и Диа' пазон токов необходимо иметь ль*0 один тип и б) в случае необходимости можно точно подстроить напряжение- рис. 6.33,6 приведена схема на ИС 31 и 337 Сдвоенные стабилизаторы. Возмоя^0’
Стабилизаторы напряжения и источники питания 371 Рис. 6.34. Двухканальный стабилизатор ры». Больше не удивляйтесь - они есть и известны как «сдвоенные следящие ста- билизаторы». Для того чтобы понять, почему они носят такое сложное название, взгляните на рис. 6.34, на котором показа- на классическая схема сдвоенного стаби- лизатора. Д-проходной транзистор для традиционного положительного стабили- зированного источника. Положительный стабилизированный выход используется в качестве опорного напряжения для от- рицательного источника. Нижний усили- тель ошибки управляет отрицательным выходом, сравнивая среднее значение двух выходных напряжений с уровнем земли и создавая, таким образом, равные положительный и отрицательный стаби- лизированные выходы 15 В. Схема поло- жительного источника может быть любой из тех, которые мы уже рассматривали; если это регулируемый стабилизатор, то отрицательный выход отслеживает лю- бые изменения положительного стабили- зированного выхода. На практике в схему у вас возникнет вопрос, почему, коль ско- ро стабилизированные расщепленные ис- точники так необходимы, отсутствуют «сдвоенные трехвыводные стабилизато- включают токоограничиваюшие цепи, не показанные для простоты на рисунке. Как и однополярные стабилизаторы, сдвоенные выпускаются в виде полностью 10мкФ+|5в при 100 мА —► -15 В при 100 мА 10 мкФ 0m+18 до+30 В (нестабили- ------------------ зир.) —+увх 4195 От-18 до-30 В— (нестабили- ------ зир) вх Земля а 6.35
Таблица 6.10. Сдвоенные стабилизаторы Ц» = I'6 1,««(ДЛЯ каждою исiочника) 21 Для внешней температуры 50 4? 3) От 10 до 50%/мак€. 41 Для ЛС„ = 15 В. 5) Al/BliIS для Гпер от 0 ло 100 С. 6) От 100 Гц до 10 кГц. 71 Предназначен для использования с двумя внешними проходными 1ранзисторами. 8) ®,|С. 9) Перепад 10 В (для каждого источника). ,0’ От 10 Гц до 100 к! ц. Макс.
абилиза ры напряжения и источники питания 373 итерированных схем нерегулируемых и ^даруемых версий, хотя и в существен- 06 меньшей номенклатуре. В табл. 6.10 Аечислено большинство из доступных D настоящее время типов. Типичными яв- иотся стабилизаторы 4194 и 4195 фирмы Raytheon, включение которых показано нарис. 6.35. 4195-это стабилизатор с за- водской подстройкой с выходами + 15 В, в то время как симметричные выходы 4194 регулируются с помощью единствен- ного резистора Rx. Оба стабилизатора выпускаются в мощных корпусах и в не- больших корпусах DIP; оба содержат схе- мы отключения по температуре и ограни- чения по току. Для того чтобы получить большие выходные токи, можно добавить внешние проходные транзисторы (см. ниже). Многие из предшествующих стабили- заторов можно соединить как сдвоенные стабилизаторы (например, четырехвывод- ные регулируемые стабилизаторы). В спе- цификации изготовителя часто рекомен- дуется схема включения. Идею использо- вания выхода одного источника в качестве опорного для другого источниа можно применить даже в том случае, когда на- пряжения не равны и не противоположны по знаку. Например, коль скоро у вас есть источник стабилизированного напряже- ния + 15 В, вы можете его использовать Для того, чтобы сформировать стабилизи- рованный выход + 5 В, или даже стабили- зированный выход —12 В. ^Нжвеняе 6.6. Используя ИС 4194, спроекти- стабилизатор на ± 12 В. дошита от включения обратной поляр- W£W*’c*. Использование сдвоенных источ- 58X08 требует дополнительной меры ^осторожности: при нарушении поляр- почти все электронные схемы под- ^гаются сильным повреждениям. С од- источником это может произойти 3п8Ько при неправильном подключении к^Водник°в; иногда для защиты от та- °шибки схему запараллеливают мощ- Рати вьшРямителем> включенным в об- °м направлении. В схемах с несколь- источниками питания (например, с щепленным питанием) возможны об- ширные повреждения, если возникает от- каз компонента схемы, который приводит к закорачиванию двух источников; до- вольно распространенный случай-это за- корачивание «коллектор-эмиттер» в од- ном из транзисторов двухтактной пары, работающей от двух источников. При этом два источника оказываются объеди- ненными друг с другом и один из стаби- лизаторов выходит пс бедителею Вследст- вие этого напряжение другого источника меняет полярность и схема начинает «дымиться». Для предупреждения пос- ледствий таких отказов между каждым стабилизированным выходок и землей следует включить мощный диод в обрат- ном направлении (например, 1N4004), .как это сделано в схеме на рис. 6.33. Внешние проходные транзисторы. Трехвыводные стабилизаторы с фиксиро- ванным напряжением выпускаются йа выходные токи 5 А и более, нанример подстраиваемый 10-амперный LM396. Вместе с тем работа с такими опылим токами может оказаться нежелательной, поскольку максимальная рабочая темпе- ратура для этцх кристаллов меньше, чем для мощных транзисторов, что приводит к необходимости использовать мощные радиаторы. Кроме того, они достаточно дороги. Альтернативное решение заклю- чается в использовании внешних проход- ных транзисторов,,которые можно доба- вить к трех- и четырехвыводным стабили- заторам (и двухканальным стабилизато- рам) точно также, как в классическом стабилизаторе 723. Базовая схема показа- на на рис. 6.36. При токах менее 100 мА схема работает обычным образом. При больших токах нагрузки падение на Rr открывает тран-* Рис. 6.36. Трехвыводной стабилизатор с внешним транзистором для увеличения тока.
374 Глава 6 Рис. 6.37. Токоограничивающая схема для усилителя на внешнем транзисторе. зистор 7\ и реальный ток через трех- выводной стабилизатор ограничивается величиной 100 мА. Трехвыводной стаби- лизатор поддерживает требуемое значе- ние напряжения на выходе, снижая, как и ранее, входной ток и, следовательно, управляя транзистором при увеличе- нии выходного напряжения, и наоборот. Он даже не знает, что нагрузка по- требляет больше 100 мА! В этой схеме входное напряжение должно превышать выходное на величину перепада 78хх (2 В) плюс * На практике эту схему следует несколь- ко модифицировать для того, чтобы обес- печить ограничение по току для Тг, кото- рый в противном случае может отдавать ток R h2l3 раз превышающий максималь- ный внутренний ток стабилизатора, т е. 20 А и более! Этого вполне достаточно для разрушения транзистора 7\, как и той несчастной нагрузки, которая в это время была подключена Два способа ограниче- ния тока показаны на рис. 6.37. Транзистор Т2 в обеих схемах является сильноточным проходным транзистором, а резистор между его эмиттером и базой выбран таким образом, чтобы транзистоп открывался при токе нагрузки 100 мд В первой схеме транзистор Тг реагируй на ток нагрузки за счет падения напряже- ния на R3 и ограничивает запуск традзис- тора Т2, если это падение превыщает падение на диоде Схема имеет два не- достатка: входное напряжение должно те- перь превышать стабилизированное вы- ходное на падение напряжения на трех- выводном стабилизаторе плюс падение на двух диодах для токов нагрузки вблизи максимального тока. Кроме того, тран- зистор Тг должен выдерживать большие токи (до максимального i ока стабилиза- тора), так как из-за малого сопротивления резистора в базе Тх трудно реализовать ограничивающую схему с обратным на- клоном характеристики Во второй схеме эти недостатки устра- нены за счет некоторого усложнения. В сильноточных стабилизаторах для умень- шения мощности рассеяния до приемле- мого уровня важно добиться малого пере- пада напряжении Чтобы получить в по- следней схеме характеристику с обратным наклоном, можно просто подключить ба- зу к делителю между коллектором и землей, а не к коллектору Т2. К регулируемым трех- и четырехвывод- ным стабилизаторам внешние проходные транзисторы подключаются точно также. Детали можно понять, заглянув в специ- фикации изготовителей. Источник тока. Из трехвыводного регу- лируемого стабилизатора можно легко сделать мощный источник постоянного тока На рис. 6 38 показан такой источник на 1 А. Добавление повторителя на опера- ционном усилителе, как это сделано ва второй схеме, может понадобиться в том случае, если схема используется для фоР" мирования малых токов, поскольку вход «регулировка» вносит в выходной ток ошибку порядка 50 мкА. Как и для Ранее описанных стабилизаторов, здесь имеется внутреннее ограничение по току, зад#1* от тепловой перегрузки и зашита от вМ' хода за пределы области безопасно работы. Упражнение 6.7. Спроектируйте регулируемый точник тока на диапазон токов от 10 мкА до 1
I Стабилизаторы напряжения н источники питания 375 используя схему 317. Каков будет диапазон напря- жении на выходе, если UBX = +15 В? Перепад на- пряжения примите равным 2 В. Заметьте, что источник тока на рис. 6.38, а является двухвыводным эле- ментом Следовательно, нагрузку можно подключить с любой стороны. На рисунке показано, как можно осуществить отвод тока от нагрузки, подключенной к земле 'разумеется, вы всегда можете использо- вать схему 337 с отрицательной поляр- ностью, включив ее так же, как показано на рис. 6.38, а). Фиома National выпускает специальный чрехвыводной прибор LM334, предназна- ченный для использования в качестве ма- чомощного источника тока. Он поступает 8’Небольшом пластмассовом транзистор- ном корпусе (ТО-92), а также в стандарт- ам корпусе DIP. Вы можете использо- ^ТЬ его любым способом до нижней аниць тока 1 мкА, поскольку ток регу- лирования является лишь малой долей ’ФШего тока Этот элемент имеет, однако, особенность: выходной ток зависит > температуры, даже точно пропор- °Нален абсолютной температуре. Так Рис. 6.38. Источники тока на операционном усилителе. что, хотя он и не самый стабильный источник тока в мире, вы можете исполь- зовать его в качестве температурного дат- чика (разд. 15.01)! 6.19. Импульсные стабилизаторы и преобразователи постоянного тока Все схемы стабилизаторов напряжения, которые до сих пор рассматривались, ра- ботают одинаково: последовательно не- стабилизированному напряжению посто- янного тока включается линейный управ- ляющий элемент (проходной транзистор) с обратной связью, которая поддерживает на постоянном уровне выходное напря- жение (или, может быть, постоянный ток). Выходное напряжение всегда ниже неста- билизированного входного напряжения и на управляющем элементе рассеивается некоторая мощность (или точнее, среднее значение 7ВЫХ(СВХ — Небольшая ва- риация этой темы-параллельный стаби- лизатор, в котором управляющий эле- мент включается не последовательно с на- грузкой, а между выходом и землей; при-
376 Глава 6 Рис. 6.39. Два вида стабилизаторов: а-линейный (последовательный), б-повышающий импульсный. мер-простой резистор плюс стабили- трон. Существует другой способ генерации стабилизированного напряжения постоян- ного тока, принципиально отличный от того, что мы видели до сих пор; взгляните на рис. 6.39. В таком импульсном ста- билизаторе транзистор, работающий в ре- жиме насыщенного ключа, периодически на короткое время прикладывает к ка- тушке индуктивности полное нестабили- зированное напряжение. Ток катушки по- является на каждом импульсе, запасая энергию 1/2Ы2 в ее магнитном поле; за- пасенная энергия передается на конденса- тор вых. сглажив. фильтра (чтобы под- держать напряжение и ток в нагрузке на выходе между импульсами заряда). Как и в линейных стабилизаторах, выход по обратной связи сравнивается с эталонным напряжением, но в импульсных стабили- заторах управление выходом осуществля- ется за счет изменения длительности им- пульсов генератора или частоты переклю- чения, а не за счет линейного управления базой или затвором. Импульсные стабилизаторы обладают необычными свойствами, которые делают их очень популярными: так как управ- ляющий элемент либо выключен, либо насыщен, рассеивается очень маленькая мощность; таким образом, импульсные стабилизаторы чрезвычайно эффективны даже при большом падении от входа до выхода. <Импульсники (слэнг для «им- пульсные источники питания») могут ге- нерировать выходное напряжение, пре- вышающее нестабилизированное входное напряжение (рис. 6.39,6); они позволяют также довольно просто генерировать на- пряжение противоположной полярности! Наконец, импульсники можно сделать без цепи постоянного тока между входом и выходом; это означает, что они могут работать прямо от шины питания с вы- прямленным напряжением без сетевого трансформатора! В результате получается очень маленький, легкий и эффективный источник постоянного тока. По этим при- чинам импульсные источники питания ис- пользуются почти во всех компью ерах. Импульсные источники питания имеют и свои проблемы. Выход по постоянному току содержит некоторый «шум» пере- ключения. который может попадать в ши- ну питания. Как правило, у них скверная репутация в отношении надежности-при катастрофическом отказе иногда возника- ют зрелищные пиротехнические эффекты. Однако большинство этих проблем ре- шаются, и в настоящее время импульс- ные источники прочно обосновываются в электронных приборах и компьютерах. В этом разделе мы в два этапа рас- скажем все об импульсных источниках питания. Сначала мы опишем базовый импульсный стабилизатор, работающий от традиционного нестабилизированного источника постоянного тока. Существую1 три схемы, используемые для а) пони»-' ния (выходное напряжение меньше вход- ного), б) повышения (выходное напряже- ние больше входного) и инвертирования (полярность выходного напряжения ПР°‘ тивоположна полярности входного) ва| пряжения. Затем мы сделаем радикал1’ ный шаг-опишем «еретические» (и яа0 более широко используемые) схемы- PJ ботающие прямо от шины питания с прямленным напряжением без изолирУ10
Стабилизаторы напряжения н источники питания 377 pgC 6.40. Понижающий импульсный источник пита- вия- щего трансформатора. Оба вида источни- ков питания сейчас широко используются, поэтому наш подход достаточно практи- ченцправда, не совсем педагогичен). В за- ключение мы дадим массу советов: когда использовать «импульсники», когда сле- дует избегать их; когда проектировать свои собственные и когда покупать их. Короче говоря, мы постараемся развеять все ваши сомнения. Понижающий стабилизатор. На рис. 6.40 показана основная понижающая импульс- ная схема; обратная связь для простоты не показана. Если МОП-ключ замкнут, то к индуктивности прикладывается напря- жение Свых — С7ВХ, которое вызывает по- явление линейно увеличивающегося тока (вспомним dl/dt = U/L) в индуктивности. (Этот ток течет, конечно, к нагрузке и конденсатору). Когда ключ открывается, ток индуктивности продолжает протекать в том же направлении (вспомните, что индуктивности не могут сразу же изме- нить свой ток, как это следует из по- следнего уравнения) теперь уже через ограничивающий диод. Выходной конден- сатор работает как энергетический «ма- ховик», сглаживая неизбежно возникаю- щие пилообразные пульсации (чем боль- ше емкость конденсатора, тем меньше пульсации). Ток индуктивности выделяет на ней напряжение (7ВЫХ — 0,617, при этом ток начинает линейно убывать. Соответ- ствующие формы тока и напряжения по- казаны на рис. 6.41. Для того чтобы за- вершить схему и придать ей вид стабили- затора, вы должны, конечно, добавить обратную связь, которая будет управлять либо длительностью импульсов (при по- стоянной частоте повторения), либо час- тотой повторения (при постоянной дли- тельности импульсов) по выходу усили- теля ошибки, сравнивающего выходное напряжение с эталонным.
378 Глава 6 На рис. 6.42 показан слаботочный ста- билизатор + 5 В на базе схемы МАХ638 фирмы Maxim. Этот превосходный кристалл предлагает вам на выбор либо фиксированный выход +5 В (без внешне- го делителя), либо регулируемый поло- жительный выход с внешним резистив- ным делителем. Почти все компоненты стабилизатора умещаются в традици- онном корпусе мини-DIP. Генератор в МАХ638 работает на постоянной часто- те 65 кГц, причем усилитель ошибки либо подключает, либо отключает импульсы управления затвором в соответствии с выходным напряжением. КПД схемы со- ставляет примерно 85% и почти не за- висит от входного напряжения. Сравните это с линейными стабилизаторами, решив следующие задачи Упражнение 6.8. Каков максимальный теоретичес- кий КПД линейного (последовательного проходного) стабилизатора при использовании его для генерации стабилизированногс напряж ния +5 В по нестабили зированному входу +12 В? Упражнение 6.9. Что можно сказать об отношении выходного тока к входному для понижающего импульс- ного стабилизатора с высоким КПД? Каково это отношение токов для линейного стабилизатора? Повышающий стабилизатор; инверти- рующий стабилизатор. За исключением высокого КПД понижающий импульсный стабилизатор, рассмотренный в преды- дущем параграфе, не имеет существенных преимуществ (только существенные не- достатки-число компонент, шум пере- ключения) перед линейным стабилизато- ром. Однако импульсные источники ста- новятся по-настоящему весьма притяга- тельными, когда необходимо, чтобы вы- ходное напряжение было больше входно- го нестабилизированного или когда по- лярность выходного напряжения должна быть обратной полярности входного не- стабилизированного. На рис. 6.43 пока- заны основные схемы повышения (или «подъема») и инвертирования напряже- ния. Повышающую схему мы уже показы- вали на рис. 6.39, а для сравнения с ли- нейным стабилизатором. Когда ключ замкнут (точка х вблизи земли), ток в индуктивности возрастает; когда ключ разомкнут, напряжение в точке х быстро Рис. 6.43. Две схемы переключающего элемента- а-повышающая; б-инвертирующая. возрастает, поскольку индуктивность пы- тается сохранить величину тока. Диод открывается и индуктивность закачива- ет» ток в конденсатор. Выходное напря- жение может быть много больше вход- ного. Упражнение 6.10. Изобразите формы колебаний для повышающего «импульсника», показав напряже- ние в точке х, ток в индуктивности и выходное напряжение Упражнение 6.11. Почему повышающую схему нельзя использовать как понижающий стабилизатор? Инвертирующая схема показана на рис 6.43, б. Когда ключ замкнут, от точки х к земле протекает линейно возрастаю- щий ток. Для того чтобы сохранить ток при размыкании ключа, индуктивность «тянет» точку в отрицательную область настолько, насколько это необходимо для сохранения тока Однако ток теперь вте- кает в индуктивность через сглаживаю- щий конденсатор. Выход, таким образом, будет отрицательным, а его среднее зна- чение будет больше или меньше величяйЫ входного напряжения (что определяется обратной связью); другими словами, ин- вертирующий стабилизатор может быть как повышающим, так и понижающим- Упражнение 6.12. Изобразите формы колебаний для инвертирующего импульсного источника, показа® напряжение в точке х, ток в индуктивности И вЫ ходное напряжение На рис. 6.44 показано, каким образом можно использовать маломощные ИМ*
Стабилизаторы напряжения и источники питания 379 Вход +12 В Рис. 6.44 Двухполярный импульсный источник питания. пульсные стабилизаторы для получения напряжений +15 В от одного автомо- бильного аккумулятора +12 В; с линей- ными стабилизаторами такой прием не пройде- Здесь мы вновь использовали ыаломошю ? ИС с фиксированным вы- водом фирмы Maxim, в данном случае повышающий стабилизатор МАХ633 и инвертирующий стабилизатор МАХ637. доказанные на рисунке внешние компо- Веаты были выбраны в соответствии со Унификациями изготовителя. Они не °Иень критичны, но, как это всегда бывает 6 электронных схемах, существуют ком- промиссы Например, чем больше вели- индуктивности, тем меньше пиковые токи и выше КПД, но за счет макси- мально допустимого выходного тока. До тех пор пока входное напряжение не пре- вышает выходное, схема менее чувстви- тельна к входному напряжению она бу- дет работать и при +2 В, но максималь- ный выходной ток существенно пони- зится. Перед тем, как оставить тему инверти- рующих и повышающих стабилизаторов, мы хотели бы заметить, что существует и другой путь для достижения тех же самых целей, а именно, «переключаемые конденсаторы» Основная идея заключа- ется в том, чтобы использовать МОП- ключи для заряда конденсатора от входа
380 Глава 6 постоянного тока и затем за счет изме- нения состояния ключей подключения за- ряженного конденсатора последовательно к другому конденсатору (повышение на- пряжения) или обратной полярностью к выходу (инвертирование). Преобразова- тели напряжения с цереключаемыми кон- денсаторами обладают определенными преимуществами (нет индуктивностей) и некоторыми недостатками (низкая мощ- ность, малые пределы регулирования, ограниченное напряжение). Мы обсу* дим их нерколько позже в этой же главе. Общие замечания относительно импульс- ных стабилизаторов. Как мы уже видели, способность импульсных источников по- вышать и инвертировать напряжение де- лает их весьма привлекательными для создания, скажем, слаботочных источни- ков питания на +12 В прямо на пол- ностью цифровой во всех других отно- шениях плате с питанием +5 В/ Такие биполярные источники часто необходимы для питания «последовательных портов» (более подробно см. гл. 10 и 11) или линейных схем на операционных усили- телях или схем с ЦАП и АЦП. Еще одно полезное применение повышающих им- пульсных источников-это питание дис- плеев, для работы которых необходимо тносительно высокое напряжение, на- пример дисплеев, использующих люмине- сцентную или плазменную технологии. В тех применениях, где входное напря- жение постоянного тока (обычно + 5 В) уже стабилизировано, вы часто произно- сите «преобразователь постоянного то- ка», а не «импульсный стабилизатор», хотя в действительности это одно и то же. Наконец, в оборудовании на батарейном питании вам, как правило, хочется по- лучить высокий КПД во всем диапазоне напряжений батареи; например, 9-вольто- вый «транзисторный» щелочной аккуму- лятор начинает свою жизнь примерн > с 9,5 В и постепенно, угасает к концу своей полезной жизни до 6 В. Маломощный понижающий стабилизатор на +5 В со- храняет свою высокую эффективность, увеличивая ток, на протяжении всего сро- ка службы батареи. Следует отметить, что индуктивность и конденсатор в импульсном стабилиза- торе не работают как LC-фильтр. ДЛя простого понижающего стабилизатора еще могут быть какие-то сомнения, но очевидно, что схема, которая инвертирует уровень постоянного тока, едва ли явля- ется фильтром! Катушка индуктивности представляет собой запасающее энергию устройство без потерь (запасенная энер- гия равна 1/2L/2), способное преобразо- вывать импеданс для того, чтобы сохра- нить энергию. Это точное определение с физической точки зрения, в котором отражен тот факт, что энергия заключена в магнитном поле. Мы обычно привыкли рассматривать конденсатор как устройст- во, хранящее энергию (запасенная энергия равна 1/2С172), которое выполняет свою функцию в импульсных источниках пи- тания, как и в традиционных последова- тельных стабилизаторах. Немного терминологии. Вы иногда встречаете словосочетания «импульсный стабилизатор с ШИМ» и «стабилизатор с токовым режимом». Они относятся к конкретному способу изменения им- пульсных колебаний в соответствии с сиг- налом обратной связи (сигналом ошиб- ки). В частности, ШИМ означает широт- но-импульсную модуляцию, в которой сигнал ошибки используется для управле- ния длительностью импульса (при фикси- рованной частоте), в то время как при управлении в токовом режиме сигнал ошибки используется для управления пи- ковым током индуктивности (определяет- ся с помощью резистора) посредством изменения интервала между импульсами. Стабилизаторы в токовом режиме обла- дают существенными достоинствами и становятся все более популярными в свя- • зи с тем, что теперь выпускаются хорош06 ИС-контроллеры в токовом режиме. При рассмотрении любого импульсно го источника не забывайте о помех • создаваемых процессом переключен0^ Они могут быть трех видов: а) ПУЛЬС\< на выходе на частоте переключение обычно порядка 10-100 мВ (Ра3^Д б) пульсации также на частоте веРе11оЯ. чения, которые накладываются на на
Стабилизаторы напряжения и источники питания 381 жение входного источника; в) помехи из- лучения на частоте переключения и ее гармониках из-за импульсных токов в ин- дуктивности и проводниках. Можно ока- заться в весьма трудной ситуации при использовании импульсных источников в схемах с сигналами низкого уровня (скажем, 100 мкА и менее). Хотя тща- тельное экранирование и фильтрация мо- гут решить все эти проблемы, но лучше, по-видимому, в подобных случаях с са- мого начала использовать линейные ста- билизаторы. Импульсные источники с питанием от сети. Мы уже упоминали, что импульсные источники обладают высоким КПД, даже если выходное напряжение сильно отли- чается от входного. Это может помочь нам рассматривать индуктивность как «преобразователь импеданса», поскольку средний постоянный выходной ток может быть больше (при понижении) или мень- ше (при повышении), чем средний посто- янный входной ток. Это полностью про- тивоположно тому, что происходит в ли- нейных последовательных стабилизато- рах где средние значения входного и вы- ходного токов всегда равны (если не учи- тывать, конечно, тока покоя схемы ста- билизатора). В связи с этим появляется превосходная ВДея можно исключить тяжелый пони- жающий сетевой трансформатор, если стабилизатор подключить прямо к вы- прямленному и отфильтрованному напря- жению переменного тока. Два промежу- точных замечания: а) входное напряже- ние постоянного тока будет равно при- мерно 160 В (при сети переменного тока 115 В), т.е. схема будет довольно опасна для того, чтобы в ней копаться! б) от- сутствие трансформатора означает, что вход постоянного тока не будет изоли- рован от сети. Следовательно, импульс- ную схему следует модифицировать для того, чтобы обеспечить изоляцию. Обычный способ изолирования им- пульсной схемы заключается в намотке вторичной обмотки на энергозапасаю- щую индуктивность и использовании изо- лирующего элемента (либо трансформа- тора, либо оптоизолятора) для обеспече- ния обратной связи на импульсный гене- ратор; взгляните на упрощенную схему, показанную на рис. 6.45. Заметьте, что генератор питается от высоковольтного нестабилизированного постоянного на- пряжения. в то время как схемы управ- ления обратной связью (усилитель ошиб- ки, эталонный источник) питаются от ста- билизированного выходного напряжения. Иногда для питания управляющих эле- ментов используется вспомогательный слаботочный нестабилизированный источ- ник (со своим собственным низковольт- ным трансформатором на 60 Гц). Квад- + 160 В Рвс. Импульсный источник с питанием от сети переменного тока.
382 Глава 6 3A/2SOv Рис. 6.46. Импульсный источник питания используемый в персональном компьютере Tandy модель 2000. Обратная связь с выхода + 5 В осуществляется через оптрон 172А- U2B (с разрешения фирмы Tandy Corporation)- ВП-верхний порог; НП-нижний порог. ратик, обозначенный «изоляция», часто представляет собой небольшой импульс- ный трансформатор, хотя может исполь- зоваться и оптическая изоляция (более подробно об этом позже). Может показаться, что преимущества безтрансформаторного нестабилизиро- ванного питания сводятся на нет в связи с необходимостью иметь, по крайней ме- ре, два других трансформатора! Это не так. Размеры трансформатора < предел51' ются размерами сердечника, которые ре3' ко снижаются на высоких частотах. В Р6' зультате импульсные источники с пита нием от сети намного меньше и легче,чеМ аналогичные линейные источники; крс*1 того, благодаря своему высокому М они работают в более благоприятном теС
Стабилизаторы напряжения и источники питания 383 Вторичн. земля ОВЫХ.+12В 0.25?-2,1 А Per Комп, пластик ТПП OJ4 Вентил. DrCNM|/r .|йг-"ия ♦ И1М. ин. --ЦО1 —Вторичн. земля ОВЫХЛ5В 3,5 i-13,5 В пиоог ° Вых. -12 В 0,005т 02 Л О л -г Вентил. л°вом режиме. Фирма Power-One вы- 0X3 т, например, оба типа источников питания. Сравнивая ее модель F5-25 (5 В, А) линейного источника со сравнимой ^Чене моделью SPL130-1005 (5 В, 26 А) ~*пУльсного источника, мы обнаружива- 2 ’ что импульсный источник весит /Фунта, линейный -19 фунтов; импульс- 448 занимает всего 1/4 объема линейного. Более того, «импульсник» при работе не нагревается, а 19-фунтовый линейный, рассеивая 75 Вт при полной нагрузке, ста- новится горячим. Пример реального импульсного источни- ка. Для того чтобы вы почувствовали реальную сложность импульсных источ- ников с питанием от сети, на рис. 6.46 мы воспроизводим полную схему коммерчес-
Стабилизаторы напряжения и источники питания 385 384 Глава 6___________________________ кого импульсного источника, используе- мого фирмой Tandy (Radio Shack) в ка- честве источника питания для своего пер- сонального компьютера модели 2000 Мы пытались получить схемы от фирм IBM и Apple, но нас либо игнорировали, либо отказывали с явным высокомерием Фир- ма Tandy, напротив, публикует превос- ходную документацию с полными схема- ми и подробным описанием. Этот источ- ник дает стабилизированные выходные напряжения +5 В при 13 А, +12 В при 2,5 А и —12 В при 0,2 А (всего 95 Вт), которые используются для питания логи- ческих схем и накопителей на гибких маг- нитных дисках компьютера. Пройдемся по схеме рис 6.46, чтобы посмотреть, как импульсный источник с питанием от сети справляется с реаль- ными задачами. Топология схемы, вы- бранная проектировщиками фирмы Tan- dy, точно соответствует тому, что пока- зано на рис. 6 45, хотя и имеет большее число компонентов’ Сравните рисунки Мостовой выпрямитель (BR1), питаю- щийся от сети, заряжает конденсаторы фильтра С30, С31, С32 и С40(7р2~это не трансформатор, обратите внимание на включение, а фильтр подавления помех). Заряженные конденсаторы подключаются к первичной обмотке трансформатора (выводы 1 и 3) с помощью мощного транзистора Т15, переключающее колеба- ние (прямоугольный импульс переменной длительности фиксированной частоты) для которого вырабатывается ИС U3 (импульсным стабилизатором с ШИМ). Вторичная обмотка (на самом деле их три, по одной на каждое выходное на- пряжение) включается в однополупериод- ную схему выпрямления для выработки напряжения постоянного тока: +12 В вы- рабатывается с помощью CR2 от 7-вйтко- вой обмотки с выводами 11 и 18, —12 В - с помощью CR4 от 5-витковой обмотки с выводами 13и20и+5В-с помощью запараллеленных CR3 и CR14, причем каждый подключен к своей собственной (2-витковой) обмотке * . В импульсных источниках с нескольки- ми выходами для обратной связи можно использовать только один выход. Для этих целей обычно используют источник питания логики +5 В; так поступили и в данном случае: R10 снимает часть (точнее 50%) выходного напряжения + 5 В, которая сравнивается с внутренним эталонным напряжением +2,5 В на U4, g если напряжение слишком велико, вклю. чается фотодиод U2A. Фотодиод имеет оптическую связь с фототранзистором 1/2в, который изменяет длительность им- пульсов 1/3 и поддерживает выходное на- пряжение на уровне +5 В. Таким обра- зом, блок, помеченный на рис. 6.45 как «изоляция», представляет собой оптопару (см. разд. 9 10). До этого момента мы объяснили назна- чение около 25% компонентов рис. 6.46 Остальные необходимы для того, чтобы справиться с такими проблемами, как а) защита от короткого замыкания, б) от- ключение при перенапряжениях и пони- женном напряжении, в) вспомогатель- ный источник для схемы стабилизации, г) фильтрация сети переменного тока и д) линейная постстабилизация источни- ков +12 В. Объясним эту часть схемы более подробно. На входе сети переменного тока мы обнаруживаем 4 конденсатора и пару по- следовательных индуктивностей, обра- зующих в сочетании фильтр для подав- ления радиопомех. Это, конечно, всегда полезно очистить сеть переменного тока при подаче ее в устройство (см. разд. 6.11); в данном случае, однако, необхо- дима дополнительная тщательная фильт- рация для того, чтобы радиочастотные помехи, создаваемые внутри машины (главным образом, за счет переключении в источнике питания), не излучались через силовую сеть. Обратите внимание также на перемычку ESE9, которая преобразуй вход из двухполупериодного моста (пере* мычка снята) в двухполупериодный уДв°’ итель напряжения (перемычка уставов®" на); изготовители, желающие экспорт® ровать свою электронную продув^* должны обеспечить совместимость с сеть 110/220 В; это значительно проще сдеДа в случае импульсных источников. Термисторы RTX и RT2 исп льзу®5^ для ограничения высокого пускового ка, когда источник включается первый раз и сеть обнаруживает в некоторой точке несколько сотен микрофарад незаряжен- ной емкости. Без термисторов (или без других средств) пусковой ток легко может превысить 100 А! Термисторы дают всего один-два Ома последовательного сопро- тивления которое падает почти до нуля, когда они прогреты. Даже при исполь- зовании термисторов пусковой ток может быть весьма значительным: источник пи- тания имеет специфицированный «вход- ной экстраток» 70 А макс. Последовательные индуктивности Ь5 и величиной 100 мкГн в нестабилизиро- ваняом источнике также предназначены для фильтрации импульсных помех, а шунтирующие резисторы 82 кОм (Я35 и Я46) служат для обеспечения полного раз- ряда конденсаторов фильтра после от- ключения питания. Несколько дополни- тельных «амортизирующих» пассивных компонентов использованы для демпфи- рования больших всплесков напряжения, которые могли бы привести к поврежде- нию переключающего транзистора Т15 Функция CR более тонкая-он искусно возвращае неиспользованную энергию трансформатора на конденсаторы фильт- ра С30 и 40. Двигаяс вниз, мы сталкиваемся с по- истине хитроумной схемой, называемой «вспомогательный источник». Для рабо- ты ИС контроллера ШИМ и связанных с ним схем требуется низковольтный и слаботочный источник постоянного на- пряженп Одной из возможностей явля- ется использовать отдельный небольшой тинеиньтй источник со своим собственным еетев^ м трансформатором и т п Соблаз- я^тельно, однако, подвесить еще одну °°Мотк (с однополупериодным выпря- •Шем) на Т . избавляясь от отдельного Реформатора. Как раз это и сделал разработчик с помощью 4-витковой ““Мотки (выводы 9 и 10), напряжение *°торой выпрямляется и фильтруется рентами CRg и С37. Этот простой уточни формирует выход с номиналь Напряжением 15 В. Наблюдательный читатель может заме- ь в этой схеме один изъян' схема не 'б2б может запустить сама себя, поскольку вспомогательное напряжение появляется только тогда, когда уже работает источ- ник питания’ Оказывается, что это старая проблема: разработчики телевизоров лю- бят пошутить таким же образом, фор- мируя все свои низковольтные напряже- ния от вспомогательных обмоток на вы- сокочастотном трансформаторе горизон- тальной развертки. Эта проблема решает- ся с помощью так называемой схемы с ударным запуском, в которой для за- пуска схемы привлекается некоторое не- стабилизированное напряжение; однажды запущенный, источник поддерживает сам себя от постоянного напряжения, полу- ченного от собственного трансформато- ра. В данной схеме ударный запуск по- ступает через R42 и при включении пита- ния начинает заряжать С37. Далее ничего не происходит, пока напряжение на кон- денсаторе не превысит напряжение ста- билитрона CRiQ на величину прямого па- дения напряжения диодного р- «-перехо- да тиристорнообразное сочетание Т10 и Тп переключается в состояние проводи- мости (подумайте, как это работает), ослабляя заряд С37 за счет конденсатора С28 и обеспечивая, таким образом, крат- ковременную подачу питания на управ- ляющую схему (С73 и все компоненты влево от нее). Раз генератор запустился, CRg начинает вырабатывать напряжение 15 В и ток. достаточный для непрерывной работы управляющей схемы (Я42 обес- печить это не в состоянии). Большинство компонентов вокруг U3 обслуживают ее (С27 и Т?37. например, устанавливают частоту повторения им- пульсов 25 кГц). На стороне входа U2В обеспечивает общую обратную связь для поддержания выхода на уровне + 5 В. как ранее описано. Т8 и Т9 образуют еще одну тиристорноподобную защелку: здесь она запускается для того, чтобы «заткнуть» генератор (и последовательный фикси- рующийся ключ Т1ОТП). если ток эмит- тера Т15 (считываемый с помощью Т?44) становится слишком большим, например, если источник питания обнаруживает ко- роткое замыкание на выходе Последо- вательная цепочка /?43С25 обеспечивает
386 Глава 6 постоянную времени около 1 мкс, так что схема не будет запускаться от импульс- ных всплесков. На блокирующую схему поступает также сигнал от делителя /?26/?24, который подавляет генерацию, если входное переменное напряжение ста- новится ниже 90 В. На выходной стороне 17 транзисторы Т12-Т14 вырабатывают сильноточный двухтактный запуск на ба- зу Т15, используя задействованный в ИС одним выводом п-р -«-транзистор (объ- ясните, как). Обратите внимание на «пет- лю /с», провод соответствующей длины в коллекторе Т15, которая позволяет на- блюдать форму тока на осциллографе, используя зажимной токовый щуп (по- смотрите, например, каталог фирмы Tek- tronix). На выходной стороне Тр все значи- тельно проще. В источнике +5 В исполь- зуются запараллеленные диоды Шоттки (СА13 и СТ?14) для обеспечения быстрого восстановления и низкого падения напря- жения в режиме прямого тока (MBR 3035 РТ имеет следующие параметры средний ток 30 А при 20 кГц, напряжение пробоя в режиме обратного тока 35 В, типовое падение напряжения в режиме прямого тока 0,5 В при 10 А) и «амортизирующая цепь» (10 Ом/0,01 мкФ) для защиты ди- одов от высоковольтных всплесков. Фильтр с л-образными звеньями состоит из входной емкости 8800 мкФ, последова- тельной индуктивности 3,5 мкГн и выход- ной емкости 2200 мкФ (Более слаботоч- ные выходы +12 В также используют од- нополупериодные выпрямители Шоттки, фильтры с тг-образными звеньями с мень- шими величинами всех компонентов.) По стандартам линейных стабилизаторов та- кой уровень фильтрации может показать- ся избыточным, но припомните, что там нет постстабилизации,-то, что выходит из фильтра,-это «стабилизированное по- стоянное», поэтому требуется более силь- ная фильтрация для того чтобы умень- шить пульсацию на выходе, в основном, на частоте переключения, по крайней ме- ре, до 50 мВ. Выходное напряжение +5 В через де- литель R^R^R^ поступает на «трехвы- водной Зенер» TL431 (774) фирмы 77. ко- торый в сочетании с несколькими резис- торами и конденсаторами для нейтрали, зании обратной связи образует обратную связь с изоляцией через оптрон U 2АВ. вьр ход + 5 В снимается также через Rx р для запуска ИС датчика перенапряжений (t/i-’^opor = +2,5 В); последний запуска- ет управляющий электрод тиристора Т который шунтирует источник +12 В и за счет ограничения по току на первичной стороне останавливает работу источника Способ включения U г обеспечивает также возможность определения пониженного напряжения по специальной вспомога- тельной добавке от CR5 и С19; сигнал о понижении напряжения (насыщенный п-р-«-транзистор, подключенный к зем- ле) передается на микропроцессор, пре- дупреждая систему о возможном отказе источника питания так что программа может осуществить упорядоченный оста- нов без потери данных Для улучшения стабилизации источни- ков +12 В разработчики использовали несколько хитроумных приемов, без ко- торых эти источники полностью зависели бы от источника +5 В. Для источника +12 В они использовали выход +5 В в качестве эталонного напряжения для усилителя ошибки Т2, который управляет магнитным усилителем. Последний со- держит насыщающийся реактор с добав- кой противодействующего «сбросового тока» через Т,. Сбросовый ток опреде- ляет сколько вольт-секунд будет блоки- ровать индуктивность до достижения со- стояния магнитного насыщения, в кото- ром она работает как превосходный про* водник. Магнитный усилитель заслужи' вает своего названия, поскольку небоДЬ' шой ток управления изменяет большой 1 выходной ток. Контроллеры для ных усилителен выпускаются в виде пол- ностью интегрированных схем например 1 UC3838 фирмы Unitrode „ | Для слаботочного источника — разработчики выорали самое простое Р6' | шение использовав линеиныи постста Д, тизатор типа 7912 с диодами для anno* от обратного включения. На всех выхо*- постоянного тока разработчики вки#*4 к нденсаторы развязки и резисторы &
Стабилизаторы напряжения и источники питания 387 обеспечения небольшого тока на вы- ходе На схеме этого источника можно уви- деть большую часть тех узлов, которые редко упоминаются в учебниках, но ко- торые, на самом деле, очень существенны. Применение дополнительных компонен- тов в этой схеме щедро вознаграждается гарантией надежной работы источника в условиях пользователя. Хотя может по- казаться, что эти дополнительные хло- поты являются результатом излишней скрупулезности, на самом деле это твер- дая экономическая политика,-каждый от- каз у пользователя при наличии гарантий стоит изготовителю, по крайней мере сотню долларов на перевозку плюс стои- мость ремонта, не говоря уж об опоро- ченной репутации. Обшие замечания относительно импульс- ных источников с питанием от сети. 1. Импульсные источники с питанием от сети (их называют иногда «автоном- ными» импульсными источниками, но нам не нравится это название) - это пре- восходные мощные источники питания Благодаря высокому КПД при работе они не нагреваются, а отсутствие трансфор- матора низкой частоты делает их более легкими и меньшими по размерам по сравнению с эквивалентными линейными источниками По этим причинам для обеспечения питания компьютеров, даже настольных персональных компьютеров, используют исключительно импульсные источники с питанием от сети Они также входят применение и в других порта- ных приборах, даже в таких чувстви- тельных к помехам устройствах как ос- циллографы - Импульсные источники шумят Вы- ходные напряжения содержат десятки Милливольт пу ьсации ереключения они °Дбрасыва >т в сеть «мусор», их вопли Можно даже услышать’ О но из средств Рьбы против пульсации на выходе если й^*°ставляю ' беспокойство,-это доба Ть внешний сильноточный LC-фильтр Найник - ! ли - Час от’ ДР>ТОИ спос< -добавить ^И*Ный постстабилизатор с низким па- Нем Некоторы преобразова ели по- лого тока содержат эти средства. кроме того, они полностью экраниро- ваны и имеют усиленную фильтрацию входа 3. Выпускаются и получили широкое распространение импульсные источники на несколько выходов. Однако отдельные выходы формируются с помощью допол- нительных обмоток на общем трансфор- маторе Как правило, обратная связь сни- мается с выхода с наибольшим током (обычно с выхода + 5 В), а это означает, что остальные выходы стабилизируются не очень хорошо В спецификации ука- зывается обычно «кросс-стабилизация», которая показывает, например, насколько меняется напряжение на выходе +12 В при изменении нагрузки на выходе +5 В от 75% полной нагрузки до 50% или 100% полной нагрузки; типовое значение кросс-стабилизации составляет 5%. В не- которых многовыходных импульсных ис- точниках добиваются превосходной ста- билизации, используя на вспомогатель- ных выходах линейные пост-стабилизато- ры, но это исключение Внимательно про- сматривайте технические данные’ 4 Импульсные источники с питанием от сети могут выдвигать требование по минимальному току нагрузки Если ток нагрузки может упасть ниже минималь- ного, то вы должны добавить некоторую резистивную нагрузку в противном слу- чае возможно сильное повышение напря- жения или появтение колебаний Напри- мер, упомянутый выше импульсный ис- точник + 5 В, 26 А имеет минимальный ток нагрузки 1,3 А 5. Будьте внимательны при работе от импульсного источника с питанием от сети Многие компоненты находятся под напряжением сети и несут смертельную опасность. Нельзя подключать заземле- ние щупа осциллографа к схеме. 6. Когда вы впервые вктючаете пита- ние, сеть переменного тока обнаруживает большой незаряженный электролитичес- кий конденсатор фильтра (разумеется, че- рез диодный мост) Последующий «пуско- вой» ток может оказаться просто огром- ным; для нашего источника фирмы Po- wer-One он составляет 17 А макс (срав- ните с входным током при полной на-
388 Глава 6 грузке 1,6 А) Коммерческие «импульсни- ки» для того, чтобы удержать пусковой ток в «цивилизованных» границах, ис- пользуют различные приемы «мягкого пуска». Одним из таких приемов является включение последовательно с входом ре- зистора с отрицательным ТКС (низкоом- ного термистора); другой способ - быстро отключить небольшой (10 Ом) последо- вательный резистор после включения ис- точника. 7. Импульсные источники содержат обычно схему отключения при перенапря- жениях, аналогичную нашей шунтирую- щей тиристорной схеме. Часто это про- стая схема на стабилитроне, которая оста- навливает генератор, если постоянное на- пряжение на выходе превышает опреде- ленную величину. Можно придумать та- кие виды отказов, при которых такие «шунты» не будут ничего шунтировать. Для максимальной безопасности вы, воз- можно, захотите использовать автоном- ные внешние шунтирующие схемы на ти- ристорах 8 Импульсные источники имеют обыч- но скверную репутацию относительно на- дежности, но последние схемы, как будто, намного лучше Тем не менее, когда они решают взорваться, они делают это с большой помпой! Один из них у нас взорвался, изрыгая все свои внутренно- сти, как и внутренности невинных элект- ронных свидетелей. 9 Импульсные источники с питанием от сети, на самом деле, сложны и хитро- умны с точки зрения надежности. Необ- ходимы специальные индуктивности и трансформаторы Наш совет, откажитесь от их проектирования, покупайте то, что вам нужно! В конце концов, зачем созда- вать то, что можно купить? 10. Импульсные источники являются особенной нагрузкой для питающей сети. В частности, увеличение сетевого напря- жения приводит к снижению среднего то- ка, поскольку источник работает при по- стоянном КПД, т.е он является нагруз- кой с отрицательным сопротивлением (усредненным на периоде 60 Гц), а это может привести к совершенно сумасшед- шим эффектам. Если в питающей сети есть большая индуктивность, то в системе возможны колебания. Советы. Счастье для вас, что мы не стесняемся давать советы. Вот они. 1 . Для цифровых систем обычно тре- буется напряжение + 5 В и часто большой ток (10 А и более). Совет: а) используйте импульсный ис- точник с питанием от сети; б) купите его (если требуется, добавьте фильтр). 2 . Аналоговые схемы с сигналами низ- кого уровня (слабосигнальные усилители сигналы менее 100 мкВ и т. п.). Совет: используйте линейные стабили- заторы; импульсные слишком шумны, они испортят вам жизнь. Исключение: для некоторых схем с ба- тарейным питанием, возможно, лучше использовать маломощный импульсный преобразователь постоянного тока. 3 Что-то большой мощности. Совет. используйте импульсный источник с пи- танием от сети Он меньше, легче и хо- лоднее 4 Высоковольтная маломощная аппа- ратура (фотоэлектронные умножители, лампы-вспышки, электронно-оптичес- кие преобразователи, плазменные дис- плеи). Совет: используйте маломощный повышающий преобразователь. В общем случае, маломощные преоб- разователи постоянного тока легко спро- ектировать. потребуется всего несколько компонентов типа ИС серии Maxim. Не стесняйтесь делать их собственными ру- ками. В противоположность этому, им- пульсные источники большой МОЩНОСТИ (обычно с питанием от сети) сложны, хитроумны и чрезвычайно беспокойны Если вам необходимо спроектировать свой сооственныи источник будьте осто- рожны, проверьте очень тщательно своК> схему А лучше, подавите самол#006 и купите самый лучший импульсный йС точник какой вы только сможете наитм- I
Стабилизаторы напряжения и источники питания 389 ИСТОЧНИКИ ПИТАНИЯ СПЕЦИАЛЬНОГО назначения 5 20 Высоковольтные стабилизаторы П >и проектировании линейных стабили- заторов, вырабатывающих высокое на- пряжение, возникает ряд специальных проблем Поскольку напряжение пробоя обычного транзистора не превышает, как правило, 100 В, при разработке источни- ков с более высоким напряжением необ- ходимо применять некоторые нестандарт- ные решения. В этом разделе мы пред- ставим набор таких способов. Решение в лоб: высоковольтные компо- ненты. Выпускаются мощные транзисто- ры, как биполярные, так и МОП, с на- пряжением пробоя 100 В и выше; они даже и не очень дорогие. Например, МЛ2005 фирмы Motorola-это 8-ампер- ный мощный п-р- «-транзистор с напря- жением пробоя коллектор-эмиттер 750 В и напряжением пробоя обратно смещен- ной базы 1500 В; стоимость его менее 5 долл МТР 1N100 (аналогичен European BUZ-50) представляет собой 1-амперный мощный МОП-транзистор с напряжением пробоя 1000 В; цена несколько долларов. Благодаря превосходной области безопас- ной работы (отсутствие вторичного теп- лового пробоя), мощные МОП-транзис- торы наиболее всего подходят для при- менения в высоковольтных стабилиза- торах. Используя усилитель ошибки в режиме работы вблизи уровня земли (делитель для съема выходного напряжения дает малую долю выхода), можно построить высоковольтный стабилизатор, в котором под высоким напряжением будет нахо- диться только проходной транзистор и формирователь, работающий на него. На рис 6 47 показан принцип построения та- кой схемы. В данном случае, это ста- билизированный источник на напряжение от 100 до 500 В, в котором использованы проходной н-МОП-транзистор и форми- рователь. Т2 представляет собой последо- От +600 до 750В От -10В ДО +2DB Зх470к0м| (высоковольт) Т2 MTP1N100 ^6.47. Высоковольтный регулируемый источник питания
390 Глава 6 вательный проходной транзистор, кото- рый запускается от инвертирующего уси- лителя В качестве усилителя ошибки используется операционный усилитель, сравнивающий регулируемую долю вы- хода с прецизионным эталонным источни- ком + 5 В. Т3 обеспечивает ограничение по току путем отключения запуска Т2 при падении напряжения на резисторе 33 Ом. равном падению [УБЭ. Остальные компо- ненты выполняют более тонкую, но необ- ходимую работу. Диод защищает Т2 от обратного пробоя затвора, если вдруг Д решит понизить напряжение на стоке (в то время как выходной конденсатор под- держивает исток Т2). Различные неболь- шие конденсаторы обеспечивают нейтра- лизацию, которая необходима, поскольку Т\ работает как инвертирующий усили- тель с усилением по напряжению и вносит неустойчивость в контур операционного усилителя (особенно в схеме с емкостной нагрузкой). Эта схема является исключе- нием из общего правила которое гласит, что транзисторные схемы не представля- ют электрической опасности! Здесь мы не можем противостоять иску- шению несколько отклониться от темы: с небольшими изменениями (эталонный источник заменяется на сигнальный вход) эту схему можно превратить в превосход- ный высоковольтный усилитель, удобный для управления «ненормальными» нагруз- ками типа пьезопреобразователей. Для таких специфических применений схема должна быть способна как отводить, так и отдавать ток в емкостную нагрузку. Как это ни странно, схема работает как «псев- до двухтактный выход» с Т2. отдающим ток, и Т13 отводящим ток (через диод), в зависимости от необходимости; см. разд. 3.14. Если высоковотьтный стабилизатор проектируется только на нерегулируемое выходное напряжение, то проходной транзистор может иметь напряжение про- боя меньше, чем выходное напряжение. В предыдущей схеме замена резистора для регулировки напряжения на постоян- ный резистор 12.4 кОм превращает схе- му в нерегулируемый стабилизатор на + 500 В. В этом случае вполне подойдет проходной транзистор на 300 В, поток™ что напряжение на нем никогда не пр/ высит 300 В даже при включении и вы. ключении, а также при коротком замыка- нии выхода. Последнее весьма проблема- тично, но, шунтируя Т2 300-вольтовым стабилитроном, эту проблему можно ре. шить. Если стабилитрон может работать с большим током, он может и защитить проходной транзистор от короткозамкну- той нагрузки, если перед стабилизатором стоит подходящий предохранитель. Здесь может помочь активная схема на стаби- литронах, упомянутая в разд. 6.06. Стабилизация в цепи земли. Другой спо- соб стабилизации высокого напряжения с помощью низковольтных элементов по- казан на рис. 6.48. ^-последовательный проходной транзистор, но соединенный с низковольтным концом источника пи- тания; его «выход» поступает на землю. Напряжение на нем-это только часть вы- ходного напряжения, и поэтому транзис- тор «сидит» вблизи уровня земли, что упрощает схему формирования. Как и раньше, следует обеспечить защиту во Рис. 6.48. Стабилизация в цепи земли.
Стабилизаторы напряжения и источники питания 391 время переходных процессов включения- выключения и при перегрузках Достаточ- но проста стабилитронная защита, пока- занная на рисунке, но надо помнить, что стабилитрон должен выдерживать ток короткого замыкания. «Подъем» стабилизатора над уровнем земли. Для расширения диапазона напря- жении стабилизатора, включая и простые трехвыводные стабилизаторы, применя- ют еще один способ-поднятие общего вывода стабилизатора относительно уров- ня земли с помощью стабилитрона (рис. 6.49). В этой схеме Дг добавляет свое напряжение к обычному выходу стабили- затора Д2 через повторитель 7\ устанав- ливает падение напряжения на стабили- заторе и совместно с Д3 обеспечивает защиту от короткого замыкания Транзистор с оптической связью. Су- ществует еще один способ решения про- блемы пробоя транзистора в высоко- вольтных источниках питания с приме- нением сравнительно низковольтного проходного транзистора для нерегулируе- мого (известного) выходного напряжения. В подобных случаях высокое напряжение должен выдерживать только управляю- щий транзистор, но, используя оптически связанные транзисторы, можно избежать и этого. Эти приборы, о которых мы поговорим ниже в связи с сопряжением цифровых элементов в гл. 9, состоят в Действительности из двух элементов, электрически изолированных друг от дру- га: светодиода, который излучает свет если через него протекает ток в прямом Цаправлении и фототранзистора (или фо- гопары Дарлингтона), расположенных волизи друг друга в непрозрачном корпу- се. б 49 се. Прохождение тока через диод при- водит транзистор в проводящее состоя- ние, как если бы в транзисторе протекал базовый ток. Для того чтобы вывести фототранзистор в активный режим, как и в случае обычного транзистора, необ- ходимо приложить коллекторное напря- жение. В большинстве случаев базовый вывод отсутствует. Оптопары имеют, как правило, изоляцию, способную выдер- жать напряжение между входом и вы- ходом в несколько тысяч вольт. На рис 6.50 показано несколько спо- собов применения транзистора с оптичес- кой связью в высоковольтном источнике. На первой схеме фототранзистор Т2 за- крывает транзистор Т3, если напряжение на выходе поднимается слишком высоко. На второй схеме, на которой показан только фрагмент с проходным транзисто- ром, фототранзистор, находясь в возбуж- денном состоянии увеличивает выходное напряжение, поэтому входы усилителя ошибки должны быть инвертированы. Обе схемы формируют некоторый выход- ной ток в цепи смещения проходного транзистора, поэтому для того чтобы удержать выходное напряжение от подъ- ема при отсутствии нагрузки, между вы- ходом и землей следует включить опреде- ленную нагрузку. Эту работу может вы- полнить делитель напряжения для съема выхода или отдельный шунтирующий ре- зистор, подключенный к выходу, кото- рый, вообще говоря, всегда рекомендует- ся подключать в высоковольтных источ- никах. Плавающий стабилизатор. Избежать применения высоковольтных компонен- тов в схеме управления источника вы- сокого напряжения можно еще одним спо- собом-«подвесить» управляющую схему на потенциал проходного транзистора, сравнивая падение напряжения на его собственном эталонном источнике с па- дением между ним и землей. Для такого рода применений предназначена превос- ходная ИС стабилизатора МС1466. ко- торой требуется вспомогательный слабо- точный плавающий источник напряжени- ем 20-30 В для питания собственной схе- мы. Выходное напряжение ограничивает-
392 Г лава 6 Высоковольтный неста- билизир. вход Рис. 6.50. Высоковольтный стабилизатор с оптической изоляцией. ся только проходными транзисторами и изоляцией вспомогательного источника питания (напряжением пробоя изоляции трансформатора). Схема MCI466 харак- теризуется очень хорошей стабилизацией и прецизионной схемой ограничения тока, поэтому она вполне подходит для точных «лабораторных» источников питания. Од- нако следует предостеречь вас в MCI466 в отличие от большинства современных стабичизаторов нет внутренней тепловой защиты. Изящный способ построения плаваю- щего стабилизатора может быть осущест- влен с помощью недавно появившейся ИС - LM10 - сочетания операционного усилителя и источника эталонного напря- жения, явившей собой знаменательное со- бытие в технологии производства ИС со времени открытия Видлара (см. разд. 4 13) Эта схема работает только от од- ного источника питания 1,2В, т.е ее можно подключить к перепаду база- эмиттер проходного транзистора Дар- лингтона! Пример показан на рис 6 51 Если вы любите аналогии, то представьте себе жирафа, который измеряет свой рост, глядя на землю с высоты, а затем стаби- лизирует его, меняя соответствующим образом длину шеи. Схема TL783 фирмы Texas Instruments-это ИС стабилизатора на 125 В, которая работает аналогичным образом: в случае небольших токов она заменяет схему на дискретных компонен- тах, показанную на рис. 6.51. Рис. 6.51. Высоковольтный «плавающий» стабили33 тор.
Стабилизаторы напряжения и источники питания 393 Альтернативный вариант на МОП-транзисторах Рис. 6.52. Последовательное включение транзисторов для повышения напряжения пробоя Последовательное соединение транзисто- ров. На рис. 6.52 показан трюк с после- довательным соединением транзисторов для увеличения напряжения пробоя. Тран- зистор 7\ управляет последовательно со- единенными транзисторами Т2-Т^, кото- рые делят между собой большое напря- жение между коллектором Т2 и выходом. Одинаковые базовые резисторы выбира- ются достаточно малыми, чтобы обеспе - чить полный выходной ток транзисторов. Аналогичная схема будет работать и на МОП-транзисторах, но в этом случае сле- дует подключить, как показано на ри- сунке, диоды защиты от обратного про- ооя затвора (относительно прямого про- °оя затвора вам не следует беспокоиться, поскольку МОП-транзисторы будут до- стояно быстро включаться еше задолго До пробоя затвор-канал) Заметьте, что Резисторы смещения дают некоторый вы- годной ток, даже когда транзисторы вы- ключены, поэтому должна быть мини- »ьная нагрузка на землю для того, предотвратить подъем выходного ®аПряжения выше стабилизированного Ровня Во многих случаях целесообразно в*лючить параллельно резисторам дели- ая небольшие конденсаторы для того, °бы обеспечить работоспособность де- лителя на высоких частотах. Емкость кон- денсаторов должна быть достаточно большой для того, чтобы нейтрализовать разницу входных емкостей транзисторов, в противном случае будет неравное де- ление и общее напряжение пробоя умень- шится. Последовательно соединенные транзис- торы можно использовать, конечно, не только в источниках питания. Их иногда можно увидеть в высоковольтных усили- телях, хотя часто это и необязательно, так как выпускаются высоковольтные МОП- транзисторы. В высоковольтных схемах типа этой можно легко упустить из виду тот факт, что могут потребоваться 1-ваттные (и бо- лее) резисторы, а не стандартные на 1/4 Вт Непосвященных ожидает бо тее тонкая ло- вушка, а именно, максиматьное напря- жение. достигающее 250 В, для стандарт- ных («угольных») резисторов на 1/4 Вт независимо от мощности рассеяния. Угольные резисторы проявляют на вы- соких напряжениях довольно странное по- ведение коэффициентов сопротивления по напряжению, не говоря уж о постоянных изменениях сопротивления. Например, при реальных измерениях (рис. 6.53) на делителе 1000:1 (10 МОм. 10 кОм) при
394 Глава 6 Рис. 6.53. Угольные композиционные резисторы по- казывают снижение сопротивления при напряжениях выше 250 В напряжении 1 кВ отношение оказывается равным 775:1 (ошибка 29%!); обратите внимание, что мощность соответствовала номинальной. Этот «неомический» эф- фект играет важную роль, в частности, в делителях для съема выходного напря- жения в высоковольтных источниках пи- тания и усилителях. Будьте внимательны! Фирмы, такие как Victoreen, выпускают резисторы различного типа, предназначен- ные для подобных высоковольтных при- менений Стабилизация входного напряжения В высоковольтных источниках питания особенно в тех, которые работают с ма- лыми токами, иногда применяют другой способ - стабилизацию не выходного на- пряжения, а входного. Обычно это де. лается с помощью высокочастотных им пульсных преобразователей постоянного тока, поскольку попытка стабилизировать вход переменного напряжения 60 Гц при- водит к слабой стабилизации и высокому уровню остаточной пульсации. Основная идея показана на рис. 6.54. Трансформа- тор Трх и связанная с ним схема форми- руют некоторое промежуточное нестаби- лизированное напряжение, допустим, 24 В можно использовать и аккумулятор. От этого напряжения работает генератор прямоугольных импульсов, на выходе ко- торого размещается двухполупериодный выпрямитель и фильтр. Отфильтрован- ный постоянный ток является выходным сигналом, часть которого поступает об- ратно на генератор для управления сква- жностью или амплитудой в зависимости от выходного напряжения. Поскольку ге- нератор работает на высокой частоте, ре- акция схемы достаточно быстрая, а вы- прямленное напряжение легко фильтрует- ся, поскольку оно происходит от прямо- угольного колебания, подвергнутого двух- полупериодному выпрямлению. Транс- форматор Тр2 должен быть рассчитан на Рис. 6.54. Высоковольтный импульсный источник питания.
Стабилизаторы напряжения и источники питания 395 работу с высокочастотным сигналом, так как обычный мощный трансформатор с наборным сердечником будет иметь зна- нлтельные потери. Подходящие трансфор- маторы изготавливают из железного по- рошка феррита или с использованием тороидальных ленточных сердечников; оНи намного легче и меньше по срав- нению с традиционными мощными транс- форматорами той же мощности. Здесь не использованы высоковольтные компонен- ты, за исключением, конечно, выходного выпрямительного моста и конденсатора. Читая последний параграф, искушен- ный читатель возможно испытает своего рода deja vu Ч Действительно, это все очень похоже на импульсный стабилиза- тор (разд. 6.19). Одно существенное от- личие состоит в том, что импульсные источники обычно используют индуктив- ности в качестве энергозапасающих эле- ментов, в то время как высоковольтный источник со стабилизацией входа исполь- зует Тр2 как «обычный» (хотя и высоко- частотный) трансформатор То, что род- нит высоковольтные источники с импуль- сными так это высокочастотные пульса- ции и помехи. Высоковольтные выпрямители для ви- деомониторов. Для формирования высо- кого постоянного напряжения (10 кВ и выше , необходимого в телевизорах и ви- деомониторах на ЭЛТ. обычно исполь- зуют вариант традиционного высоко- вольтного импульсного стабилизатора (рис. 6.43, а). Как вы увидите, схема эта чрезвычайно умна, поскольку она форми- рует вдобавок и сигнал горизонтальной развертки для запуска отклоняющей системы Основная идея заключается в исполь- зовадл. трансформатора с большим ко- зффициентом трансформации и запуске первичной обмотки от насыщенного тран- 30стора, точно также, как в традиционной высоковольтной схеме. Выходное напря- снимается с вторичной обмотки. Ь1прямляется и используется как высокое НапРяже постоянного тока; см. рис. 6.55. 1) г Vсиндром уже виденного (франц ). -Прим, перев. Рис. 6.55. Высоковольтный источник для видеомони- торов. Транзистор Ту запускается широкими им- пульсами и подключает первичную об- мотку к земле. Схема может быть вы- полнена с самовозбуждением или с за- пуском от генератора. Дх-это демпфер- ный диод, который защищает коллектор Ту от слишком высокого подъема во вре- мя обратного хода. Д2, подключенный к высоковольтной вторичной обмотке, выпрямляет выходное напряжение кото- рое составляет обычно 10-20 кВ при токе несколько миллиампер. Схема работает на частотах 15 кГц и более; это означает, что конденсатор фильтра Су может быть достаточно маленьким всего несколько сотен пикофарад (убедитесь в этом сами, подсчитав пульсацию). Заметьте, форма волны коллекторного тока-линейно-нарастающая пила, кото- рая обычно используется для запуска магнитной отклоняющей системы (назы- ваемой также «ярмо») ЭЛТ и обеспечения линейной скорости горизонтального ска- нирования. В этих случаях частоту гене-
Стабилизаторы напряжения и источники питания 397 ЗОВставилизир. ратора выбирают равной частоте гори- зонтальной развер ки Похожие схемы- эТо так называемые, блокинг-генераторы, которые формируют свои собственные импульсы возбуждения. 6.21. Источники питания с малым уровнем помех и малым дрейфом Стабилизированные источники питания, которые мы описали до сих пор остаются одними из лучших,-они имеют обычно пульсацию и уровень помех ниже мил- ливольта и температурный дрейф около 100 • 10“ 6/°С. Это более чем достаточно для питания почти всего, что может ког- да-либо понадобиться. Однако возможно вам потребуются еще лучшие технические характеристики и вы не сможете обеспе- чить их с помощью выпускаемых ИС стабилизаторов. Тогда вам придется про- ектировать свои сооственные схемы ста- билизаторов, используя лучшие из до- ступных ИС опорных источников (в от- ношении стабильности и помех; см., на пример, REF101KM в табл. 6.7). Такая стабильность (< 1 • 10-6/°С) намного луч- ше. чем ТКС обычных металлопленочных резисторов (50-10-6/°С); поэтому вы должны больше уделять внимания выбо- ру операционных усилителей и пассивных компонентов, ошибки и дрейфы которых не ухудшают общих технических данных На рис 6.56 показана полная схема не- обычного стабилизированного источника питания с низким уровнем помех и малым Дрейфом Схема начинается с превосход- ной ИС REF10KM фирмы Burr-Brown которая гарантирует ТКС лучше, чем «0 - С и очень низкий уровень шумов (6 мкВ размах. 0.1-10 Гц). Более того, 310 Достигается без применения термо- статического управления, которое позво- ляет удерживать приповерхностный зене- Ровскии шум на низком уровне. За источ- ииком опорного напряжения следует уИДьтр нижних частот для дальнейшего ^Иэкения уровня шумов. Большое зна- з Ние емкости конденсатора необходимо *я подавления токового шума операци- н°Го усилителя; указанное значение Образует токовый шум (1,5 пА /Гц на 10 Гц) в шум напряжения 2,4 нВ Гц, сравнимый с шумом еш операционного усилителя Используется полипропилено- вый конденсатор, потому что утечка кон- денсатора (более точно, изменение утечки от времени и температуры) должна быть менее 0,1 нА для того, чтобы избежать микровольтовых дрейфов выходного на- пряжения. С помощью операционного усилителя, резисторы в обратной свя- зи которого имеют сверхнизкий ТКС (0,2-10 '6/°С макс.), эталонное напряже- ние доводится до +25 В; заметьте, что напряжение питания составляет +30 В. Полученное опорное напряжение +25,0 В поступает на делитель напряжения для формирования желаемого выходного на- пряжения, которое затем вторично фильт- руется фильтром НЧ с использованием конденсатора с малой утечкой. Поскольку для деления опорного напряжения исполь- зуется потенциометр, значение ТКС ре- зистора здесь не столь критично-это ло- гометрическое измерение Остальная часть схемы представляет собой простой повторитель, использую- щий прецизионный малошумящий усили- тель ошибки для сравнения выходного напряжения от мощного последователь ного проходного МОП-транзистора. В связи с тем что большой выходной конденсатор обеспечивает основной по- люс для компенсации, использован де- компенсированный операционный усили- тель. Обратите внимание на необычную токоограничивающую схему и обильное использование «диодов» стабилизации то- ка (на самом деле использование полевых транзисторов с р-«-переходом) для по- лучения рабочего смещения. Обратите внимание также на применение провод- ников «считывания» напряжения на на- грузке. В прецизионной схеме типа этой большую роль играют пути земли, по- скольку, например, нагрузочный ток 100 мА. протекающий по одному дюйму провода калибра 20, дает падение напря- жения 100 мкВ, что составляет ошибку порядка 10”4 на 1 В выхода! Пред- ставленная схема имеет превосходные технические характеристики,-ее шумы и дрейф, по крайней мере, в 100 раз меньше.
398 Глава 6 Стабилизаторы напряжения и источники питания 399 чем приведенные ранее типовые. По дан- ным фирмы EVI, которая любезно пре- доставила нам эту схему, шумы и фон схемы лежат ниже 1 мкВ, ТКС ниже 1Ю~6/°С, выходной импеданс ниже 1 мкОм и дрейф менее 1 • 10“6 за рабочий день. В следующей главе мы побольше по- говорим о таких прецизионных и мало- шумящих схемах. 6.22. Микромощные стабилизаторы Как мы уже ранее упоминали, можно спроектировать схему с батарейным пи- танием с очень низким током покоя, по- рядка десятков микроампер. Это как раз то, что нужно, чтобы сделать схему, ко- торая работала бы в течение нескольких месяцев или лет от одной маленькой ба- тареи (например, наручные часы или каль- кулятор). Щелочной 9-вольтовый транзис- торный аккумулятор, к примеру, пол- ностью исчерпывает себя после 400 мА-ч работы; следовательно, 50-микроампер- ная схема будет работать около года (8800 часов). Если для такой схемы по- требуется стабилизированное напряже- ние, то вы, очевидно, не можете позво- Рис. 6.57. Микромощный имп льсныи стабилизатор лить себе тратить 3 мА тока покоя в И С 78L05, поскольку это снизило бы срок службы батареи до недели! Решить эту проблему можно либо с по- мощью стабилизатора на дискретных компонентах, либо используя одну из мц. кромощных ИС. К счастью, в последние годы выпускают несколько хороших ИС Одними из лучших являются стабилиза- торы серии LP2950 фирмы National трех- выводной нерегулируемый стабилизатор 5 В. выпускаемый в небольшом транзис- торном корпусе ТО-92 (LP295OACZ-5.0), или многовыводной регулируемый стаби- лизатор 1,2-30 В (LP2951). Обе версии имеют ток покоя 75 мкА. Существуют ИС с ее более низким током покоя- ICL7663/4 (или МАХ 663/4), регулируемые стабилизаторы на обе полярности с током покоя 4 мкА В гл. 14 мы рассмотрим микромошные стабилизаторы при обсуж- дении схем с батарейным питанием. Как пример того, что можно сделать на дискретных компонентах, на рис. 6.57 мы приводим микромощную схему, которую можно использовать в электростимулято- ре сердечной мышцы, работающем от литиевой батареи. Эта схема преобразует входное напряжение в диапазоне от +5 до _|_ 3 в (по мере старения батареи) в стаби- лизированное напряжение +5,5 В Этот источник имеет ток покоя 1 мкА, обеспе- чивает стабилизацию по входу и нагрузке 5°,'о и эффективность преобразования 85% нри полной нагрузке для всего диапазона напряжений батареи. Как мы отмечали при обсуждении импульсных источников, традиционные линейные источники, ис- пользующие генератор, удвоитель и по- спедовательный проходной стабилизатор, были бы гораздо менее эффективными, потому что при более высоких нестабили- зированных напряжениях потери в ста- билизаторе возрастают. Схемы с обрат- ным выбросом эффективны как умножи- тели напряжения с переменным коэффи- циентом умножения; они дают чрезвы- чайно высокий КПД и поэтому доста- точно привлекательны для использования в микромощных схемах. На управляемом однопереходном тран- зисторе 2N6028 собран релаксационный генератор Его анодный вывод не про- водит ток, пока напряжение на нем не превысит напряжение на управляющем электроде на величину падения на диоде: в этот момент он начинает пропускать большой ток, разряжая конденсатор. Ре- зультирующий положительный импульс на базе Т2 «тянет» коллектор Т2 к земле, запуская схему 4098, известную под на- званием «одновибратор» (см. разд. 8.20), которая генерирует положительный им- пульс постоянной длительности на своем выходе Q. Т3 в этой схеме снимает вы- годное напряжение и «отнимает» часть разрядного тока у С1? снижая скорость врастания импульса преобразования Эаергиз- до величины, необходимой для оддержания требуемого выходного на- ряжения Обратите внимание на боль- величины сопротивлений резисторов в° всей схеме. Температурная компенса- Ция в данном случае не тема для раз- бора поскольку схема работает в усло- в®ях постоянной температуры 36,6 °C «пе- Редвижн эй печи». (Предостережение: ре- РМендуем читателю еще раз заглянуть ^Юридическую справку» в предисло- 6.23. Преобразователи напряжения с переключаемыми конденсаторами (зарядовый насос) В разд. 6.19 мы рассмотрели импульсные источники питания, упомянув их стран- ную способность вырабатывать выход- ное постоянное напряжение, большее чем входное или даже противоположной по- лярности. Там мы упомянули также, что преобразователи напряжения с переклю- чаемыми конденсаторами могут делать то же самое. Что же это такое «пере- ключаемые конденсаторы»? На рис. 6.58 показана упрощенная схе- ма КМОП ИС 7662 фирмы Intersil с рас- ширенной вторичной частью (обвязкой). Она имеет внутренний генератор и не- сколько ключей КМОП, для ее работы необходимы два внешних конденсатора Если входная пара ключей замкнута (в проводящем состоянии), Сг заряжается до 1/вх; затем во время второго полуцикла С отключается от входа и подключается в перевернутом состоянии к выходу. Та- ким образом, он передает свой заряд на С2 (и нагрузку), обеспечивая на выходе напряжение, равное примерно — UBX. С друтой стороны, вы можете исполь- зовать 7662 для формирования напряже- ния 2С'ВХ, организовав схему таким об- разом, что С] будет заряжаться так, как и раньше, но затем на второй половине цикла будет подключаться последователь- но с UBX. Такой способ переключаемых конденса- торов прост и эффективен; для его реа- лизации необходимо всего несколько ком- Рис. 6.58. Инвертор напряжения с переключаемыми конденсаторами. С, и С2 внешние танталовые кон- денсаторы емкостью 10 мкФ
400 Глава 6 Рис. 6.59. Выходное напряжение инвертора с пере- ключаемыми конденсаторами под нагрузкой значи- тельно уменьшается. Рис. 6.60. Сдвоенный источник с переключаемыми конденсаторами. Аналогичным образом включается LT1026. но при этом /?8ЫХ » 100 Ом, а емкость кон пен, саторов всего 1 мкФ понентов (индуктивности не нужны). Од- нако выход схемы не стабилизирован и существенно падаег при токах нагруз- ки больше нескольких миллиампер (рис. 6.59). Кроме того, большинство таких КМОП-приборов имеют ограниченный диапазон напряжении питания, для 7662 Um может меняться в диапазоне только от 4,5 до 20 В (от 1,5 до 10 В для ее предшественницы 7660) Наконец, в от- личие от индуктивных повышающих и ин- вертирующих схем, которые могут гене- рировать любое напряжение, преобразо- ватель напряжения на переключаемых кон- денсаторах может формировать напряже- ния кратные Um Несмотря на эти не- достатки, преобразователи на свободных конденсаторах при определенных обстоя- тельствах очень удобны, например, для того чтобы обеспечить питание биполяр- ных операционных усилителей или после- довательного порта (см гл. 10 и 11) на схемной плате, которая имеет питание только -1-5 В Существуют еще несколько интересных ИС с переключаемыми конденсаторами. МАХ680 фирмы Maxim -это сдвоенный источник, который вырабатывает + 10 В (до 10 мА) от -г-5 В (рис. 6.60). Похожая схема LT1026 фирмы LTC формирует вы- ход + 20 В (до 20 мА) и использует мень- шие емкости (1 мкФ вместо 20 мкФ). Схе- ма LT1054 фирмы LTC сочетает преоб- разователь с переключаемыми конденса- торами с линейным стабилизатором и вы- рабатывает достаточно мощный стабили- зированный выход с токами нагрузки до 100 мА (при более низком КПД, разуме- ется) Схемы серии МАХ232 и схема LT1080 объединяют источник на переклю- чаемых конденсаторах + 10 В и цифровой последовательный порт RS-232C (см. гл. 11), что исключает необходимость в биполярном источнике для многих ком пьютерных плат, некоторые ИС из серии МАХ232 содержат даже встроенные кон- денсаторы Схема же LTC1043 представ- ляет собой незавершенный блок с пере- ключаемыми конденсаторами, который можно использовать для того, чтобы про- делывать всевозможные чудеса. К при- меру, вы можете использовать переклю- чаемые конденсаторы для передачи па- дения напряжения, измеренного на не- удобном потенциале вблизи потенциала земли (например, на резисторе для счи- тывания тока при положительном напря- жении источника!, туда, где с ним мож- но легко справиться Документация на LTC1043 содержит 8 страниц с подоб- ными хитроумными применениями. 6.24. Источники стабилизированного постоянного тока В разд. 2.06 и 2.14 мы описали несколько способов стабилизации тока с помопхь схем, содержащих управляемые Напря*е' нием источники тока с плавающими &
Стабилизаторы напряжения и источники питания 401 заземленными нагрузками и некоторые виды токовых зеркал. В разд. 3.06 было показано, как использовать полевые тран- зисторы для построения некоторых про- стых источников тока на «токостабили- злрующих диодах» (затвор транзистора соединен с истоком) таких, как серия М5283 В разд. 4.07 мы показали, как добиться более высоких технических дан- ных (во всяком случае, на низкой частоте), используя для создания источников тока ОУ В разд. 6.15 мы упомянули удобную ИС трехвыводного источника тока LM334. Вместе с тем часто необходим управляе- мый источник стабилизир пост, тока, ко- торый мог бы выдавать ток и напряжение значительной величины и выпускался в виде законченного прибора. Здесь мы рас- смотрим некоторые успешно применяемые для этих целей схемы. Трехвыводные стабилизаторы. В разд. 6.18 мы показали, как можно использо- вать трехвыводной регулируемый стаби- лизатор для построения превосходного простого источника тока Стабилизатор типа 317 поддерживает между выходным выводом и выводом «рег» постоянное напряжение 1.25 В (ширина запрещенной зоны); включая резистор между этими вы- водами можно получить двухвыводной прибор со стабильным постоянным то- ком (рис. 6.38), используемый для отдачи или отвода тока. Поскольку перепад на самом стабилизаторе составляет около - В. характеристики начинают ухудшать- ся при напряжении на схеме менее 3 В. Этот тип источника тока удобен для средних и больших токов- LM317 имеет максимальный ток 1,5 А и может рабо- тать с падением напряжения до 37 В. Ее высоковольтный родственник LM317HVK м°Лй. ' выдержать падение 5^ В Выпус- каются более сильноточные версии на- нример, LM338 (5 А) и LM396 (10 А), но °ни рассчитаны на более низкие напря- *ения Трехвыводные стабилизаторы не Раоотают как источники тока при токах 10 мА. наихудший случай тока по- к°я- Обратите внимание, однако, что ток Не является источником ошибки тока по- скольку он протекает от входного вывода выходному; гораздо меньший ток. вы- Рис. 6.61. Измерение тока в шине питания. текающий по выводу «рег» (50 мкА но- мин.), колеблется в пределах 20% по все- му диапазону рабочих температур и им можно пренебречь. В давние времена, до появления трех- выводных регулируемых стабилизаторов, иногда использовали в качестве источни- ков тока 5-вольтовые нерегулируемые стабилизаторы (например. 7805) в похо- жей схеме (заменяя вывод «рег» выводом «земля»). Это плохая схема, потому что при малых выходных токах ток покоя стабилизатора вносит большую ошибку, а при больших токах падение напряжения 5 В на резисторе установки тока приводит к лишнему рассеянию мощности. Измерение тока в шине питания. Про- стая схема с хорошими параметрами по- лучается из традиционного последова- тельного проходного стабилизатора со съемом тока на входе проходного тран- зистора (рис 6 61). R2-резистор для съема тока, желательно с малой темпера- турной зависимостью. Для очень боль- ших токов или прецизионной точности следует использовать четырехпроводной резистор, специально предназначенный для измерений тока - измерительные про- водники подключены в самом резисторе. В этом случае снятое напряжение не за- висит от сопротивления соединения с то- конесущими проводниками, которые на схеме для ясности показаны жирными линиями. В этой схеме необходимо использовать операционный усилитель, который имеет
402 Глава 6 диапазон входных синфазных сигналов вплоть до положительного напряжения питания (307, 355 и 441 обладают этим достоинством), если, конечно, вы не пи- таете операционный усилитель от еще бо- лее положительного вспомогательного напряжения. МОП-транзистор в этой схе- ме можно было бы заменить на проход- ной р—и-р-транзистор, однако, посколь- ку выходной ток будет тогда включать ток базы, придется использовать соедине- ние Дарлингтона для минимизации ошиб- ки. Обратите внимание на то, что вместо р-канального транзистора можно исполь- зовать /7-канальный выходной транзистор (подключенный как повторитель), если поменять подключение на входе опера- ционного усилителя. Однако в этом слу- чае источник тока будет иметь нежела- тельно низкий выходной импеданс на час- тотах, близких к частоте fT контура опе- рационного усилителя, поскольку выход является по-существу истоковым повто- рителем. При проектировании источников тока часто допускают подобную ошибку, так как анализ по постоянному току по- казывает хорошие параметры Измерение тока в возвратной цепи. Хо- рошим способом построения прецизион- ного источника тока является считывание напряжения на прецизионном резисторе, включенном последовательно с нагруз- кой В этом случае легче исключить ошиб- ки источника тока, связанные с током базы; базовый ток должен проходить ли- бо и через нагрузку, и через усилитель считывания, либо не должен проходить ни через то, ни через другое. Для того чтобы удовлетворить этому требованию, необ- ходимо «подвесить» нагрузку или источ- ник питания, по крайней мере, к на ря- жению, равному падению напряжения на резисторе для измерения тока На рис 6.62 показаны две схемы, использующие пла- вающую нагрузку. Первая схема-это обычная последова- тельная проходная схема, в которой сиг- нал ошибки получается из падения на- пряжения на небольшом резисторе, вклю- ченном на возвратном пути от нагрузки к земле. Сильноточный путь здесь также отмечен жирной линией. В данном случае соединение Дарлингтона используется не для того, чтобы избежать ошибки, свя- занной с базовым током (измеряется ре- альный ток нагрузки), а чтобы снизить ток управления до нескольких миллиам- пер, поэтому в качестве усилителя ошибки можно использовать обычный операцион- ный усилитель. Измерительный резистор должен быть прецизионным мощным ре- зистором с малой температурной зави- симостью и желательно четырехпровод- ным. Во второй схеме транзистор регули- рования Т2 находится в возвратной цепи земли сильноточного источника питания. Рис. 6.62. Измерение тока в возвратной цепи
Стабилизаторы напряжения и источники питания 403 Слаботочный Нагрузка сильно- точный источник б Рис 6.63. Источники тока для заземленных нагрузок, использующие плавающие высоковольтные источники питания Преимущество такого расположения со- стоит в том, что коллектор транзистора подключен к земле, поэтому можно не беспокоиться относительно изоляции кор- пуса транзистора от теплоотвода. В обеих схемах Аизм выбирается из рас- чета падения на нем около вольта при типовых рабочих токах; значение резис- тора это компромисс между ошибками смещения на входе операционного уси- лителя с одной стороны, и сочетанием Уменьшенного размаха источника тока и увеличенной мощностью рассеяния, с дру- гой- Если схема предназначается для ра- боты в большом диапазоне выходных то- ков, то Яизм, по-видимому целесообразно выполнить в виде набора прецизионных '«жцякх резисторов с выбором нужного резистора с помощью переключателя. Заземленная нагрузка. Если важно, что- °Ы нагрузка была подключена к земле, то м°жно использовать схему с плавающим Источником. На рис. 6.63 показано два нримера В первой схеме операционный ^рДИтель, изображенный необычным об- Разом представляет собой усилитель ^бки с сильноточным буферным вы- им может быть простой 723 (для Ов до 150 мА) или один из сильно- операционных усилителен пере- численных в табл. 4.4. Общий вывод силь- ноточного источника «плавает» относи- тельно схемной земли. Большое значение имеет то, что усилитель ошибки (или, по крайней мере, выход его буфера) питается от плавающего источника и токи базы возвращаются через Яизм- Понадобится дополнительный слаботочный источник с заземленным общим выводом, если в этом же приборе будут использованы другие операционные усилители и т. п. Отрицательный источник опорного на- пряжения (относительно схемной земли) управляет выходным током. Обратите внимание на полярность на входах уси- лителя ошибки На второй схеме показано использо- вание второго маломощного источника для случая, когда в качестве усилителя ошибки используется обычный слаботоч- ный операционный усилитель. 7]-это внешний проходной транзистор, который может быть парой Дарлингтона (или может быть МОП-транзистором), по- скольку базовый ток возврашается через нагрузку, а не через измерительный ре- зистор. Усилитель ошибки питается в дан- ном случае от того же расщепленного источника с заземленным общим выво- дом, от которого питаются все остальные
404 Глава 6 Рис 6.64. Коммерческие источники питания различных форм и размеров, включая герметизированные модули, открытые блоки и полностью закрытые коробки (с разрешения фирмы Computer Products). схемы прибора. Эта схема очень удобна как простой стендовый источник тока со встроенным слабо точным расщепленным источником и внешним сильноточным ис- точником. В каждом конкретном случае вы можете подобрать напряжение силь- ноточного источника и его нагрузочную способность по току. 6.25. Коммерческие модули источников питания Всю эту главу мы посвятили тому, как проектировать свои собственные источни- ки питания, безотчетно ориентируя вас на самые лучшие схемы И только при об- суждении импульсных источников с пита- нием от сети мы советовали подавить самолюбие и купить коммерческий источ- ник питания. Экономические реальности жизни, ол- нако. играют большую роль, поэтому час- то наилучшим подходом будет исполь- зовать один из коммерческих источников питания, которые продаются такими фир- мами, как ACDC, Acopian. Computer Pro- ducts, Lambda, Power-One и еще сотни других. Они предлагают как импульсные, так и линейные источники, выпускаемые в четырех различных видах (рис. 6.64). 1. Модульные герметизированные источ- ники. Это маломощные источники, часто сдвоенные (±15) или строенные ( + ->’’ ±15), упакованные в герметизированные
Стабилизаторы напряжения и источники питания 405 модули размерами обычно 2,5 х 3,5 дюй- ма и толщиной 1 дюйм. Большинство обычных корпусов имеет твердые прово- лочные выводы снизу, так что вы можете монтировать их непосредственно на схем- ной плате; их можно закрепить на панели иЛи вставить в соединитель. Они выпус- каются также с крепежным полосковым выводом с одной стороны для установки На шасси Типовой линейный строенный источник дает +5 В, 0,5 А и +15 В, 0.1 А и стоит около 100 долл, в малых партиях. Мощность линейных модульных источни- ков составляет обычно от 1 до 10 Вт, импучьсных-от 15 до 25 Вт. 2. Открытые источники питания Они состоят из металлического шасси, на ко- тором закрепляется схемная плата, тран- сформатор и мощные транзисторы, все полностью открыто. Источники предназ- начены для установки в устройства боль- ших размеров. Выпускаются они в ши- роком диапазоне напряжений и токов и в виде сдвоенных и строенных блоков, а также в виде источников на одно напряжение. Например, распространен- ный строенный открытый линейный блок дает +5 В, ЗА и + 15 В, 0,8 А и сто- ит 75 долл, в малых партиях. Открытые источники больше герметизированных блоков и всегда крепятся к шасси. Мощ- ность открытых линейных источников со- ставляет 10 -200 Вт, импульсных 20-400 Вт. Открытые источники нижнего края ди- апазона мощности могут иметь компо- зиты, монтируемые прямо на схемной плаге без металлического каркаса. Как 0 для герметизированных источников, предполагается, что вы сами заботитесь “ выключателях, фильтрах и предохра- нителях для сетевого напряжения. I Полностью закрытые источники Ис- °чниь этого типа име эт пс лно ью 3акРываю пии металлический кожух, обыч- Во перфорированный для охлаждения, с ЧстУпающим мощными транзистора- В Их можно устанавливать снаружи. Скольку полностью закрывающий ко- V защища :т от прикосновения; вы мо- ^те устанавливать их и внутри прибора. 1:1 пожелаете н выпускаются с од- 14 и неск лькими выходами и могут быть как линейными, так и импульсными. Диапазон мощности полностью закрытых линейных источников составляет 15- 750 Вт. импульсных 25-1500 Вт. 4. Стоечные съемные источники пита- ния. Это известные черные пластмассо- вые коробки, которые поставляются с не- большими электронными устройствами широкого потребления и предназначены для непосредственного включения в стой- ку через врубное соединение. Они вы- пускаются в трех видах: а) только пони- жающий трансформатор переменного то- ка: б) нестабилизированный источник по- стоянного тока и в) полный стабилизи- рованный источник постоянного тока; по- следние могут быть как линейными, так и импульсными. Например, фирма Ault выпускает прекрасную серию сдвоенных (±12 В или +15 В) и строенных ( + 5 и + 12 В или +15 В) линейных стабилизи- рованных съемных источников. Они по- зволяют избавиться от всех работ, свя- занных с вводом в ваш прибор сетевого питания, и сделать его легким и малень- ким. Некоторые из нас думают, что эти источники слишком популярны, ведь, ког- да их много, необходимо оборудовать специальный ввод в ваш дом! Некоторые «настольные» модели имеют два шнура- для входного сетевого и выходного по- стоянного напряжений. Некоторые из им- пульсных блоков работают в диапазоне сетевого напряжения от 95 до 252 В, что очень удобно для передвижных приборов. Мы побольше расскажем о съемных кон- струкциях в разд. 14.03, когда будем об- суждать маломощные схемы. СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ 6.26. Удачные схемы На рис. 6.65 приведено несколько удачных схем, взятых главным образом из ката- логов фирм-изготовителей. 6.27. Плохие схемы На рис. 6.66 показано несколько схем, ко- торые, наверняка, не будут работать. Проанализируйте их и вы сможете в даль- нейшем избежать подобных ловушек.
Удачные схемы ^вых от -10 до +10 Рис 6.65. Й-зарядное устройство для аккумуляторной батареи 12 В; б-регулируемый стаС энный биполярный источник опорного напряжения в—схема со следящим предварительным стабилизатором: г-авто- матическии регулятор света для лампы накаливания; б-прецизионный мощный источник нал яжения
и hr 16 6? (продолжение). |,Д^<П^ЛЬСНая лампа (из 1ехнических данных NSC 317); ж источник опорного напряжения +5 В на основе i^l 0 источник опорного напряжения на 2 выхода з~ трехвыводные стабилизаторы с повышенным чением пульсаций (диоды защищают от коротких замыканий входа и выхода); и-мощный источник тока
к 1,13 кОм Рис. 6.65 (продолжение). к дифференциальный повторитель с диапазоном синфазного сигнала +100 В: л-источник постояв напряжения постоянного тока; м—«самый простой в мире» преобразователь постоянного тока: ___ н- портативный источник опорного напряжения: о-усилитель токового шунта: ОУ типа модулятор- тор использует в качестве резистора съема тока возвратный провод питания калибра 20 длиной 1,2 напряжение питания ОУ-от +5 до +15 В: «-схема контроля тока; р-сильноточный биполярный ист "Я тока.
Источник питания Провод #20 INA106 ^вых 1В/А Диапазон синф. сигналов =±12В -Ц,х R2 r5 1,0 Ом 10 Вт 1 A/В до -ЗА Нагрузка M^-6S (пр<чк.• (ЖенитI
Негодные схемы Вход пост, тока ------- '10 В t Выход 5,6 В стабили- трон 8В (эфф) 20В(эфф) с тч С R- 7—0^* Рис. 6.66 а -простой источник стабилизированного напряжения: о-источник + 5 В: в-источник тепленный источник + 15 В; д- источник +5 В; в—стабилизатор на +15 В; ж-стабилизатор с огравичеВ| тока; з-схема автоматического шунтирования источника питания с тиристорной защитой.
Стабилизаторы напряжения и источники питания 411 ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ । Спроектируйте стабилизированный источник с на- ряжением точно +10,0 В и током до 10 мА, исполь- зуя ИС 723. В вашем распоряжении имеется транс- форматор на 15 В (эфф.), 100 мА; диоды в любом к0Личестве, различные конденсаторы, схема 723, ре- зисторы и подстроечный потенциометр на 1 кОм. Выберите резисторы так, чтобы при их стандартных значениях (5%) диапазона подстройки потенциометра хватило бы для компенсации производственного раз- броса источника опорного напряжения (от 6,80 до 7,50 В). 2. Спроектируйте стабилизатор напряжения на + 5 В, 50 мА для нестабилизированного входа 4-10 В, используя следующие компоненты: а) стабилитрон и эмиттерный повторитель, б) трехвыводной стаби- лизатор 7805, в) стабилизатор 723, г) стабилизатор 723 и внешний проходной лри-транзистор; используйте схему ограничения тока с обратным наклоном ха- рактеристики настроенную на 100 мА (граничное значени тока при полном выходном напряжении) и ток короткого замыкания 25 мА, д) трехвыводной регулируемый стабилизатор положительного напря- жения 317, е) дискретные компоненты, источник опор- ного напряжения на стабилитроне и обратную связь. Докажите, что величины компонентов выбраны пра- вильно; для а), в) и е) обеспечьте ограничение тока на уровне 100 мА. 3. Спроектируйте полный источник питания на + 5 В, 500 мА для цифровой логической схемы. На- чните с начала (от настенной розетки 115 В перемен- ного напряжения), определяя такие вещи, как на- пряжение и номинальный ток трансформатора, вели- чины конденсаторов и т. п. Для того чтобы облегчить себе работу, используйте трехвыводной стабилизатор 7805. Не расточайте сверх меры емкости, но сделайте так, чтобы ваша схема выдерживала 10%-й разброс всех параметров (сетевого напряжения, допуски пара- метров трансформатора и конденсаторов и т. п.) Когда закончите, рассчитайте потери в стабилизаторе в худшем случае Затем, применив внешний проходной транзистор, модифицируйте схему для обеспечения нагрузочной способности 2 А Встройте токоограничивающую схе- му на 3 А.
ГЛАВА 7 Прецизионные схемы и малошумящая аппаратура 413 ПРЕЦИЗИОННЫЕ СХЕМЫ И МАЛОШУМЯЩАЯ АППАРАТУРА В предыдущих главах мы рассмотрели многие аспекты проектирования аналого- вых схем, включая свойства пассивных элементов и транзисторов, ПТ и ОУ, об- ратную связь, а также рассмотрели ряд применений этих устройств и методов схемотехники. Но в этих рассуждениях не ставился вопрос о лучшем из возможных вариантов, например о минимизации ошибок усилителя (нелинейность, дрейф и т. д.), или об усилении слабого сигнала с наименьшим искажением за счет «шу- ма» усилителя. Эти вопросы во многих случаях составляют существо дела, и по- этому они являются важной частью ис- кусства схемотехники. Поэтому в данной паве мы рассмотрим методы проекти- рования прецизионных схем и проблемы шумов усилителя При первом чтении всю эту главу можно пропустить, за исключе- нием разд. 7 И, в котором вводится по- нятие «шум усилителя». Для понимания следующих глав материал данной главы несуществен. РАЗРАБОТКА ПРЕЦИЗИОННОЙ АППАРАТУРЫ НА ОПЕРАЦИОННЫХ УСИЛИТЕЛЯХ При измерении и управлении часто нужны высокопрепизионные схемы. Схемы управ- ления должны быть точными, устойчи- выми относительно времени и темпера- туры, а их поведение-предсказуемым. Так же и ценность измерительного при- бора зависит от его точности и стабиль- ности. Почти во всех областях электро- ники существует желание сделать все бо- лее точно-можно назвать это стремле- нием к совершенству. Если вам и не нужна такая высочайшая точность, приятно иметь точные приборы, чтобы до конца понимать, что происходит. 7.01. Соотношение точности и динамического диапазона Понятия точность и динамический диапа- зон легко спутать, поскольку иногда одна и та же аппаратура используется для до- стижения и того, и другого. Может быть, разницу можно лучше всего пояснить на ряде примеров: у 5-разрядного цифрового многошкального прибора прецизионная точность; измерения напряжения им де- лаются с точностью 0,01% и выше Такое устройство также имеет широкий дина- мический диапазон-от миливольт до вольт на одной и той же шкале. Точный десятичный усилитель (например, с коэф- фициентом усиления, выбираемым из ря- да значения 1, 10, 100) и прецизионный опорный источник напряжения могут иметь достаточную точность но не обя- зательно широкий динамический диапа- зон. Примером устройства с широким динамическим диапазоном, но скромной точностью служит шестидекадный лога- | рифмический усилитель (ЛУ), построен- । ный на тщательно подогнанных ОУ, но с применением элементов, имеющих точ- ность всего лишь 5%; даже при исполь- зовании более точных элементов ЛУ МО" жет иметь ограниченную точность за счет несоответствия при крайних значениях то- | ка характеристик используемого для пре* < образования транзисторного перехода ло- гарифмической зависимости. Друтой прИ' I мер устройства с широким динамическим | диапазоном (диапазон входного тока бо^ I лее чем 10000:1) при весьма скромной точности 1%-это кулонометр, описанный в разд. 9.26. Вначале он был спроекти I рован для определения суммарного за I ряда электрохимического элемента чины, которую достаточно знать с т II ностью 5%, но которая образуется в ю зультате действия тока, изменяющегося в широком диапазоне. Общее свойство устройств с широким динамическим диа- пазоном это то, что входное смещение должно быть тщательно отрегулировано для обеспечения пропорциональности при уровне сигнала, близком к нулю. При проектировании прецизионной аппарату- ры это также необходимо, но там для удержания суммарной погрешности в рам- ках так называемого бюджета погреш- ности требуются также прецизионные эле- менты, устойчивые генераторы опорных напряжений, и внимание ко всем возмож- ным источникам погрешностей. 7.02. Бюджет погрешностей схемы Несколько слов о бюджете погрешности. Начинающие разработчики часто попада- ют в ловушку, считая, что несколько стра- тегически правильно расположенных пре- цизионных элементов дадут устройство с прецизионными параметрами. В каких- то редких случаях, может быть, так и по- лучится Но даже схема, битком набитая резисторами 0,01% и дорогостоящими У. не оправдывает ваших ожиданий, если на каком-то участке схемы смещение выходного тока, умноженное на сопро- тивление источника, даст погрешность смещения напряжения, например 10 мВ Подобного рода погрешности встречают- ся почти в любой схеме, и важно их выявить, хотя бы для того, чтобы найти Место, где требуется устройство с лучши- ми параметрами или где нужно изменить схему Такой подсчет бюджета погреш- яости рационализирует проектирование. Во многих случаях позволяет обойтись Ие*>рогими элементами и точно оценить Характеристики схемы. Пример схемы: Ревизионным усилитель автоматическим выбором нуля ^^Иллюстрации предшествующих рас- ▼КДении мы спроектировали схему пре- декадного усилителя с авто- поиском начального уровня. °ЧОНН тИЧеским Такое устройство позволяет зафиксиро- вать некоторое значение входного сигнала и усиливать его последующие отклонения от этого уровня с коэффициентом, точно равным 10, 100 или 1000 Это окажется весьма удобным в эксперименте, при ко- тором измеряется малое отклонение ка- кой-нибудь величины (например, свето- проницаемости или поглощения радио- частоты) при изменении условий экспери- мента. Обычно трудно точно измерить малое изменение большого сигнала по- стоянного тока вследствие дрейфа и не- устойчивости усилителя. В таком случае нужна схема с предельной прецизион- ностью и устойчивостью. Мы опишем методы и ошибки, которые мы допускали при проектировании этой конкретной схе- мы, в рамках общего описания процесса прецизионного проектирования и таким образом безболезненно изложим то, что иначе могло бы стать утомительным по- учением Одно предварительное замеча- ние заманчивой альтернативой к этой чисто аналоговой схеме могла бы стать цифровая аппаратура. (В следующих гла- вах следите за захватывающими откры- тиями!). Проектируемая схема изображе- на на рис 7.1 Описание схемы. Основа схемы-повто- ритель ((7J, подключенный ко входу не- инвентирующего усилителя с переключае- мым коэффициентом усиления (С'2), вы- ход которого смещается сигналом, при- тоженным к его неинвертирующему вхо- ду. Транзисторы 1\ и Т2-это ПТ, они применяются как простые аналоговые ключи Т3 - Т5 используются для форми- рования необходимых уровней управле- ния ключами от входного логического сигнала. Транзисторы Тг-Т5 можно за- менить на реле или, если угодно, на вы- ключатели. Можете представить их себе как простые однополюсные переключате- ли на одно направление (1П1Н). В том случае когда логический входной сигнал имеет высокий уровень («хране- ние»), ключи замкнуты и U3 заряжает аналоговый конденсатор «памяти» (С\) до уровня необходимого для поддержа- ния нулевого выходного сигнала. При этом схема не делает «никаких попыток» I
414 Глава 7 Ri /?8 100,0 кОм 10,0 кОм Рис 7.1. Лабораторный усилитель постоянного тока с автоподстройкой нуля. отслеживать быстрые изменения выход- ного сигнала, поскольку в применениях для которых предназначена эта схема, все сигналы-постоянного тока, и некоторое сглаживание является желательным свой- ством Когда ключ размыкается, напря- жение на конденсаторе фиксируется в ре зультате чего выходной сигнал оказыва- ется пропорциональным последующему отклонению входного сигнала Перед дальнейшим детальным объясне- нием принципов работы данной прецизи- онной схемы следует остановиться на ее некоторых дополнительных особенностях, (а) С'4 включен в схему первого порядка компенсации тока утечки конденсатора С1; конденсатор имеет тенденцию мед- ленно разряжаться через собственное со- противление утечки (минимум 100 ТОм, т е постоянная времени около двух не- дель’); при этом ток утечки компенсирует- ся небольшим зарядным током через Rl5, пропорциональным напряжению на Сг (б) Вместо одинарного ПТ-ключа приме- нены два ключа, которые соединены по- следовательно в «защищенное от утечки» устройство. Небольшой ток утечки в положении «выкл» проходит на землю через R23, поддерживая потенциал на всех выводах 7] в пределах милливольт по отношению к земле. Так как нет сколько* нибудь заметного перепада напряжении на Tt, то нет и сколько-нибудь заметной утечки! (Подобные приемы см. в Ра3^‘ 4.15 и на рис 4.50.) (в) Запоминаемое на пряжение с выхода ослабляется делйтеЛ®1 напряжения на резисторах R11-Rl^ в с° ответствии с установленным коэффипиеи
Прецизионные схемы и малошумящая аппаратура 415 м усиления. Это делается, чтобы из- бежать трудностей с динамическим диа- пазоном и точностью U3, так как ошибки дрейфа в схеме, запоминающей началь- ный уровень, не усиливаются на U2 (под- робности см. далее). 7,04. «Бюджет погрешностей» при проектировании препизионнои схемы Для каждого вида схемной погрешности и соответствующей стратегии проектиро- вания мы отведем несколько параграфов обшей дискуссии, сопроводив их иллю- страии ;и предыдущей схемы. Схемные погрешности разделяются на следующие категории: а) погрешности элементов внешних цепей; б) погрешности ОУ или усилителей, связанные с входными схе- мами в) погрешности ОУ, связанные с выходными схемами. Примерами таких категорий являются соответственно до- пуски резисторов, сдвиг входного напря- жения и погрешности, связанные с ко- нечной скоростью нарастания. Давайте подсчитаем наш бюджет по- грешности. В его основе лежит желание удержать погрешность, приведенную ко входу, в пределах 10 мкВ. дрейф выхода - ниже 1 мВ в 10 мин и точность коэф фипиента усиления-около 0,01%. Как и в любом бюджете, отдельные составляю- щие получаются в процессе достижения компромисса между тем, что должно быть сделано и имеюшейся технологией. В некотором смысле бюджет погреш- ности -это результат проектирования а не его исходный пункт Нам тем не менее Лобней иметь его сейчас. Бюджет погрешности (наихудшие значения) •- буферный усилитель U1. Погрешности наг яж ни У^ЧМПера а Бремя Источник питания смещения х RH Нагрев от тока нагрузки приведенные ко входу: 1.2 мкВ4=С 1,0 мкВ мес 0,3 мкВ/100 мВ из- менения 2,0 мкВ/l кОм Ди 0,3 мкВ на потной шкале 10 В 2 Усилительный каскад U2. Погрешности напряжения, Температура Время Источник питания Токовый дрейф отклоне- ния смещения Нагрев током нагрузки приведенные ко входу: 1,2мкВ/4°С 1,0 мкВ/мес 0,3 мкВ/100 мВ из- менения 1,6 мкВ/4°С/1 кОм 0.3 мкВ при полной шкале (Ra 10 кОм) 3 Усилитель хранения 1/3. Погрешности напряжения, приведенные в выходу: Температурный коэффициент сдвига 60 мкВ/4°С Источник питания 10 мкВ/100 мВ из- Потери в конденсаторе (см. бюджет тока) Прохождение заряда менения 100 мкВ/мин 10 мкВ Погрешности тока, протекающего через С, (нужны для приведенного выше бюджета погрешности по напряжению) Утечка конденсатора максимум (нескомпенси- рованная 100 нА типичная (компенсиро- ванная) 10 пА Входной ток U3 0,2 пА Сдвиг напряжения U3 и 1,0 пА Утечка ПТ-ключа в состоянии «выкл» 0,5 нА Утечка по печатной плате 5,0 пА Смысл различных «статей» этого бюд- жета будет выясняться по мере описания возможностей для выбора, возникающих при проектировании этой схемы. Будем следовать порядку перечисленных ранее категорий погрешностей: компоненты це- пей, приведенные ко входу погрешности входного усилителя, погрешности выход- ного усилителя. 7.05 Погрешности внешних пенен Степень точности источников опорного напряжения, источников тока, коэффици- ентов усиления усилителей и т. д. зависит от точности и стабильности резисторов, которые применяются во внешних цепях. Даже если прецизионность прямо не тре- буется, точность элементов все равно мо- жет дать значительный эффект, например в подавлении синфазных помех в диф- ференциальном усилителе, собранном на ОУ (см разд. 4.09), где отношения со-
416 Глава 7 противлений двух пар резисторов должны быть т< чно согласованы. Точность и ли- нейность интеграторов и генераторов пи- лообразного напряжения зависят от свойств применяемых конденсаторов, равно как и характеристики фильтров, контуров на- стройки и т.д Как мы увидим вскоре, в схеме существуют такие цепи, где точ- ность значений компонент является кри- тическим моментом, а есть и такие цепи, где она едва ли играет роль. Элементы обычно специфицированы п > начальной точности, а также по измене- нию значения параметров во времени (стабильность) и с температурой. Есть и дополнительные спецификации по коэф- фициенту напряжения (нелинейность) и необычным эффектам, таким как «па- мять» и диэлектрическое поглощение (для конденсаторов) Полная спецификация включает также эффекты от циклических температурных изменении и пайки уда- ров и вибраций, кратковременных пере- грузок и влажности, полученные при точ- но определенных условиях измерении У элементов с лучшей исходной точ- ностью как правило остальные парамет- ры также соотве ственно лучше, это де- лается с целью поднять общую стабиль- ность на уровень, сравнимый с уровнем исходной точности Общая погрешность, порождаемая остальными эффектами тем не менее, может превзойти указанный на- чальный допуск Будьте бдительны! Вот пример. Металлопленочныи резис- тор RN55C с допуском 1% имеет сле- дующие паспортные данные температур- ный коэффициент 5 -10-5/°С в диапазоне от —55 до + 175'С; коэффициент ста- бильности по отношению к циклическим изменениям температуры и нагрузки, а также к пайке 0,25° о, к ударам и вибрации 0,1%, к влажности 0,5%. Для сравнения: у композитно-углеродистого резистора (фирма Allen-Bradley, тип СВ) эти пара- метры таковы температурный коэффи- циент 3,3° о в диапазоне от 25 до 85 С. пайка и циклическая нагрузка - соо гветст- венно +4% и —6%, удар и вибрация + 2%, влажность 6%. Из этих специфи- кации становится очевидным, что нельзя просто отобрать (с помощью точного цифрового омметра) для работы в пре- цизионных цепях углеродистые резисто- ры, которые окажутся в пределах 1% 0? нужного номинального значения, а нужно взять 1%-ный резистор (или еще более точный), рассчитанный как на начальную точность, так и на долговременную ста- бильность Для исключительно высокой точности следует применять ультрапреци- зионные металлопленочные резисторы такие как 5023Z фирмы Мерсо (5 10 6/°£ и 0 025%) или пров элочные резисторы выпускаемые с допуском 0,01%. Допол- нительную информацию о прецизионных резисторах см в приложении Г «Нуль»-усилитель: погрешности элемен- тов. В описываемой схеме (рис. 7.1) ре- зисторы 7?3 Rg с допуском 0 01%, при- мененные в цепи, устанавливающей коэф- фициент усиления, задают его очень точ- но. Как мы увидим далее, значение R3 выбирается путем компромисса так как малые его значения уменьшают погреш- ность от тока сдвига U2, но увеличивают нагрев и тепловой дрейф Ut. Когда за- дано А3, приходится усложнять цепь об- ратной связи для того, чтобы значения резисторов были меньше 301 кОм наи- большего значения сопротивления до- ступных прецизионных резисторов с до- пуском 1%. Этот прием оосуждался в разд. 4 19. Заметьте, что резисторы с до- пуском 1% применены также в цепи ат- тенюатора начального отклонения (7?и - R 4); точность здесь несущее i венна, а ме- таллопленочные резисторы взяты только из за их хорошей стабильности. Как показывает бюджет погрешности, в этой схеме наибольшую погрешность дает утечка конденсатора хранения G- Конденсаторы, предназначенные для ра- боты с малыми утечками, специфициру- ются по утечке - иногда в виде сопротив- ления утечки, иногда в виде постоянной времени (мегаом х микрофарада). В ДаН' ной схеме С} должен иметь значение не меньше по крайней мере нескольких ми- крофарад, чтобы была мала скорость за- ряда от токов погрешности других эле- ментов (см. бюджет). В этом диапазоне емкостей наименьшей утечкой обладают полистиреновые, поликароонатные т п
Прецизионные схемы и малошумящая аппаратура 417 ацсульфоновые конденсаторы Выбран- ный нами конденсатор имеет утечку по спецификации не более 1 000 000 мегаом х X микрофарад, т.е. параллельное со- противление утечки составляет не менее 100 000 МОм. Но даже при этом ток утеч- кИ при полном вых. напряжении (10 В) будет 100 пА, это соответствует скорости падения напряжения на выходе около 1 мВ/мин -составляющая погрешности намного превышающая все остальные Поэтому мы и добавили описанную выше схему компенсации тока утечки. Мы име- ем право предположить что действитель- ная утечка может быть таким образом уменьшена до 0,1 от значения, указанного р паспорте конденсатора (на самом деле можно добиться намного большего улуч- шения) Большой стабильности от схемы компенсации утечки не требуется, поэто- му наши требования здесь скромны. Как мы увидим при обсуждении влияния сдви- гов напряжения, значение 1? 5 намеренно выбирается большим чтобы сдвиг напря- жения U3 не создавал заметных погреш- ностей по току. Говоря об ошибках, порождаемых внешними по отношению к самим уси- лителям элементами следует отметить, что утечка у ПТ-ключа обычно лежит в диапазоне 1 нА-значение для данной схемы совершенно неприемлемое Изящ- ный и действенный метод борьбы с этим состоит в применении двух последова- тельно соединенных ПТ, где утечка Т2 создает на Т напряжение лишь в 1 мВ, а утечкой в суммирующей точке U3 мож- но пренебречь. Этот метод иногда ис- пользуется в схемах интеграторов, (см. Разд 4 19) также использовали его в Усовершенствованной схеме пикового Jerei -пра в разд. 4.15 Как будет показа- но ниже U3 выбирается таким чтобы ток Погрешности через конденсатор Сг оста- Вался в пикоамперном диапазоне Здесь ^Ду одинаковая философия выбирайте •Нфигурацию схемы и типы элементов Так- чтобы вписаться в бюджет погреш- ®°СтИ- Иногда это очень трудная работа. ^Уюшая хитрых приемов, а в других •ЯУчаях легко все решается стандартными Особам Одним из таких источников погреш- ности в любой схеме с ПТ-ключами явля- ется перенос заряда с управляющего за- твора в несущий сигнал канал: переход- ные процессы с затвора через емкостную связь передаются на сток и исток. Как мы отмечаем в гл. 3, суммарный переданный заряд не зависит от времени переходного процесса, а определяется лишь размахом напряжения на затворе и емкостью пере- хода затвор - канал: А(7 = C3KAt73. В дан- ной схеме перенос заряда приводит к по- грешности напряжения автоподстройки нуля, поскольку заряд преобразуется в на- пряжение на запоминающем конденсато- ре Су. Эту погрешность легко оценить. В паспорте на ПТ 3N156 заданы макси- мальные значения емкостей Сзс (затвор сток) и Сзи (затвор-канал в основном по отношению к истоку), соответственно равные 1,3 и 5 пФ При этом перепад напряжения на затворе в 15 В вызовет перенос заряда, равный 75 пКл что со- ответствует скачку напряжения ДС'с = = &Q/Cy — 75 мкВ на конденсаторе Cj, имеющем емкость 10 мкФ Это в пре- делах нашего бюджета погрешностей; фактически мы скорее всего даже пере- оценили данный эффект так как вклю- чили в расчет не только емкость стока, но и емкость истока, в то время как на каком-то этапе переключения затвора канал разрывается, отсекая исток от стока. 7.06. Входные погрешности усилителя Отклонения входных характеристик ОУ от идеальных, обсуждавшиеся в гл. 4 (ко- нечность значений входного сопротивле- ния и входного тока, сдвиг напряжения, подавление синфазного сигнала и откло- нении питания, дрейф этих величин с тем- пературой и временем), создают, как пра- вило, серьезные трудности при проекти- ровании прецизионных схем и заставляют делать дополнительную работу при со- ставлении конфигурации схемы, подборе элементов и выборе конкретного ОУ. Лучше всего это пояснить на примерах,
418 Глава? что мы вскоре и сделаем. Заметим еще, что эти погрешности или им аналогичные существуют и у схем усилителей на дис- кретных компонентах. Входное сопротивление. Давайте обсу- дим бегло только что перечисленные источники погрешностей. Входное сопро- тивление образует делитель напряжения с сопротивлением источника, от которого сигнал поступает на усилитель, поэтому коэффициент усиления по отношению к расчетному снижается. Чаще всего это не проблема, так как входное сопротивле- ние значительно увеличивается за счет обратной связи. Например, операцион- ный усилитель ОР-77Е с входным каска- дом на биполярных, а не на полевых транзисторах имеет типовое значение «полного дифференциального входного сопротивления» 45 МОм В схеме с до- статочным петлевым усилением обратная связь поднимает входное сопротивление до значения «полного синфазного вход- ного сопротивления» 200000 МОм. Даже если этого мало, то можно воспользо- ваться ОУ с входным ПТ-каскадом, у ко- торого Авх достигает астрономических ве- личин. Входной ток смещения. Это более серь- езная вещь. Здесь речь пойдет о нано- амперных токах, что может вызвать ми- кровольтные ошибки даже при малых полных сопротивлениях источника поряд- ка 1 кОм. Снова на выручку приходят ПТ. но приходится мириться с большим воз- растанием сдвига по напряжению как пла- той за улучшение ситуации с током. Би- полярные ОУ со сверхвысоким Р, такие как LT1012. 312 и LM11, также могут иметь исключительно малый входной ток. Дтя примера сравним прецизионный биполярный операционный усилитель ОР-77 с LT1012 (биполярный, оптимизи- рованный для получения малого тока сме- щения), ОРА111 (на ПТ, прецизионный, с малым смещением), AD549 (ПТ со сверх- малым смещением) и ICH85OO (ОУ на МОП-транзисторах с исключительно ма- лым смещением); это наилучшие типы на момент написания данной книги, и мы выбрали самые лучшие модификации каждого типа: Ток сме- щения 7СМ, нА, при 25°С, не более Сдвиг входного напряжения Ссдв, мВ, при 25°С. не более Темпера- турный ко- эффициент для U мкВ/^*’ не более ОР-77Е (биполяр- ный) 2 0,025 0,3 LT1012C (супербета) 0,15 0,05 1,5 ОРАШВ (ПТ с р-п- переходом) 0,001 0,25 1 AD549L (ПТ с р-п- перехОдом) 0,00006 0,5 10 ICH8500A (МОП-тран- зистор) 0,00001 50 2000 По сравнению с прецизионным ОР-77 у хорошо спроектированных ПТ-усилите- лей ток смещения крайне мал, но намного больше сдвиг напряжения. Так как сдвиг напряжения всегда можно настроить на нуль, гораздо большее значение имеет его температурный дрейф. В этом смысле ПТ-усилители имеют параметры в 3 или 6000 раз хуже. В операционных усили- телях с наименьшими значениями вход- ного тока в качестве входного каскада используются МОП-транзисторы. Они становятся популярными из-за доступ- ности недорогих устройств, таких как 3440, 3160, серий TLC270 и ICL7610, а так- же устройств со сверхмалым током сме- щения, подобных названному ранее 8500А- Однако МОП-транзисторы в отличие от ПТ с р- «-переходом и биполярных тран- зисторов имеют очень большой дрейф сдвига напряжения со временем - эффект, который мы кратко обсудим. Поэтому выигрыш в погрешностях по току может быть потерян вследствие возрастания по- грешностей по напряжению. В любой схе- ме, где ток смешения может дать значи- тельный вклад в ошибку, имеет смысл убедиться в том, что в цепях обоих входов ОУ сопротивление источника одно и т0 же, как указывалось в разд. 4.12; после этого нас будет интересовать только та* кой параметр ОУ, как ток сдвига. ОДИ° замечание по поводу компенсации т°ка
Прецизионные схемы и малошумящая аппаратура 419 смешения. В ряде прецизионных ОУ при- меняется схема «компенсации смещения», которая уменьшает входной ток почти до нуля, а тем самым делает меньше и соот- ветствующую погрешность; чтобы по- смотреть, как это делается, вернитесь к дополнительному упражнению 8 в конце гд| 2. Имея дело с такого типа ОУ, вы практически ничего не выиграете, согла- я сопротивления на обоих входах, по- скольку остаточный ток смещения и ток сдвига у ОУ с компенсацией смещения сравнимы по величине. Помимо сказанного, есть еще одно об- стоятельство, которое надо помнить, при- меняя ОУ с ПТ-входом Дело в том, что входной ток «смещения» есть на самом деле ток утечки затвора и что он резко растет при повышении температуры (гру- бо говоря, удваивается при повышении температуры на каждые 10 °C; см. рис. 3.30). А так как ОУ с ПТ-входом часто разогреваются (ИМС 356 рассеивает в по- кое 150 мВт), то истинный входной ток может быть значительно выше указанно- го в таблице при 25 °C. Для сравнения укажем, что входной ток ОУ с биполяр- ным входным каскадом на самом деле есть ток базы, и с ростом температуры он падает (рис 7.2). Поэтому ОУ с ПТ-вхо- дом с его впечатляющими на бумаге дан- ными по входному току может не дать большого улучшения по сравнению с хо- рошим биполярным устройством со сверх- высоким значением 0. Пример: ОРЛ111 с входным током 1 пА при 25 С будет иметь входной ток 10 пА при температуре кристалла 65 °C, а это больше, чем у LT1012 со сверхвысоким 0 при той же температуре. Популярная серия ОУ с ПТ- входом 355 имеет входной ток, который Ч’авни м с входным током LT1012 или LM11 при 25 °C, но во много раз больше при повышенной температуре И наконец, при сравнении ОУ по входным токам в^ерегаитесь некоторых типов ПТ ОУ, Которых 1СЫ зависит от входного на- ряжения В спецификациях обычно ука- 3Ываегс только значение 7СМ при 0 В (сере- напряжения питания), однако в хо- Р°Ших паспортах на ОУ приводятся также рафики (см. типичную зависимость 7СМ от 14* Рис. 7.2. Температурная зависимость входного тока биполярного ОУ а-логарифмическая шкала: б-ли- нейная шкала Рис 7.3. Зависимость входного тока ПТ ОУ от синфазного входного напряжения.
420 Глава 7 Um на рис. 7 3) Обратите внимание на отличные характеристики О РАШ, являю- щиеся следствием каскодной схемы вход- ного каскада. Сдвиг напряжения. Сдвиг напряжения на входе усилителя - очевидный источник погрешности. У различных ОУ этот пара- метр сильно варьирует-от десятков ми- кровольт у «прецизионных» ОУ до вели- чин в 2-5 мВ у обычных бескорпусных ОУ, таких как LF411. К настоящему вре- мени чемпионом по минимуму сдвига сре- ди ОУ без стабилизации прерыванием является МАХ400М (С7сдв не превышает 10 мкВ). Мы ожидаем дальнейших дости- жений в этой области. Хотя большинство хороших одинарных ОУ (но не сдвоенные и не счетверенные) имеют выводы для регулировки сдвига, все же по ряду причин имеет смысл вы- бирать усилитель с малым начальным напряжением сдвига 17сдв макс Во-первых, у таким образом спроектированных ОУ наблюдается соответственно малый дрейф напряжения сдвига с температурой и вре- менем Во-вторых, достаточно точный ОУ не требует внешних элементов под- стройки (подстроечный потенциометр за- нимает место требует начальной под- стройки, а со временем настройка может изменится). В-третьих, дрейф напряже- ния сдвига и подавление синфазных на- пряжений ухудшаются из-за разбаланса, вносимого потенциометром, регулирую- щим сдвиг На рис. 7.4 показано, как ре- гулировка сдвига увеличивает температур- ный дрейф Из рисунка видно, как зависит регулировка сдвига от оборотов потен- циометра, с наилучшим разрешением в районе центра, особенно для больших значений сопротивления подстроечного потенциометра Наконец, вы, как прави- ло обнаружите, что рекомендуемая внеш- няя цепь обеспечивает слишком большой диапазон настройки, а в результате почти невозможно уменьшить Ucm до величины в несколько микровольт; но даже если это удастся сделать, настройка будет столь критичной, что вряд ли она останется надолго неизменной. И еще одно, о чем следует подумать, это то, что изготовите- лем прецизионного ОУ уже настроен Рис. 7 4 Типичная зависимость сдвига ОУ от числа оборотов регулирующего сдвиг многооборотного потенциометра для нескольких значений темпера- туры. нуль сдвига путем «лазерной подгонки» на стенде перед отправкой в продажу. Возможно, вам самим сделать это лучше не удастся. Наш совет: а) для прецизион- ных схем применяйте прецизионные ОУ и б) если вам требуется дополнительная подстройка сдвига, используйте схему тонкой подстройки, например одну из тех, что показана на рис. 7.5, где полный диа- пазон подстройки составляет + 50 мкВ Поскольку сдвиг напряжения может быть настроен на нуль то в конечном итоге значение имеет лишь дрейф сдвига со временем, при изменении температуры и напряжения питания Разработчики пре- цизионных ОУ много работают над ми- нимизацией этих погрешностей. В этом смысле наилучшими параметрами обта- дают биполярные ОУ (в противополож- ность ОУ с ПТ-входом), но при их при- менении в бюджете погрешностей может начать доминировать входной ток У !У4' ших ОУ дрейф не превышает 1 мкВ '€• а у наилучшего на сей момент ОУ без ста- билизации прерыванием AD707 Д17сда.м»» = 0,1 мкВ/°С. Еще один фактор, который с еДУеТ иметь в виду, это дрейф из-за самонагрева ОУ, когда он включен на низкооМНУ10 нагрузку Чтобы исключить большие по- грешности, вызываемые этим эффектор часто приходится ограничивать ниЖН значение сопротивления нагрузки 10 кО •
Прецизионные схемы и малошумящая аппаратура 421 Рис. 7.5. Внешние цепи подстройки сдвига для пре- цизионных ОУ. а-инвертирующего; б-неинверти- рующего Как правило, это может ухудшать бюд- жет погрешностей следующего каскада от тока смещения! Именно такого рода Проблему мы встретим в нашем примере Ироектир (ания Для тех схем, где важен Дрейф в несколько микровольт, заметное влияние начинают оказывать температур- ки градиент (от расположенных вблизи Выделяющих тепло компонентов) и тер- ^°“Э. д. с (от контактов разнородных ме- ТаЛлов) Эти вопросы возникнут вновь, к°гДа мы будем обсуждать в разд 7.08 СВеРхпрецпзионныи усилитель со стаби 4изациеи прерыванием. В табл. 7.1 дано сравнение наиболее важных параметров семи наилучших пре- цизионных ОУ. Потратьте на нее некото- рое время-это позволит вам хорошо по- чувствовать те компромиссы, на которые приходится идти при разработке высо- кокачественных ОУ Обратите внимание на противоречия между такими парамет- рами, как сдвиг напряжения (и его дрейф) и входной ток у биполярных ОУ и ОУ на ПТ с ^-«-переходом Вы получите также наименьшее напряжение шумов у бипо- лярных ОУ, причем оно падает при уве- личении тока смещения; ниже, при рас- смотрении шумов в этой главе, увидим, почему это происходит. Однако желание получить низкий ток шумов всегда ведет к выбору ПТ ОУ, причины чего также будут ясны позднее. Вообще для получе- ния малых входного тока и тока шумов выбирайте ПТ ОУ, а биполярные ОУ- для малых напряжения сдвига, дрейфа и напряжения шумов Среди ОУ с ПТ-входом доминируют ОУ на ПТ с р-и-переходом, особенно там, где нужна точность В частности, МОП- транзисторы имеют уникальный ухуд- шающий их параметры эффект, который не наблюдается ни у биполярных тран- зисторов, ни у ПТ с р-и-переходом Он выражается в том, что примесь ионов нат- рия в изолирующем затвор слое медленно перемещается под воздействием электри- ческого поля, порожденного напряжением ^зи(вкл)’ что приводит к дрейфу сдвига напряжения порядка 0,5 мВ за несколько лет Этот эффект усиливается при повы- шении температуры и при большом сиг- нале на дифференциальном входе Напри- мер, в паспорте ОУ с МОП-транзистор- ным входом СА3420 указано в качестве типичного изменение Ссдв 5 мВ за 3000 ч работы при 125 °C и входном напряжении 2 В. Эту натриево-ионную болезнь можно вылечить, вводя фосфор в область затво- ра. Например, фирма Texas Instruments использует в своих «линейных» КМОП-сериях ОУ (TLC270) и компара- торов (TLC339 и TLC370) затворы из поликристаллического кремния легиро- ванного фосфором. Это популярные недо- рогие устройства выпускаемые в различ-
Таблица 7.1. Семь прецизионных ОУ
Прецизионные схемы и малошумящая аппаратура 423 НЬ1Х корпусах, с различными характери- стиками по быстродействию и мощности й позволяющие получить приемлемый временной дрейф напряжения сдвига 50 мкВ сдвига на каждый вольт диффе- ренциального входного напряжения). Имеется важное исключение из общего правила, по которому ПТ ОУ, а особенно ОУ на МОП-транзисторах, имеют боль- шее начальное напряжение сдвига и на- много больший дрейф [7СДВ с температурой й временем, чем биполярные ОУ. Это исключение касается так называемых уси- лителей с автоподстройкой нуля (или со стабилизацией прерыванием), в которых применяются аналоговые МОП-ключи и усилители для восприятия и корректиров- ки остаточной погрешности сдвига обыч- ного ОУ (который сам часто изготавлива- ется на МОП-транзисторах на том же кристалле). ОУ со стабилизацией преры- ванием дают даже более низкие напря- жения сдвига и дрейф, чем наиболее точ- ные биполярные ОУ-5 мкВ (макс.) и 0,05 мкВ ЭС (макс ) - но не бесплатно. Они имеют некоторые неприятные особеннос- ти. которые делают их неприемлемыми для многих применений. Мы детально обсудим эти особенности в разд. 7.08. Коэффициент ослабления синфазных сиг- налов. Недостаточный коэффициент ослаб- ления синфазных сигналов (КОСС) ухуд- шает точность схемы, так как появляется сдвиг напряжения, зависящий от уровня сигнала постоянного тока на входе. Обыч- но этим эффектом можно пренебречь: он эквивалентен малому изменению коэффи- циента усиления и в любом случае может ыть преодолен за счет выбора конфи- скации Инвертирующий усилитель в от- -Ичис от неинвертируюшего нечувстви- телен к КОСС операггионного усилителя Однако в измерительных усилителях час- то выделяется малый дифференциальный сигнал на фоне большого синфазного сме- Щения, поэтому большое значение КОСС Шляется существенным параметром этих случаях надо тщательно продумы- Вать конфигурацию схемы, а кроме то- выбирать ОУ с большим значением ₽СС. И снова проблемы поможет ре- шить ОУ высшего класса вроде ОР-77 с минимальным КОСС, равным 120 дБ (для сравнения: ОУ типа 411 обладают весьма умеренным КОСС в 70 дБ). Мы в дальнейшем кратко рассмотрим диф- ференциальные и измерительные усили- тели с высоким коэффициентом усиления и высоким КОСС. Коэффициент ослабления изменений пи- тания (КОИП). Изменение напряжения питания-причина небольших погрешнос- тей ОУ. Как и большинство параметров ОУ, коэффициент ослабления изменений питания (КОИП) специфицируется по от- ношению к сигналу на входе. Например, ОР-77 по спецификации обладает КОИП равным НО дБ на постоянном токе, т.е. изменение напряжения одного из источни- ков питания на 0,3 В создает выходной сигнал, эквивалентный изменению сигна- ла на дифференциальном входе на 1 мкВ КОИП резко падает с ростом частоты, и график, подтверждающий его безобраз- ное поведение, часто приводится в пас- портных данных. Например, у нашего фа- ворита ОР-77 спад КОИП начинается с О 3 Гц и при 60 Гц он равен 83 дБ. а при 10 кГц-42 дБ. На самом деле это не соз- дает больших трудностей, так как шум источника питания также падает при уве- тичении частоты, если источник питания как следует шунтирован емкостью. Прав- да, при использовании нестабилизирован- ного источника питания могут доставить неприятности пульсации с частотой 100 Гц КОИП для источников питания поло- жительной и отрицательной полярностей вообще говоря, различен, но это не играет никакой роли. Поэтому применение сдво- енного стабилизатора (разд. 6 19) может не дать никакого выигрыша «Нуль»-усилитель: входные погрешнос- ти. Схема усилителя на рис. 7.1 начинает- ся с повторителя. Это сделано для обеспе- чения большого входного полного сопро- тивления Здесь есть соблазн применить ПТ, но потери от большого С/сдв пере- крывают выигрыш, даваемый малым зна- чением входного тока, за исключением тех случаев, когда источник входного сиг- нала имеет большое полное сопротивле- ние. Для ОУ ОР-77 ток смещения 2 нА создает погрешность 2 мкВ на 1 кОм пол-
Таблица 7.2. Прецизионные ОУ Тип Изгото- Входное напряжение витель1' __________________________________ сдвига, дрейф мкВ _______________________ Входной ток, нА Смещения Сдвига температурный, временной, мкВ/°С мкВ/мес тип. макс. тип. макс. ТИП. тип. макс. тип. макс. Биполярные ОР-07А РМ + 10 25 0,2 0,6 0,2 0,7 2 0,3 2 ОР-08Е РМ 70 150 0,5 2.5 — 0,8 2 0,05 0,2 0,01 LM11 NS + 100 300 1 3 1 0.025 0,05 0,5 пА ОР-12Е РМ + 70 150 0,5 2,5 — 0,8 2 0,05 0,2 ОР-20В РМ 60 250 0,75 1,5 — 12 25 0,15 1,5 ОР-21А РМ 40 100 0,5 1 — 50 100 0,6 4 ОР-27Е РМ + 10 25 0,2 0,6 0,5 10 40 7 35 ОР-37Е РМ + 10 25 0,2 0,6 0,5 10 40 7 35 ОР-50Е РМ 10 25 0,15 0,3 — 1 5 0,1 1 ОР-62Е РМ — 200 — — — — 300 — 100 ОР-77Е РМ 10 25 0.1 0.3 0.3 1,2 2 0,1 1,5 ОР-90Е РМ 50 150 0,3 2 — 4 15 0,3 3 ОР-97Е РМ 10 25 0,2 0,6 0,3 0,03 0,1 0,03 0,1, МАХ400М МА 4 10 0,2 0,3 0.2 0,7 2 0,3 2 LM607A NS 15 25 0,2 0,3 0,2 1 2 0,5 2 AD707C AD 5 15 0,03 0,1 0,2 0,5 1 0,1 1 LT1001A LT 10 25 0,2 0,6 0,2 1 4 0,8 4 LT1006A LT 20 50 0,2 1,3 0,4 9 15 0,12 05 LT1007A LT 10 25 0,2 0,6 0,2 10 35 7 30 LT1012C LT + 10 50 0,2 1,5 0,3 0,03 0,15 0,02 0,15 LT1013A LT 40 150 0,4 2 0,4 15 35 0.2 15 LT1028A LT 10 40 0.2 0.8 0.3 25 90 12 50 LT1037A LT 10 25 0.2 0.6 0.2 10 35 7 30 RC4077A RA 4 10 0,1 0,3 0,2 0,3 2 0,1 1,5 НА5134 НА 25 250 — 5 — — 25 НА5135 НА 10 80 0,4 1,3 — 1 4 4 НА5147А НА 10 25 0,2 0,6 — 10 40 7 35
Входной шум, средн коип мин Коэффи- циент Скорость нарастания средн. Время уста- новления Примечания напряжения нВ/Гц,/2 тока, г“” фА/Гц1 2 дБ усиления мин., 103 средн., В/мкс МГц средн., мкс 0,1% 0,01% .— ца частоте На частоте 10Гп 1 кГц 10 Гц 1 кГц 10,3 9,6 320 120 100 300 0,17 0,6 Классический пре- цизионный ОУ 22 20 150 130 104 80 0,12 0,8 — — Улучшенный 308 180 150 15 4 100 100 0,3 0,5 — 70 Наименьшее среди 22 20 150 130 104 80 0,12 0,8 биполярных сме- щение Улучшенный 312 58 58 140 90 100 500 0,05 0,1 — — Микромощный 21 21 380 210 104 1000 0,25 0,6 — — Маломощный 3,5 3 1700 400 100 1000 2,8 8 — — М алошу мящий 3,5 3 1700 400 100 1000 17 63 — — Малошумящий, 5,5 4,5 300 230 126 ЮМ 3 252) 30 декомпенсир. ОР-27 (К > 5) Сильноточный, 2,5 105 350 15 50 малошумящий, декомпенсир. (К >5) 10,3 9,6 320 120 НО 5000 0,3 0,6 — — Улучшенный ОР-07 60 60 1600 700 104 700 0,01 0,02 — — Микромощный 17 14 20 6 114 300 0,2 0,9 — — Маломощный 10,3 9,6 320 120 100 500 0,3 0,6 — ОР-77 Наименьшее Ucm 9 6,5 320 120 100 5000 0,7 1,8 среди ОУ без прерывания 10,3 9,6 320 120 120 8000 0,3 0,9 — — Улучшенный 10,3 9,6 320 120 ПО 450 0,25 0,8 ОР-07; сдвоен- ный 708 23 22 70 30 106 1000 0,4 — — Однополярное пи- 2,8 2,5 1500 400 ПО 7000 2,5 8 тание; по заказу /пит = 90 мкА Малошумящий, 17 14 20 6 ПО 200 0,2 0,8 — — ОР-27 Малый ток сме- 24 22 70 15 103 1500 0,4 0,8 щения, улуч- шенный 312; РМ10126* Улучшенный 358/ 1 0,9 4700 1000 117 7000 15 75 324; однополяр- ное питание7) Сверхмалый шум 2.8 2,5 1500 400 ПО 7000 15 60 — — Декомпенсир. 1007 ю,з 9,6 320 120 ПО 2500 0.25 0,8 — — (К > 5), ОР-37 Наименьшее 17сдж 7 200 250 4 среди ОУ без прерывани Счетверенный, ма- 3,5 9 3 400 1700 140 400 94 80 1000 1000 0.8 35 2.5 140 11 0,4 13 лошумящий Малошумящий. быстродейству- ющий. без час- тотной компен- сации (К > 10)
Продолжение табл. 7.2 Тип Изгото- витель1’ _ Входное напряжение Входной ток нА Входной шум, средн. коип мин., дБ Ц Коэффи- циент усиления мин, 103 Скорость нарастания средн.. В/мкс Уср средн., МГц Время уста- новления средн., мкс Примечания сдвига, мкВ дрейф температурный, мкВ/~С временной, мкВ/мес Смещения Сдвига -— напряжения нВ/Гп1'2 ' На частоте тока, фА/Гц1/2 На частоте 0,1% 0,01% тип. макс. ТИП макс. ТИП. ТИП. макс. ТИП макс. ']о?Ц 1 кГц 10 Гц 1 кГ На ПТ с р- ОРАЮ1В -«-переходом ВВ 50 250 3 5 6пА 0,01 1.5пА 4пА ——- 25 8 1,4 1.4 86 60 7 20 2,5 10 Малошумящий ОРА111В вв 50 250 0,5 1 0,5пА 1пА 0,ЗпА 0,7пА 30 7 0,4 0,4 100 1000 2 2 6 10 декомпенсиро- ванный-ОРА 102 Малый шум, ма- LF««« NS 1000 — 0,05 0,01 0.01 0.05 14 3,5 10 10 80 100 20 10 — — лое смещение Наименьший шум LF455A NS 75 180 3 4 7пА 0,05 ЗпА 0,02 | 100 12 10 10 86 200 5 3 4 среди ОУ на ПТ с р- «-перехо- дом, импульс- ный шум отсут- ствует 456 и 457 более AD547L AD 250 1 0,01 0,025 2пА 70 30 80 250 3 1 3.5 4.5 быстродейству- ющие Сдвоенный = AD548C AD 100 250 2 15 ЗпА 0,01 2пА 5пА 80 30 2 86 300 1.8 1 6 7 AD642, 647 Улучшенный AD711C AD 100 250 2 3 15 0,015 0.025 5пА 0,01 45 18 10 86 200 20 4 0,9 1 LF441; сдвоен- ный = AD648 Улучшенный LT1055A LT 50 150 1,2 4 5 0,01 0.05 2пА С,01 28 14 2 2 90 150 13 5 1,2 1,8 LF411/2 LT1056 на 20% НА5170 НА 100 300 2 5 — 0,02 0,1 ЗпА 0,06 20 10 50 10 74 300 8 8 1 1,1 быстрее Малошумящий На ПТ с р- ОР-44Е -«-переходом. РМ высокоскоростные 30 750 4 10 5 0.08 0,2 4пА 0,04 38 13 '2— 7 90 500 120 16 0.2 L1 Декомпенсир. LF401A ОРА404В ВВ 260 200 750 3 — — 1пА 0.2 4пА 0.5пА 1 4пА 60 32 23 15 0,6 10 0,6 80 86 100 40 30 35 16 6,4 0,2 0,6 0,3 1,5 1 (К>3) Быстрое установ- ление Счетверенный ОРА602С ВВ 100 250 1 2 — 0,5пА 1пА 0,5пА 1пА 23 13 0,6 0.6 86 40 35 6,5 0,7 Малое смещение. ОРА605К ВВ 250 500 — 5 — 0,01 0,035 2пА - 80 20 — — 74 — 94 20 0,3 0,4 быстрое уста- новление Некомпенсир. OPA606L ВВ 100 500 3 5 — 5пА 0,01 0.4пА 5пА 1 ► 30 13 1.3 1.3 90 100 35 13 1 2.1 (К > 50) Улучшенный AD744C AD 100 250 2 3 15 0,03 0.05 0,01 0 - 45 18 — 10 92 250 75 13 0.4 0,5 LF356 Малые перекрест- AD845K AD 100 250 1,5 3 — 0,25 0,4 0.015 0 5 г 80 25 100 98 200 100 16 0,3 0,3 ные искажения (ЗЮ-6); деком- пенсированный (К >2) Быстрое установ- LT1022A LT 80 250 1,3 5 0,01 0,05 2пА 0,01 1 ’ 28 14 2 2 88 150 26 8,5 0,8 1,8 пение Стабилизированные прерыванием МАХ420Е МА 1 5 0,02 0.05 0,1 0,01 0.03 0,015 0,06 1,13 — 10 — 120 1000 0.5 0.5 — ±15 В 17пит, у 430 МАХ422Е МА 1 5 0,02 0.05 0,1 0.01 0.03 0.015 0.06 1,13) 10 120 1000 0.13 0.13 конденсаторы встроены ±15 В [7^^432 LMC668A NS 1 5 0,05 0,1 0.02 0.06 —• 1 1 23) 10 120 1000 2.5 1 конденсаторы встроены
428 Глава 7 Прецизионные схемы и малошумящая аппаратура 429 Тип Изгото- витель1’ Входное напряжение ——— ——— Входной ток, нА сдвига, мкВ дрейф Смещения Сдвига температурный, временной, мкВ/°С ТИП. макс. ТИП. макс. ТИП. ТИП. макс. ТИП. макс. TSC900A TS — 5 0,02 0,05 — — 0,05 0,5пА TSC901 TS 7 15 0,05 0,15 — 0,03 0,05 0,05 0,1 TSC911A TS 5 15 0,05 0,15 — — 0.07 5пА 0,02 TSC915 TS TSC918 10 50 0,01 0,4 0,1 0,8 0,03 0,1 0,1 0,05 0,5пА 0,1 LTC1050 LT 0,5 5 0,01 0,05 0.054) 0,01 0,03 0,02 0,06 LTC1052 LT 0,5 5 0,01 0,05 0,141 1пА 0,03 5пА 0,03 ICL7650 IL + 0,7 5 0,02 0,1 0,1 4пА 0,01 8пА 0,02 ICL7652 IL + 0,7 5 0,01 0,06 0,2 ЗпА 0,03 0,015 0,04 TSC76HV52 TS — 10 — 0,3 — 0,03 0,1 0,05 0,1 ” См. примечание к табл. 4.1; 2) при К = 50; 3) мкВ от пика к пику, 0,1-10 Гц; 4) мкВ/мес1'*; 51 полное Продолжение табл. 7.2 Входной шум, средн. КОИП _ мин., дБ Коэффи- циент усиления мин, 103 Скорость нарас ания средн., В/мкс /ср средн., МГц Время уста- новления средн., мкс Примечания напряжения нВ/Гц1/2 тока, фА/Гц1/2 0,1% 0,01% На частоте На частоте Ю Гц 1 кГц 10 Гц 1 кГц 43> 53) И3’ — — — 120 120 112 1000 1000 600 0,2 2 2,5 0,7 0,8 1,5 — — Маломощный — + 15 В питание; встроенные кон- денсаторы — Встроенные кон- 0,83) 120 1000 0,5 0,5 денсаторы; шу- мящий — + 15 В питание 43) — — — 105 100 0,2 0,7 — — Недорогой 1,63) — 2,2 — 125 300 4 2,5 — — Встроенные кон- 1,53) 0,6 — 120 1000 4 1,2 — денсаторы — Улучшенный 7652 23) — 10 — 120 6000 2,5 2 — — Улучшенный 7650 0,73) — 10 — 120 6000 1 0,5 — — Улучшенный 7652 0,83) — — — 120 1000 0,5 05 — — ±15 В 7652 питание = 18 В (если не указано что-либо другое); 6) сдвоенный = 1024; 7) счетверенный = 1014. кого сопротивления источника сигнала, a LT1055A на ПТ с р—«-переходом, хотя и дает пренебрежимо малую погрешность от тока, имеет дрейф сдвига напряжения порядка 16мкВ/4°С (4 °C принято за стандартный диапазон изменений темпе- ратуры воздуха в лабораторных услови- ях) Входной повторитель снабжен на- стройкой нуля сдвига, поскольку началь- ное значение 25 мкВ (по спецификации) слишком велико. Как отмечалось выше, обратная связь увеличивает входное пол- ное сопротивление до 200 000 МОм, и тем самым ошибка коэффициента усиления, порожденная конечным полным сопро- тив гнием источника, меньшим 20 МОм будет меньше 0,01%. Диоды Дг и Д2 включены в схему защиты от перенапря- жения на входе и должны иметь малую утечку (меньше 1 нА). U j управляет инвентируюшим усилите- лем (U2), а значение R3 выбирается из соображений компромисса между погреш- ностью температурного сдвига Ur и по- грешностью дрейфа тока смещения U2. Выбранное значение удерживает нагрев в пределах 5,6 мВт (при наихудших усло- виях 7,5 В на выходе), что ведет к по- вышению температуры на 0,8 °C (тепло- вое сопротивление ОУ около 0,14сС/мВт, см. разд. 6.04) с соответственным сдви- гом напряжения 0,3 мкВ. Сопротивление 10 кОм на входе U2 создает погрешность тока смешения, но так как U2 вместе с 1/3 охвачены петлей обратной связи, сводя- щей полный сдвиг к нулю, единственный существенный параметр-это температур- ный дрейф токовой погрешности. Для ОР-77 в паспорте приводятся данные о температурном дрейфе тока смещения (не часто указываемые изготовителями)- из которых следует что вклад дрей- фа тока в бюджет погрешности равен 1.6 мкВ 4=С. Снижение значения R3 умень- шит этот вклад, но ценой увеличения по- грешности от нагрева 17 Как было сказано выше в общем описа' нии схемы, значение R3 таково, что тре- буется использование причудливого Т-об- разного звена в обратной связи. чтооЫ значения резисторов обратной связи ока- зались в диапазоне номиналов прецизион- ных проволочных резисторов. Если поль- зоваться обычный конфигурацией инвен- тирующего усилителя, то понадобятся ре- зисторы на 100 кОм, 1 МОм и 10 МОм для коэффициента усиления 10, 100 и 1000 соответственно. Входное полное сопротивление U2 мо- жет вызвать некоторые затруднения. При коэффициенте усиления, равном 1000, его Дифференциальное входное сопротивле- ние 25 МОм умножается благодаря сле- дящей связи на А/1000 и составляет в зам- кнутой схеме 125000 МОм. К счастью, 'это более чем в миллион раз превосходит вьг.одное сопротивление цепи, устанавли- вающей коэффициент усиления (9.4 кОм). Поэтому погрешность будет намного Меньше 0,01%. Это один из худших слу- чаев, который можно себе представить, но Даже и здесь входное сопротивление ОУ не создает проблем. Отсюда видно, что •№^цное сопротивление ОУ не создает ни- каких эффектов, которые стоило бы учи- тывать. К Дрейф напряжения сдвига U 3 и U2 от Времени, температуры и напряжения пи- тания влияют на суммарную погрешность в равной степени, и их значения приве- дены в бюджете. Укажем, здесь что они автоматически компенсируются в каждом «обнуляющем» цикле, поэтому играет роль только кратковременный дрейф. Эти погрешности благодаря качеству ОУ ле- жат в микровольтовом диапазоне. U3 имеет несколько больший дрейф, но его приходится брать ПТ-типа, чтобы обес- печить малые значения тока утечки кон- денсатора. Так как выходной сигнал U3 ослабляется пропорционально выбранно- му коэффициенту, то эта погрешность. отнесенная ко входу, при больших коэф- фициентах усиления ослабляется. Это важный факт, поскольку большие коэф- фициенты усиления употребляются при низких уровнях сигнала, для которых тре- буется большая точность. Погрешности, создаваемые U3 на выходе, всегда оди- наковы. поэтому они специфицируются в бюджете погрешностей как выходные погрешности (приведенные к выходу). Обратите внимание на некоторые об- щие принципы проектирования, которые
430 Глава 7 проясняются на этом примере: вы решае- те некоторый набор задач, выбирая кон- фигурацию и элементы так, чтобы умень- шить погрешности до приемлемых зна- чений. При этом необходимо идти на некоторые компромиссы и уступки, при этом их выбор зависит от внешних фак- торов (например, использование в качест- ве повторителя ОУ с ПТ-входом пред- почтительнее, если полное сопротивление источника сигнала больше 50 кОм). В табл. 7.2 содержатся данные ОУ, ко- торые можно использовать при проекти- ровании прецизионных схем 7.07. Выходные погрешности усилителя Как указывалось в гл. 4, операционные усилители имеют существенные ограниче- ния, связанные с их выходным каскадом. Ограниченная скорость нарастания, нели- нейные искажения выходного сигнала (см. разд. 2 15), конечное выходное сопротив- ление разомкнутого контура могут при- чинить неприятности и. если их не учи- тывать, привести к ошеломляюще боль- шим погрешностям прецизионной схемы Скорость нарастания: общие соображе- ния. Как отмечалось в разд. 4.11, измене- ния напряжения выходного сигнала ОУ могут происходить со скоростью, не пре- вышающей некоторого максимума Этот эффект порождается схемой частотной коррекции ОУ, как увидим при более детальном анализе Одним из следствий конечности скорости нарастания является ограничение амплитуды выходного сигна- Рис. 7.6. Частотная зависимость максимальною размаха сигнала на выходе ОУ ла на высоких частотах, равное, как было показано в разд 4 12 и как видно На рис. 7.6, Г7пп — S itf, где Ппп-полный размах сигнала. Второе следствие лучще всего объяснить с помощью графика за- висим осги скорости нарастания от напря- жения дифференциального входного сиг- нала (рис. 7.7). Смысл его в том, что схема, требующая большой скорости на- растания. работает с существенными на- пряжениями между входными клеммами ОУ. Это может привести к катастрофи- ческим последствиям в схеме, претендую- щей на высокую точность. Рис. 7.7. Для получения полной скорости нарастания ОУ требуется значительное дифференциальное вход- ное напряжение. Чтобы понять, чем определяется ско- рость нарастания, заглянем внутрь опе- рационного усилителя. Подавляющее большинство ОУ могут быть смоделиро- ваны схемой изображенной на рис. 7.8. Дифференциальный входной каскад, на- груженный на токовое зеркало, возбужда- ет каскад с большим коэффициентом уси- ления по напряжению и с корректирую- щим конденсатором между выходом и входом Выходной каскад-пушпульный повторитель с единичным коэффициентом усиления Корректирующий конденсатор выбирается так чтобы коэффициент vch- тения разомкнутой петли усилителя ста- новился равным епинитте раньше, чем сдвиг фазы, вызванный остальными кас- кадами усилителя, станет существенным. Таким образом, С выбирается таким, что- бы /ср, полоса частот единичного коэф- фициента усиления, была близка к по- люсу, с которого начинается спад усиле- ния следующего каскада, как описывалось в разд. 4.34. Входной каскад имеет очень высокое выходное сопротивление и ДЛЯ следующего каскада является источником тока
Прецизионные схемы и малошумящая аппаратура 431 +[/кк В операционных усилителях возникает ограничение скорости нарастания, когда выходной сигнал возбуждает один из транзисторов дифференциального каска- да почти до насыщения, действуя на сле- дующий каскад полным током эмиттера в дифференциальной паре. Это происхо- дит при дифференциальном входном на- пряжении около 60 мВ при котором со- отношение токов в дифференциальном каскаде равно 10:1. В этот момент на- пряжение коллектора Т5 изменяется с мак- симально возможной скоростью, а весь ток 1Э идет на заряд конденсатора С Таки м образом, Т5 и С образуют интегра- тор с ограниченной скоростью нарастания йа выходе Выведем выражение скорости Нарастания Скорость нарастания: детальное рассмотрение, всего напишем выражение для коэффициента Усиления разомкнутого контура по напряжению при |йяом сигнале переменного тока без учета сдвигов фаз Av = g^c = g/2nfC, ^Уда полоса единичного усиления (частота, при Которой Av - 1) есть 4P^(l/2n)(gm/C). Рис. 7.8. Типичная схема внутренней частотной коррекции ОУ. Скорость нарастания определяется током /э, заря- жающим конденсатор С: S = dU/dt = 7Э/С. Для обычного дифференциального усилителя без эмиттерных резисторов дт связано с 1Э соотношением Qm = 1Лэ = = h/50 мВ Подставляя это выражение в формулу скорости на- растания, находим S = т.е. скорость нарастания пропорциональна дт/С, как и ширина полосы единичного усиления. В самом деле, S — 4nUTf = 0,3/ , где/ выражено в МГц, а S-в В/мкс. Это выражение не зависит от конкретных значений С, дт, 1Э и т.д. и дает хорошую оценку для скорости нарастания (классический ОУ 741, например, имеет / ~ 1,5 МГц и скорость нарастания порядка 0,5 В/мкс). Отсюда ясно, что ОУ с большим значе- нием произведения ширины полосы пропускания на коэффициент усиления (f ) будет иметь большую скорость нарастания. Нельзя улучшить быстродейст- вие ОУ только увеличивая ток /э входного каскада, поскольку увеличение коэффициента усиления (за счет роста дт) требует соответственного увеличения зна- чения С для частотной коррекции. Добавочное усиле- ние в других каскадах ОУ также не помогает. Из изложенного ясно, что увеличение / за счет увеличения токов коллекторов, подбора более быст родействующих транзисторов и т. д. увеличивает ско- рость нарастания. Конечно, всегда желательно иметь большое значение / , и это хорошо известно раз- работчику ИС, который конечно же сделал, проекти- руя кристалл, все, что мог. Тем не менее существует способ обойти ограничение S = 0,3/ , и он основан на том, что крутизна определяется значением /э (дт — = 1Э,2СТ). Можно использовать простой прием для величения 1Э (и соответственно скорости нарастания при фиксированном значении / , а в силу этого и при фиксированной форме частотной характеристики Проще всего добавить некоторое сопротивление в эмиттерную цепь дифференциального входного кас- када. Предположим что мы сделали что-нибудь в этом роде, в результате чего 1Э вырос в т раз при постоянном значении дт. Повторив приведенные вы- ше выкладки, потучим S = О.Зпг/ . Упражнение 7.1 Покажите что описанный прием дает указанный эффект Увеличение скорости нарастания. Итак, существует несколько способов получения высокой скорости на- растания: а) применить ОУ с большим /с ; б) увели чить / за счет уменьшения емкости конденсатора частотной коррекции; конечно, это возможно только
432 Глава 7 Прецизионные схемы и малошумящая аппаратура 433 Дополнитель- ный усилитель тока в тех схемах, где коэффициент усиления при замк- нутом контуре обратной связи больше единицы: в) уменьшить крутизну входного каскада д„. добавив в эмиттерную цепь дополнительные резисторы, а за- тем пропорционально увеличить 1Э или уменьшить С; г изменить схему входного каскада. Третий способ (уменьшение дт) применяется во многих ОУ Например, НА2605 и НА2505 почти одинаковы, но НА2505 содержит эмиттерные резис- торы во входном каскаде, которые увеличивают ско- рость нарастания ценой уменьшения коэффициента усиления разомкнутого контура. Это иллюстрируется приводимыми данными. ПТ ОУ с их малым входного каскада имеют более высокую скорость нарастания по тем же причинам. (Скорость нарастания Коэффициент усиления усилителя без обратной связи НА2605 12 МГц 7 В/мкс 150000 НА2505 12 МГц 30 В/мкс 25000 Четвертый способ состоит в применении «пере крестно-сдвоенного уменьшения крутизны», для ко- торого требуется введение в схему входного каскада целого дополнительного набора транзисторов, бол- тающихся без дела при малых значениях сигнала, но всегда готовых, если нужно, дать дополнительный ток. Это дает выигрыш в виде улучшения характе- ристик ОУ по шумам и смещению, достающийся ценой некоторого усложнения схемы по сравнению с простой добавкой эмиттерных резисторов. Данный прием применяется в изделиях фирм Harris НА5141 и НА5151, Raytheon 4531, Signetics 535 и 538 для увеличения скорости нарастания при больших диф- ференциальных входных сигналах. Зависимость ско- рости нарастания от входного дифференциального сигнала показана на рис. 7.9. Полоса пропускания и время установле- ния. Скорость нарастания-это показатель того, насколько быстро может изменяться напряжение выходного сигнала Специфи- кация ОУ по скорости нарастания дается обычно в предположении большого диф- Вход = логический порог или при Рис. 7.10. Определение времени установки. * Иногда определяется при 1/вых Гвых 0,5 Гконеци. ференциального входного напряжения (60 мВ и более), что вполне оправдано: при обычно встречающихся на практике значениях петлевого усиления ОУ, выход- ное напряжение которого отличается от того, которое, должно быть, будет испы- тывать на входе сильное воздействие сиг- нала по цепи обратной связи. В высоко- скоростных прецизионных схемах не ме- нее важно время установления выходного сигнала на том значении, к которому оно стремится, следуя за изменением входно- го Этот параметр -время установления (время, необходимое для установления выходного сигнала с заданной точностью на окончательное значение, см. рис 710)- всегда приводится в паспортах таких устройств как цифро-аналоговые преоб- разователи, где точность-суть игры, а для ОУ он обычно не указывается. Мы сможем оценить время установле- ния ОУ, рассмотрев вначале другую про- блему, а именно: что произойдет с идеаль- ным скачком напряжения в некоторой цепи, нагруженной на простой RC-фильтр низкой частоты (рис. 7.11). Несложно вы- числить, что отфильтрованный сигнал бу- дет иметь время установления указанное на этом рисунке. Это действительно ва*' ный результат, поскольку часто мы огра' ничиваем при помощи фильтра полосу пропускания, чтобы уменьшить шум 0 этом еще будет сказано в этой главе/- рцС. 7.11. Время установления RC-фильтра нижних частот. распространяя этот простой результат на 0У, достаточно вспомнить, что ОУ с час- тотной коррекцией имеет спад 6 дБ/окта- ва, точно так же, как и НЧ-фильтр. При включении ОУ в схему с ОС имеющую коэффициент усиления К, ее «полоса про- пускания (частота, на которой петлевое усиление падает до единицы) приближен- но определяется выражением f-ЗдБ = f^ Как основной результат можно отметить, что система с полосой пропускания В име- ет время реакции т = 1/2лВ; отсюда сле- дует что эквивалент «постоянной време- ни» ОУ равен ^J2k/cp. При этом время установления грубо мож- но оценить как 5т ч- Ют. Попробуем применить наш прогноз К реальности. ОР-44 производства фирмы Ml-это прецизионный быстродействую- щий нескомпенсированныи (К 3) ОУ с типичным значением fcp 23 МГц. Наша простая формула дает оценку времени Реакции равную 21 нс, что соответствует времени установления 0,15 мкс (7т) до vfc- Это очень хорошо совпадает с ре- зным значением 0.2 мкс. приводимым в паспорте на ОУ в качестве типичного •ton точности установления 0,1%. Стоит отметить несколько моментов ,а) наша простая модель дает нам только границу фактического значения темени установления в реальной схеме; нужно проверить еще ограниченное Яростью нарастания время нарастания, второе может быть определяющим. °) Даже если скорость нарастания не со- здает проблем, время установления мо- жет быть много больше, чем в нашей идеализированной «однополюсной» моде- ли, это зависит от схемы компенсации ОУ и запаса по фазе, (в) ОУ устанавливается тем быстрее, чем лучше применяемая схе- ма частотной компенсации обеспечивает зависимость сдвига фазы от частоты в ра- зомкнутой петле в виде прямой линии при логарифмическом масштабе (например, ОР-42, рис. 7.12); ОУ, имеющие колеба ния на фазово-частотной характеристике, более склонны к выбросам и пульсациям, вроде тех, что показаны на графике рис. 7.10; (г) быстрое установление с точ- ностью до 1% не обязательно гаранти- рует быстрое установление в пределах 0,1%, может существовать «длинный хвост» (рис. 7.13), (д) прямая подстановка в реальный случаи приводимого изгото- вителем значения времени установления не всегда пригодна. В табл. 7.3 приведен ряд быстродейст- вующих ОУ для применений, требующих большого значения /ср, высокой скорости нарастания и малого времени установ- ления Погрешность коэффициента усиления Существует еще одна погрешность, при- чиной которой является конечное значе- ние коэффициента усиления без ОС, а именно: погрешность коэффициента уси- ления при замкнутой ОС из-за конечного петлевого усиления. В гл. 3 мы вывели выражение для коэффициента усиления Частота Рис 7.12. Частотные зависимости усиления и сдвига фазы ОР-42
434 Глава 7 Таблица 7.3. Быстродействующие прецизионные ОУ Тип Изгото- витель11 ж <= js Q ^СДВ макс., мкВ макс., мкВ/°С ^сдв макс.. нА макс.. нА средн. при 1 кГц, нВТц1'2 с„, пФ Скорость нарастания средн., ’ В/мкс О 32 н й о 3 S ё * с с са ОР-37Е РМ + — X — 5 0,025 0,6 35 40 з,о — 17 ОР-42Е РМ X X — 1 0,75 10 0,04 0,2 13 6 58 ОР-44Е РМ X X — 3 0,75 10 0,04 0,2 12 — 122 ОР-62Е РМ — X х 1 0.2 — 100 300 2,5 — 15 ОР-63Е РМ — X х 1 0,75 — 100 300 7 — 50 ОР-64Е РМ — X х 5 0,75 — 100 300 7 — 200 ОР-65Е РМ — X — 1 2 — 2000 2,5мкА — — 200 CLC221 CL — — — 1 1 15 — 10мкА2) 4 2,4 6500 CLC400 CL — X — 1 5,5 40 — 25мкА2) 12 0.5 700 CLC401 CL — 7 6,5 50 — 35мкА2) 12 0,5 1200 LF401A NS X X — 1 0,2 10 0,1 0,2 20 7 70 ОРА602С ВВ X X — 1 0,25 2 0,001 0,001 13 3 35 AD711C AD X X — 1 0,25 5 0,01 0,025 18 6 20 MS738B КЕ — X — 2 0,075 1 20 40 3.8 3 3500 MS739 КЕ X — — 1 0,0257) 0,37» 0.037) 0,087) — — 5500 AD744C AD X X х 2 0,25 3 0,02 0,05 18 5,5 75 AD840K AD X — 10 0,5 5 200 5мкА 3 1 400 AD841K AD — X — 1 1 20 200 5мкА 13 1 300 AD842K AD — X — 2 1 10 200 5мкА 9 1 375 AD84 К AD X X — 1 0,25 3 0,05 0,4 25 4 100 AD846K AD — — х 2 0,2 2 — 1502’ 1,3 2 450 AD847J AD — X — 1 1 30 157) 5мкА 154) 1,5 300 AD848J AD —2 X — 1 1 10 157) 5мкА 44) 1,5 300 LT1028A LT — X х 2 0,04 0,8 50 90 0.85 5 15 LT1O55A LT X X — 1 0,15 4 0,01 0,05 14 4 13 1435 ТР — X х 2 5 25 3007) 20мкА 16 2 300 LH4105C NS X — — 1 0,5 207’ 0.4 0,6 — 40 НА5147А НА — X — 10 0.025 0,6 35 40 3 — 35 AD9611B AD — — — 1 3 20 — 5 Is’ 3 1900 11 См. примечания к табл. 4.1. 2> Токочувствительный инвертирующий вход; представленный ток смешен»* относится только к неинвертирующему входу. 3) С точностью 0,02%. 4> При 10 кГп. 5) В полосе от 5 Д° 280 МГц. 6) Устойчив при работе в нагрузку 1 нФ. 7) Типичное значение усилителя с замкнутой петлей ОС, К = = А/(1 + АВ), где Л-коэффициент усиле- ния без ОС, а В-«усиление» цепи обрат- ной связи. Можно было бы предполо- уСИЛе' 100 дЬ жить. что величина коэффициента ния ОУ без обратной связи А является вполне достаточной, но если М*1 попробуем сконструировать сверхпреЦЙ
Прецизионные схемы и малошумящая аппаратура 435 /ср’ средн- МГи Время установ- ления средн., нс ^вых средн.. Ом Выброс при К.)Н11, средн., % Запас по фазе при КМИ11. 1рад. Дифференциальное входное напряжение, макс., В Размах напряжения в нагрузке Макси- мальный выходной . ток, мА Примечания I 0.1% 0,01% ±ггвых. В я„. Ом 63 1000 — 70 10 71 0,7 11 600 5 Малошумящий (де- компенс. ОР-27) 10 450 700 50 15 47 40 12 600 6 Устойчив при на- грузке 300 пФ 20 200 300? — 25 53 40 — — 7 Декомпенс. ОР-42 50 — — — — — 5 12 600 — 50 — — — — — 5 12 600 — 200 — — — — — 5 12 600 — 150 — — — — — 5 3.5 500 50 ±5 В питание 15 183) 8 12 — — — — 30 Гибридный 280 10 15 — 0 — 3,5 100 70 Монолитный; пре- образователь со- противления 2100 ' 10 13 — 0 — — 3,5 100 70 -»- 16 200 340 50 — 55 32 12 600 12 6.5 700 1000 80 20 40 36 10 600 4 Малое смещение 4 1000 — 10 — 20 10 600 2,8 Недорогой 1500 30 200 2 5 — 12 12 100 120 — ► 15 ЗО3) — — — — 12 100 120 13 400 500 — 4 70 36 12 600 4 Сверхмалые нели- нейные искажения (ЗЮ-6)6» •400 80 ПО 15 20 — — 10 500 50 Декомпенс. 841 40 80 НО 5 20 — — 10 500 12 Вертик. рпр, полезна декомпенсация 80 80 110 5 20 — — 10 500 100 Декомпенс. 841 16 250 300 — — — 20 12,5 500 10,2 Л 40 80 ПО 16 20 — 18 10 500 7 Малошумящий 50 80 — — — 50 6 10 500 5,6 Вертик. рпр, полезна декомпенс. 250 80 — — — 50 6 2,5 150 20 Вертик. рпр * 75 — — 80 5 50 0.7 12 600 10,5 Сверхмалый шум L 5 1200 1800 60 10 — 40 10 600 4 LT1056 быстрее 1000 40 70 — 1 25 4 7 500 30 Гибридный 18 — 500 — — 30 10 100 25 Нет ограничения по току 140 400 — 70 20 — 0,7 11 600 4 Малошумящий (де- компенсир. ОР-27) 2» 13 — 0 03 4 -у, — 3 100 50 Обратная связь по току; нет заптиты- гибридный 3®<йя,.ю схему, то здесь нас ожидает сюр- Из предыдущего выражения для тЬФфициента усиления нетрудно пока- Загь-Ьчто «погрешность усиления» опре- деляемая как — (-^-идеальный ^реальный)/-^-ндеальный в точности равна 1/(1 + АВ) и может
436 Глава 7 Рис. 7.13. а -по мере подхода входной Нос к зоне 60 мВ скорость нарастания уменьшаете»8 б-установка с высокой точностью может длитьс’ удивительно долго. я изменяться в диапазоне от 0 при А = со до 1 (100%) при А = 0. Упражнение 7.2. Выведите только что приведенное выражение для погрешности коэффициента усиления. Результирующая величина частотно-за- висимой погрешности коэффициента уси- ления далека от того, чтобы ей можно было пренебречь. Например, ОУ 411, у которого коэффициент усиления без ОС на низкой частоте составляет 106 дБ, бу- дет давать погрешность усиления 0,5% при включении его в схему с расчетным значением коэффициента усиления с замк- нутой ОС 1000 Еще хуже то, что коэф- фициент усиления без ОС начиная с часто- ты 20 Гц падает со скоростью 6 дБ/окта- ва так что наш усилитель имел бы на частоте 500 Гц погрешность коэффициен- та усиления в 10%! На рис. 7.14 даны кривые зависимости погрешности коэф- фициента усиления от частоты при значе- ниях коэффициента усиления с ОС, рав- ных 100 и 1000, для ОР-77, имеющего на низкой частоте исключительно высокий коэффициент усиления 140 дБ. Отсюда становится очевидным, что для сохране- ния точности даже на средних частотах необходимо иметь достаточно большой коэффициент усиления и высокое значение /ср- Приведенные графики мы построили, используя данные в паспорте кривые час- тотной зависимости коэффициента усиле- ния без ОС. Даже в том случае, если в спецификации на применяемый вами ОУ дан указанный график лучше всего идти в обратном направлении - от паспортных значений / и коэффициента усиления по постоянному току, вычисляя величину ко- эффициента усиления без ОС на интере- сующей нас частоте, а отсюда и погрещ. ность усиления как функцию частоты. Эта процедура приводит к следующему вы- ражению: 1 \.=----------, 1 + ДАср// где В, как обычно,-коэффициент переда- чи цепи обратной связи Разумеется, в не- которых схемах, таких как фильтры, В может также зависеть от частоты Упражнение 7.3. Выведите представленное выше выражение для 5К(/). Переходные нелинейные искажения и вы- ходное сопротивление. Некоторые ОУ ис- пользуют простой пушпульный выходной каскад без смещения баз на два диодных перепада в разные стороны, как описы- валось в разд. 2.15. Это приводит к иска- жениям класса В при значениях выходно- го сигнала, близких к нулю, так как воз- буждающий повторитель каскад должен давать напряжению баз приращение 2%э каждый раз, когда выходной ток прохо- дит через нуль (рис. 7 15). Переходные искажения могут быть существенны, в частности, в области высоких частот, где петлевое усиление падает. Оно сильно уменьшается в тех ОУ, где выходная пуш пульная схема смешена в состояние сла- бой проводимости (класс АВ). Примером последнего типа является популярная схе- ма 741, в то время как у ее предшествеН! ника ОУ 709 используется простое сме- шение выходного каскада класса В. ПРе красная во всем остальном, схема 324 п° этим причинам может давать больн^ искажения. Правильный выбор ОУ имее1 колоссальное значение для получения нН сококачественных характеристик усилИте
Прецизионные схемы и малошумящая аппаратура 437 U. Ряс. ном П-15 Переходные искажения класса В в пушпуль- •оюдяом каскаде. Частота 6 Рис. 7.16. а-измеренные частотные зависимости пол- ного выходного сопротивления без ОС для некоторых популярных ОУ; б-частотная зависимость полного выходного сопротивления ОУ 411 и ОР-27 при замкнутой ОС. *ви звуковых частот. Возможно, именно Зти искажения дают существенный вклад в г°. что любители звукозаписи относят к "Шумам транзисторов» Некоторые со- ченные ОУ, особенно те, что пред- Назначаются для звукотехники, спроекти- °ваны так, чтобы получить исключи- Льно малую величину переходных не- ‘ИНеЙНЫХ искажений. Примерами могут вить LT1028, LT1037 и LM833. LM833, в частности, имеет во всем диапазоне звуковых частот 20 Гц-20 кГц величину нелинейных искажений не более 0,002%. (Правда, это всего лишь заявка, так что не будем слишком доверчивы!) Все эти уси- лители имеют также очень низкие зна- чения напряжения шумов; фактически LT1028 на сегодня является мировым чем- пионом по этому параметру, имея еш = = 1,7 нВ/^/Гц (макс.) при 10 Гц.
438 Глава 7 Прецизионные схемы и малошумящая аппаратура 439 Рис. 7.17. Выходное сопротивление разомкнутого ОУ будет наивысшим при значениях на- пряжения выходного сигнала, близких к нулю, поскольку выходные транзисторы работают при этом с наименьшими зна- чениями тока. Выходное полное сопротив- ление растет также на высоких частотах с падением коэффициента усиления тран- зисторов (из-за частотной коррекции) и может слегка увеличиваться и на очень низких частотах благодаря температур- ной обратной связи через кристалл. Мож- но легко отмахнуться от эффекта конеч- ности выходного полного сопротивления разомкнутого усилителя, считая, что об- ратная связь все спишет. Но если учесть, что некоторые ОУ имеют выходное со- противление в разомкнутом состоянии порядка сотен ом, то станет ясно, что этим пренебрегать нельзя, особенно при малых и средних коэффициентах петлево- го усиления На рис. 7.16 показаны ти- пичные графики выходных полных сопро- тивчений ОУ с обратной связью и без нее Работа на емкостные нагрузки. Конеч- ное значение выходного сопротивления разомкнутого ОУ может явиться причи- ной серьезных затруднении при попытке работы на емкостную нагрузку. Это свя- зано с запаздыванием сигнала или сдви- гом фазы, вызванным комбинацией вы- ходного сопротивления и емкостной на- грузки, подключенной на землю. Такая ситуация может привести к неустойчивос- ти схемы с обратной связью, если значе- нию спада усиления на 3 дБ указанной цепи соответствует достаточно низкая частота, так как появляется существенная добавка к сдвигу фазы в 90 , уже имею- щемуся из-за частотной компенсации. Для примера допустим, что в качестве нагруз- ки для ОУ с выходным сопротивлением 200 Ом служит тридцатиметровый коак- сиальный кабель. Нагрузка эквивалентна конденсатору емкостью 3000 пФ и обра- зует RC-фильтр нижних частот с точкой, отвечающей значению —3 дБ, на частоте 270 кГц Это намного меньше частоты единичного усиления для типичного ОУ, поэтому автоколебания будут весьма ве- роятны при высоком коэффициенте пет- левого усиления (например, у повтори- теля). Существуют два способа решения этих вопросов. Один из них состоит в добавле- нии последовательно выходу резистора и ивепенни обратной связи на высоких частотах непосредственно с выхода ОУ» а на низких частотах и постоянном токе- от точки присоединения кабеля (рис. 7.171 Конкретные значения параметров, указав» ные на второй схеме, характерны ДлЯ данного ОУ и схемы его включения и даК>т представление о том. какая емкость м0 жет служить нагрузкой. Но такой при**1 ухудшает высокочастотные характерис^® ки, так как обратная связь на высок® частотах не влияет на сигнал на каб£-^ Буферные усилители мощности с едМ-*д ным коэффициентом усиления. Если ме рис. 7.18. расщепления цепи обратной связи нельзя применить, то лучшее, что можно сде- лать,-это добавить буферный каскад сильного тока с единичным коэффициен- том усиления внутрь петли (рис. 7.18). Указанные на схеме устройства имеют коэффициент усиления по напряжению, близкий к единице, малое выходное со- противление и могут дать выходной ток до 250 мА Они не дают сколько-нибудь значительного сдвига фазы вплоть до час- тоты единичного коэффициента усиления /ср большинства ОУ, и их можно вклю- чать в контур обратной связи без до- полнительной частотной компенсации. В табл. 7.4 приведен краткий список бу- ферных усилителей. Конечно же, эти «мощные бустеры» можно использовать для работы с нагрузками, требующими больших токов, независимо от того, есть проблемы с емкостной нагрузкой или нет К сожалению, большинство буферных усилите ей не содержат встроенных цепей ограничения по току или предотвращения теплового пробоя, поэтому их примене- Ние требует осторожности. Устройства, являющиеся исключением из этого пра- вила и имеющие встроенную в кристалл защиту перечислены в табл. 7.4, напри- МеР. LT1010. Заметим что приведенный пример вы- тядсл бы иначе, если бы кабель был включен на свое характеристическое со- противление. Он действовал бы как чисто аКтИвное сопротивление в пределах от 50 jj° ЮО Ом в зависимости от типа кабеля, этом случае буферный усилитель был ^обязателен и должен был давать ток С мА для создания сигнала +10 В на k Лзузке с полным сопротивлением 50 Ом. “ее Детально этот вопрос обсуждается разД. 13 09 На схему из предыдущего примера не влияют выходные параметры ОУ, по- скольку она работает, в сущности, на постоянном токе. 7.08. Усилители с автоподстройкой нуля (стабилизированные прерыванием) Даже наилучшие прецизионные ОУ, об- ладающие малым сдвигом, не могут срав- ниться по этому параметру с имеющими ошеломляюще низкое UcaB так называе- мыми «стабилизированными прерывани- ем» или «автоматически выбирающими нуль» операционными усилителями. Иро- ния заключается в том, что эти интерес- ные усилители построены на КМОП- транзисторах. во всех остальных случаях отличающихся своей посредственностью в том, что касается напряжения сдвига или дрейфа. Примененный здесь трюк состоит в том. что в кристалл встроены второй ОУ (настраивающий нуль), а так- же несколько аналоговых МОП-ключей и запоминающих погрешность сдвига конденсаторов (рис. 7.19). Основной ОУ действует как обычный несовершенный усилитель. Работа нуль-усилителя состо- ит в отслеживании входного сдвига основ- ного ОУ и подстройке по мере необходи- мости медленно изменяющегося коррек- тирующего сигнала с тем, чтобы попы- таться привести входной сдвиг точно к ну- лю Поскольку нуль-усилитель сам имеет ошибку сдвига, имеется еще один цикл работы, во время которого нуль-усили- тель корректирует собственное напряже- ние сдвига. Итак, цикл автоподстройки нуля про- текает следующим образом: (а) нуль-уси- литель отключается от входа, его входные клеммы закорачиваются а выход соеди-
Таблица 7.4 Быстродействующие буферные усилители
Прецизионные схемы и малошумящая аппаратура 441 няется с С15 конденсатором, хранящим корректирующий сигнал; при этом сдвиг нуль-усилителя становится равным нулю; (б) вход нуль-усилителя подключается ко входу схемы, а выход-к конденсатору С2, хранящему корректирующий сигнал ос- новного усилителя; теперь становится равным нулю сдвиг основного усилителя. Аналоговые МОП-ключи управляются встроенным в кристалл генератором с ти- пичным значением частоты в несколько сотен герц. Конденсаторы хранения на- пряжения ошибки имеют типичное зна- чение емкости 0,1 мкФ и в большинстве лучаен являются навесными компонен- тами; фирмы LTC, Maxim и Teledyne вы- пускают ряд удобных усилителей с авто- подстройкой нуля, в которых дискретные конденсаторы встроены прямо в корпус ИМС. ОУ с автоматической настройкой нуля наилучшим образом делает то, для чего а и был сконструирован, а именно: обес- печивает значения 17сдв (и температурного *°эффициента) в пять раз лучшие чем ' наилучших прецизионных биполярных у (см. табл. 7.2). Более того, они де- это, полностью сохраняя скорость полосу пропускания ОУ, в отличие от ^вменявшихся ранее синхронных усили- елеи, которые также называли «усили- с прерыванием», но которые имели ЯВЧг пропускания ограниченную доля- Частоты таймера прерывателя (см. Это хорошие новости. Плохие же за- ключаются в том, что усилители с авто- подстройкой нуля имеют ряд «недугов», которые нам необходимо знать. Прежде всего, будучи устройствами КМОП-типа, большинство из них серьезно ограничены по величине питания (типичное полное напряжение питания 15 В) и поэтому не могут работать от обычных источни- ков питания + 15 В. Исключением из этого правила являются «высоковольт- ные» ОУ с автоподстройкой нуля фирм Maxim МАХ430/2 и Teledyne TSC915 и TSC76HV52, работающие при напряже- нии питания +15 В. Во-вторых, большин- ство такого рода ОУ требуют внешних (навесных) конденсаторов (исключения: LTC1050 Maxim МАХ430/2, Teledyne TSC911/13/14). Третья проблема со мно- гими усилителями данного типа (особен- но с теми, у которых ограничено напря- жение питания) состоит в том, что у них значительно ограничен диапазон входного синфазного сигнала: например, популяр- ный ОУ ICL7650 имеет гарантированный диапазон синфазного входного сигнала от — 5 до +1,5 В, когда он запитан от обыч- ного для него источника питания +5 В (для усовершенствованного ОУ ICL7652 этот диапазон составляет от —4,3 до + 3,5 В; это более широкий диапазон, но поскольку он не включает потенциала ми- нусового источника питания, данный уси- литель нельзя запитывать от «однополяр- ного» источника). Намного лучше обсто-
442 Глава? ит дело с высоковольтными усилителя- ми-например, МАХ432 имеет таран иро- ванный диапазон синфазного сигнала от — 15 до + 12 В при питании от источника +15 В В табл. 4.1 показано, какие уси- лители с прерыванием имеют диапазон синфазного входного сигнала, ограничен- ный снизу напряжением питания; хотя популярный ICL7652 не входит в этот пе- речень однако улучшенные версии фирм LTC (LTC1052) и Maxim (ICL7652B) в него входят, что позволяет использовать для их питания обычный однополярный ис- точник Четвертый недостаток ОУ КМОП-ти- па-их слабый выход по току, иногда составляющий всего лишь 1-2 мА. Пре- восходный во всех остальных отношени- ях, МАХ432 может выдать ток не более 0,5 мА! Пятой в перечне недостатков, но часто первой по важности, является про- блема шумов от тактового генератора Она возникает из-за емкостной связи в МОП-ключах (см. разд. 3 12), что приво- дит к резким выбросам сигнала на выходе Спецификации здесь часто вводят в за блуждение, так как в них обычно огова- ривается величина отнесенного ко входу шума при R„ — 100 Ом, а кроме того, его величина дается только для очень низких частот; например, типичное значение от несенного ко входу шума составляет 0,2 мкВ (от постоянного тока до 1 Гц, Прй R„ = 100 Ом). Однако при нулевом вход ном сигнале на выходе может наблюдать, ся последовательность импульсов чере дующейся полярности длительностью 5 мкс и величиной 15 мВ’ В низкочастот- ных схемах можно (и необходимо) ста- вить на выходе RC-фильтр который огра- ничит полосу пропускания до нескольких сотен герц и устранит указанные выбро- сы. Такого рода имп) льсныи ш\м не имеет также никакого значения для интегрирую- щих схем (например, для интегрирующего АЦП см. разд. 9.21) или для такого рода схем, в которых выходной сигнал изме- няется медленно (например, в схеме с тер- мопарой на входе и вольтметром на вы- ходе). Итак, если все, что нам нужно-это отследить очень медленно изменяющийся сигнал, и если исходя из этого мы от- фильтруем выходной сигнал фильтром нижних частот до очень малых значений частоты (ниже 1 Гц), то усилитель с пре- рывателем действительно даст нам мень- ший шум, чем обычный малошумящий ОУ; см. рис. 7.20. Последняя проблема связанная с уси- лителями с автоподстройкой нуля,- их ка- Шум 1 Гц Шум 0,1 Гц 20 с/дел. 5с/дел. Рис. 7.20. На очень низких частотах стабилизированные прерыванием ОУ имеют шумы меньше, чем малошумящие ОУ. Шумы измерены в полосе частот от 0 до указанного значения (Maxim Integrated РГ Inc).
Прецизионные схемы и малошумящая аппаратура 44? ^строфические характеристики по насы- щению Происходит следующее Автома- тически настраивающая нуль схема при попытке привести разностное напряжение йа входе к нулю действует так, как если 6bJ в полной мере работала обратная связь. Если выход усилителя насыщен (или если отсутствует внешняя цепь, обес- печивающая обратную связь), то на входе будет большое дифференциальное напря- жение которое нуль-усилитель воспримет как входную погрешность сдвига; при этом он слепо выдаст большое корректи- рующее напряжение, которое будет под- заряжать корректирующие конденсаторы до тех пор, пока нуль-усилитель сам не войдет в насыщение. Восстановление длится невероятно долго-до секунды «Лекарство» такое: чувствовать, когда выход подходит к насыщению и фиксиро- вать вход, чтобы предотвратить насыще- ние. Большинство ОУ с автоподстройкой нуля с этой целью снабжены «фиксато- ром» выхода который для предотвраще- ния насыщения подключается назад к ин- вертирующему входу. Не допустить на- сыщения в усилителе с прерыванием, не имеющем «фиксирующего» вывода (а так- же и в обычном ОУ), можно, подключив параллельную цепь обратной связи из лунаправ енного стабилитрона (два встречно-последовательно соединенных стабилитрона), которая фиксирует выход ва уровне напряжения пробоя стабили- трона, не позволяя ему достигать напря- жения питания лучше всего такой проем работает в инвертирующей схеме. Кое-что еще о схемах с прерыванием Усилитель со связью по переменному току. Рассматривая описанные выше уси- лители с автоподстройкой нуля с по- мощью прерывателя, не спутайте этот способ с другим методом «прерывания», а именно: с традиционным узкополосным усилителем с прерывателем, в котором малый сигнал постоянного тока преобра- зуется («прерывается» с известной часто- той) в сигнал переменного тока, усили- вается усилителями переменного тока и, наконец, демодулируется путем наложе- ния на него сигнала той же формы, что использовалась первоначально для пре- рывания исходного сигнала (рис. 7.21). Данная схема совершенно отлична от только что рассмотренного нами метода автоподстройки нуля с полной полосой пропускания, что особенно проявляется в ее раскачке при подходе частоты сигна- ла к частоте тактового генератора со- ставляющей обычно всего несколько со- тен герц. Иногда это можно наблюдать с помощью самописца или другого низ- кочастотного измерительного прибора. Температурные сдвиги. При построении усилителей постоянного тока с субмикро- вольтными напряжениями сдвига необхо- димо полностью отдавать себе отчет в возможности появления температур- ных сдвигов, которые создают небольшие термоэлементы, образуемые соединением разнородных металлов (см. разд. 15.01). В случае если пара таких соединении име- ет разную температуру, мы получаем эф-
444 Глава 7 10 кОм 1 кОм 10 Ом LMC669 с П _ автоподстрой- — кой нуля у прерыванием мощного ОУ LM675 Рис 7.22. ИМС LM669 для внешней автоподстроики нуля. фект Зеебека («термо-э. д. с.»). На прак- тике обычно имеются точки соединения проводников с различным покрытием, температурный градиент или даже не- большой поток воздуха легко может вы- звать появление напряжения в несколько микровольт. Даже однотипные провода разных изготовителей могут давать тер- мо-э. д. с. величиной 0,2 мкВ/ °C, в четыре раза больше, чем паспортное значение дрейфа МАХ432! Наилучший способ ис- ключить влияние тепловых потоков и гра- диентов-в симметричном, насколько это возможно, расположении проводников и компонентов на печатной плате. Внешняя настройка нуля. Фирма Natio- nal выпускает превосходный чип «авто- подстройки нуля» (LMC669), который можно использовать как внешний нуль- усилитель, превращающий любой вы- бранный нами ОУ в усилитель с авто- подстройкой нуля (рис. 7.22). Наиболее естественным является включение этого кристалла в инвертирующую схему, при котором он, как показано, задает на не- инвертирующем входе такое напряжение, которое приводит входной сдвиг к нулю. Работает эта схема не столь хорошо, как рассмотренные ранее специально предна- значенные для этих целей усилители с ав- топодстройкой нуля 17сдв составляет 5 мкВ (тип.) или 25 мкВ (макс.). Однако она позволяет нам использовать метод автоподстройки нуля с любым ОУ. М°*' но, например, применить его для уста* новки нуля непрецизионного, но мощного или высокоскоростного ОУ. Представлен ные на схемах типы ИМС-хорошие при меры. LM675-превосходный мощный О (выходной ток 3 А, сложная встроенная
Прецизионные схемы и малошумящая аппаратура 445 р кристалл схема токовой и тепловой защиты), имеющий, однако, напряжение сдвига до 10 мВ (макс.). Автоподстройка ^ля уменьшает его примерно в 1000 раз. В свою очередь, ЬМ6364-быстродейст- руюший усилитель (/ср =175 МГц, ско- рое ь нарастания составляет 350 В/мкс) с напряжением сдвига 9 мВ (макс.), ко- торое уменьшается здесь раз в 400. Об- ратите внимание на фильтрующие RC-це- почки как на входе, так и на выходе схемы автоподстройки нуля: они необходимы, чтобы подавить шумы прерывателя в этой (медленной) корректирующей петле, ког- да данный метод используется для уси- дения малых сигналов и с такими мало- шумящими устройствами, каковым явля- ется LM6364 (8 нВ/УГц). Измерительный усилитель. Еще один метод «прерывания», так называемый «коммутируемый с автоподстройкой ну- ля» (или КАН) усилитель, первоначально был применен фирмой Intersil. В этом методе, который был воплощен в ИМС ICL7605 измерительного усилителя с «плавающим конденсатором», МОП-тран- зисторные ключи дают возможность за- помнить дифференциальный входной сиг- нал на конденсаторе, а затем усилить его с помощью неинвертирующего усилителя, стабилизированного прерыванием (рис. 7.23). Как и у стандартного усилителя с автоподстройкой нуля, здесь также име- ются обусловленные зарядовой связью выбросы с тактовой частотой, которые налагают на КАН-метод те же ограни- чения которые мы видели ранее. Хотя в первом издании мы с энтузиазмом го- ворили о КАН-усилителях, что « пред- и. Дифференциальный усилитель ICL7605 а®ающим конденсатором» и высоким КОСС. ставляется хороший случай коренным об- разом улучшить точность ОУ и техно- логию измерительных усилителей», одна- ко их превзошли улучшенные схемы авто- подстройки нуля, в которых сигнал всегда проходит через один усилитель. Тем не менее, отдавая должное КАН- усилителю, необходимо отметить, что примененный в ИМС 7605 метод «пла- вающего конденсатора» имеет ряд уни- кальных достоинств, в том числе диапа- зон синфазного входного напряжения, на 0,3 В превышающий оба напряжения пи- тания, минимальное значение КОСС 100 дБ даже при единичном усилении, а также самое малое среди всех монолит- ных усилителей напряжение сдвига. При использовании этих усилителей, однако, нельзя забывать, что необходима фильт- рация шума на выходе, напряжение пи- тания ограничено величиной +8 В, а пол- ное сопротивление нагрузки должно быть высоким, поскольку полное выходное со- противление периодически (с тактовой частотой) возрастает. Готовый блок «плавающего конденса- тора» LTC1043 позволяет вам самим из- готовить дифференциальный усилитель с высоким КОСС. Измерительные усили- тели подробно рассматриваются в сле- дующем разделе. Большинство выпускае- мых в настоящее время ОУ с автопод- стройкой нуля включены в таблицу пре- цизионных операционных усилителей (табл. 7.2) ДИФФЕРЕНЦИАЛЬНЫЕ И ИЗМЕРИТЕЛЬНЫЕ УСИЛИТЕЛИ Термином «измерительный усилитель» обозначают дифференциальный усили- тель со связями по постоянному току, высоким коэффициентом усиления высо- ким входным полным сопротивлением и большим КОСС. Такие усилители исполь- зуются для усиления малых дифференци- альных сигналов, приходящих от датчи- ков. к которым могут быть примешаны большие синфазные сигналы или постоян- ные уровни Примером таких датчиков является тензодатчик резисторный мост, у кото-
446 Глава 7 Рис. 7.24. Мост из тензодатчиков, подключенный к усилителю. рого деформация (удлинение материала, к которому он прикреплен) вызывает из- менение сопротивления (см. разд. 15.03). В результате изменяется выходное диф- ференциальное напряжение моста, воз- буждаемого фиксированным постоянным смещением 4-10 В (рис. 7.24). У всех ре- зисторов примерно одно и то же сопро- тивление (типичное значение 350 Ом), но они подвергаются различной деформа- ции Чувствительность по всей шкале обычно равна 2 мВ на 1 В, поэтому диа- пазон изменения выходного сигнала будет равен 20 мВ при постоянном возбуждении 10 В Это небольшое дифференциальное выходное напряжение пропорционально деформации и наложено на постоянный уровень 5 В. Дифференциальный усилитель должен обладать исключительно боль- шим КОСС для усиления милливольто- вого дифференциального сигнала при од- новременном подавлении синфазной по- мехи — 5 В. Предположим, например, ЧТо мы хотим иметь максимальную ошибка 0,1%. Так как 0,1% от полной шкадЬ1 есть 0,02 мВ, наложенные на 5000 мВ КОСС должен превосходить 250 000 1 т.е. -108 дБ. Способы, применяемые для создания хороших измерительных усилителей и во- обще дифференциальных усилителей с вы- соким коэффициентом усиления, подобны только что обсуждавшейся схемотехнике Существенными являются погрешности тока смещения, сдвига и КОСС Начнем с обсуждения дифференциальных усили- телей для некритичных применений, что- бы оценить требования к ним и схемные пути их удовлетворения 7.09. Простой разностный усилитель Типичная ситуация, в которой достаточно умеренное значение подавления синфаз- ного сигнала, показана на рис. 7.25 Эта токочувствительная схема применяется как часть источника питания постоянного тока для создания неизменного тока в нагрузке. Падение напряжения на четы- рехпроводном прецизионном мощном ре- зисторе 0,01 Ом пропорционально току нагрузки. Хотя один конец резистора R$ присоединен к земле, глупо было бы ис- пользовать одновходовый усилитель, по- скольку миллиомное сопротивление со- единения даст ошибку в 10%! Очевидно, что нужен дифференциальный усилитель, но от него не требуется особенно высокий Рис. 7.25. Стабилизатор тока.
Прецизионные схемы и малошумящая аппаратура 447 Оазностного в разд. 4.09. gOCC, поскольку синфазные помехи бу- др* малы. ОУ включен в обычную конфигурацию усилителя, как было описано Резисторы Rlt R2 и ^-пре- цизионные проволочные резисторы для максимальной стабильности коэффициен- та усиления, a R3 и /?4, определяющие КОСС, - простые однопроцентные метал- яопленочные резисторы. Таким образом, рея схема имеет точность коэффициента усиления, близкую к точности токочувст- вительного резистора, и имеет КОСС порядка 40 дБ. Прецизионный дифференциальный усили- тель. Для таким применений, как усиле- ние сигналов тензодатчиков, термопар и т.п., КОСС, равного 40 дБ, совершенно недостаточно, а нужны значения вроде 100 или 120 дБ. В предыдущем примере с тензодатчиком у нас мог быть диффе- ренциальный сигнал небаланса порядка 2 мВ на 1 В Если требуется точность 0,05%, то нужно подавление синфазного сигнала как минимум, 114 дБ (Заметьте, что это требование может быть значи- тельно смягчено в том случае, когда «нуль» усилителя равен синфазному на- пряжению, как это можно получить в ла- бораторных условиях.) Напрашивающее- ся решение для улучшения КОСС-уве- личить точность резисторов разностной схемы (рис. 7.26). Значения резисторов выбираются так, чтобы сопротивления больших резисторов обратной связи ле- жали в диапазоне номиналов доступных прецизионных проволочных резисторов. При использовании резисторов с допус- ком 0 01% КОСС будет около 80 дБ (68 дБ в худшем случае), если ОУ имеет большое КОСС. Для получения нулевой чувствительности к синфазным помехам нужен, как показано, только один под- строечный потенциометр. При указанных параметрах можно подстройкой свести суммарную ошибку к величине 0,05% (чуть больше максимальной ошибки ре- зистора). Причудливая цепь, показанная на рисунке, применена потому, что под- строечные потенциометры с малым зна- чением сопротивления со временем могут терять настройку, и лучше обойтись без них Замечание о подавлении синфазной по- мехи переменного тока. Использование хорошего ОУ и тщательная подстройка позволяют достичь КОСС в 100 дБ и бо- лее на постоянном токе. Но проволочные резисторы, которые нужны для стабиль- ности, имеют некоторую индуктивность, из-за которой КОСС ухудшается с часто- той. Этот эффект, общий для всех схем, которые мы будем рассматривать, можно уменьшить применением безындуктивных проволочных резисторов (типа Aryton- Реггу). Заметим также, чтобы получить хороший КОСС на высоких частотах, не- обходимо сбалансировать емкости схемы. Это может потребовать строгого зеркаль- но-симметричного расположения элемен- тов Фирма Burr-Brown выпускает ряд пре- цизионных дифференциальных усилите- лей, укомплектованных подогнанными друг к другу резисторами, в очень удоб- ном 8-штырковом корпусе мини-DIP INA105-усилитель с единичным усилени- ем (максимальная погрешность коэффи- циента усиления +0,01%), входным со- противлением 25 кОм, a INA106 имеет коэффициент усиления 10 с такой же точ- ностью и входное сопротивление 10 кОм.
448 Глава 7 Рис. 7.27. Дифференциаль- ный усилитель с большим допустимым синфазным на- пряжением, построенный на низковольтных ОУ КОСС последнего не меньше 94 дБ, 17сдв максимум 100 мкВ и он устойчив при работе на емкостную нагрузку до 1000 пФ Фирма Burr-Brown выпускает также ва- риант схемы, имеющей большой диапа- зон входного синфазного напряжения ( + 200 В) и описанной ниже. Высоковольтный дифференциальный усилитель. На рис. 7.27 показан разумный способ расширения диапазона синфазного напряжения входа в схеме разностного усилителя за границы напряжения пита- ния без соответствующего уменьшения дифференциального коэффициента усиле- ния. V2 воспринимает синфазный сигнал имеющийся на входе Vt, и возвращает его с инверсией через R5 и R6 Так как тем самым синфазный сигнал на входах L и U2 полностью подавляется, то КОСС этих ОУ является несущественным Окон- чательное значение КОСС этой схемы определяется точностью согласования от- ношений резисторов Ri/R5 = Rj/Re без особых требований к точности R2 и R* Схема имеет диапазон синфазного вход- ного напряжения +200 В. КОСС-80 дБ и дифференциальный коэффициент усиле- ния 1,0. В усилителе с единичным усилением INA117 фирмы Burr-Brown для получе- ния большого диапазона синфазных на- пряжений использован другой прием, а именно резистивный делитель напряже- ния 200:1 для того, чтобы привести вход- ной сигнал + 200 В к обычному диапазону синфазного напряжения ОУ +10 В (рис. 7.28) Эта схема проще, чем схема иа рис 7.27, но здесь существенно хуже па- раметры сдвига и шумов: Ucm = 1000 мкВ (у INA105-250 мкВ), а напряжение вы- ходных шумов (полная амплитуда в диа- пазоне 0,01-10 Гц) достигает 25 мкВ - против 2,4 мкВ у IN А105 Увеличение входного сопротивления. Разностная схема с тщательно подстроен- ными значениями резисторов, казалось бы, должна дать нужные рабочие пара- метры, но это впечатление проходит если посмотреть на ограничения накладывае- мые на сопротивления источников Для получения точности коэффициента усиле- ния 0,1 % с помощью схемы рис. 7.26 со- противление источника сигналов должно быть меньше 0,25 Ом! Более того, для Рис. 7.28. Дифференциальный усилитель IN All? с диапазоном входного синфазного напряжеви* ±200 В
Прецизионные схемы и малошумящая аппаратура 449 рис. 7-29. получения КОСС 100 дБ внутреннее пол- ное сопротивление источника на двух его выводах должно быть согласовано с точ ностью до 0,0025 Ом. Это следует из рас- смотрения эквивалентной схемы (рис. 7.29). Треуго. ьниками обозначены цели- ком разностные усилители или вообще любые дифференциальные или измери- тельные усилители, а АИ1 и Т?и2-эквива- лентные сопротивления источника на каж- дом выводе Вся схема усилителя для синфазных сигналов включает в себя эти сопротивления источника, соединенные последовательно с входными резистора- ми R1 и R3 (рис. 7.26 и 7.27), поэтому КОСС зависит от согласованности RBi + т R{ с Ril2 + R3 Конечно, требования которые предъявляются этой схемой к полному сопротивлению источника, как показано выше, оказываются слишком жесткими Некоторого у. учшения можно добить- ся за счет увеличения значении резисто- ров, применяя Т-образную цепь для ре- зисторов обратной связи, показанную на рис 7.30 Этот вариант Т-образной цепи для дифференциального усилителя обсуж- дался в разд. 7 06 и 4.19. При обозначен- ных на рис. 7.30 значениях резисторов усиление дифференциального напряжения будет около 1000 (60 дБ). Для точности коэффициента усиления 0,1% полное со- противление источника должно быть меньше 25 Ом и согласовано (на выводах источника) до 0 25 Ом, чтобы получить КОСС 100 дБ. Это по-прежнему неприем- лемые требования к источникам в боль- шинстве применений. Например, тензо- датчик имеет полное внутреннее сопро- тивление около 350 Ом. Радикальное решение этой проблемы заключается в использовании повтори- телей ити неинвертирующих усилителей для получения высокого полного входно- го сопротивпения Наиболее простой спо- соб-это добавить повторители к обыч- ному дифференциальному усилителю (рис. 7.31). При получающихся колоссаль- i /?2 /?$ Дифференциальные усилители с Т-образными цепями, обеспечивающими большие значения полного ^^Рого сопротивления при меньших сопротивлениях резне юров обратной связи.
450 Глава 7 ных значениях входного полного сопро- тивления вопросы полного сопротивления нас уже не волнуют, по крайней мере на постоянном токе. На более высоких час- тотах снова важно иметь согласованные полные сопротивления источника для син- фазных сигналов, так как входная емкость схемы в комбинации с сопротивлением источника образует делитель напряжения. Под «высокими частотами» часто имеется в виду просто частота напряжения сети, поскольку наводка синфазной помехи от сети питания схемы-это обычная непри- ятность; на такой частоте входная ем- кость в несколько пикофарад неважна. 7.10. Стандартный измерительный усилитель на трех ОУ Одним из недостатков описанной схемы с повторителями на рис. 7.31 является то, что в ней требуется большой КОСС и в повторителях, и в выходном ОУ. По- скольку входные буферные усилители ра- ботают с единичным усилением, все по- давление синфазных помех должно про- исходить в выходном усилителе, что тре- бует, как было указано, прецизионного согласования резисторов. Схема, изобра- женная на рис. 7.32 в этом смысле значи- тельно лучше. Она представляет собой стандартную конфигурацию измеритель- ного усилителя. Входной каскад является удачным сочетанием двух ОУ. обеспечи- вающим большой дифференциальный ко- эффициент усиления и единичный коэф- фициент усиления синфазных сигналов без какого-либо особо точного согласования резисторов. Его дифференциальный вы- Рис. 7.32. Классический измерительный усилитель. ход представляет собой сигнал с сущест- венно уменьшенной (относительно) син- фазной составляющей и используется для возбуждения схемы обычного дифферен- циального усилителя. Последний часто бывает включен с единичным коэффици- ентом усиления, и его задача - получение однополюсного выходного сигнала и по- давление остаточного синфазного сигна- ла. В результате отпадает надобность в том, чтобы выходной ОУ имел большой КОСС, и не требуется прецизионного со- гласования резисторов в схеме обвязки U3. Настройка нуля сдвига для всей схе- мы может быть сделана, как показано, на одном из входных ОУ. Эти входные ОУ должны, однако, иметь высокий КОСС, и выбирать их следует тщательно. В виде гибридных ИМС измерительные усилители с описанной стандартной кон- фигурацией выпускаются несколькими фирмами Все компоненты, кроме резис- тора встроены, а коэффициент усиле- ния устанавливается единственным внеШ' ним резистором Rx. Типичными приме- рами таких модулей являются микр°" мощный INA102, высокоскоростн°й INA110 и прецизионный AD624. У всеХ этих усилителей коэффициент усиления имеет диапазон от 1 до 1000, КОСС~°к0
Прецизионные схемы и малошумяшая аппаратура 451 Рис. 7.33. Измерительный усилитель с выводами защи- ты, измерительным и опор- ного напряжения. ло 100 дБ и входное полное сопротивле- ние более 100 МОм. Микромощный гиб- ридный модуль LH0036 может работать от такого низкого напряжения питания, как +1 В. AD624 имеет линейность коэф- фициента усиления около 0,001%, началь- ный сдвиг напряжения менее 25 мкВ и дрейф напряжения сдвига не более 0,25 мкВ °C; предусмотрена и возмож- ность внешней настройки нуля напряже- ния сдвига. Некоторые измерительные усилители (например, высокоточный INA104) имеют возможность регулировки КОСС Не путайте эти модули с «измери- тельным операционным усилителем» 725, который представляет собой просто хо- роший ОУ, предназначенный для исполь- зования в схемах измерительных усили- телен На рис. 7.33 приведена полная схе- ма измерительного усилителя, как она обычно строится Несколько замечаний об этой схеме из- бери етьного усилителя: (а) Усиленный по мощности) синфазный сигнал с вы- ходе С4 может быть использован как «защи ное» напряжение для ослабления эффектов емкости кабеля и утечек. При таком включении защитный выход дол- гой быть соединен с экраном входного Кабедя Если резистор установки коэффи- Нйента усиления (R ) не установлен не- посредственно рядом с усилителем (cy- ls* ществует отдельная панель регулировки- компоновка, которой следует избегать), то его (резистора /?,) соединения также должны быть экранированы и защищены (б) Выводы ИЗМЕРЕНИЕ и ОПОРНЫЙ дают возможность измерять выходное напряжение непосредственно на нагрузке, так что благодаря обратной связи можно исключить потери в соединительных про- водах, идущих к внешней схеме. К тому же ОПОРНЫЙ вывод позволяет смещать выходной сигнал постоянным напряжени- ем (или другим сигналом): однако полное сопротивление между этим выводом и землей должно быть малым, иначе упадет КОСС, (в) Для всех такого вида измери- тельных усилителей необходимо форми- ровать цепь для прохождения входного тока; нельзя, например, просто подсоеди- нить к входу термопару На рис. 7.34 по- казана простая схема включения ИМС измерительного усилителя с использова- Рис. 7.34. ИМС измерительного усилителя.
452 Глава 7 Прецизионные схемы и малошумящая аппаратура 453 Рис. 7.35. Измери тельный усилитель со следящей связью по питанию для повышения КОСС Тип А Защита 10 кОм Прецизионные резисторы Измерю------ (/3 ------------ / Выход (*1000) Нагрузка нием выводов защиты входа, измерения и опорного. Следящая связь по питанию. КОСС входных ОУ может оказаться лимити- рующим фактором для подавления син- фазных помех во всей схеме. Если нужны значения КОСС около 120 дБ, то мож- но применить прием, иллюстрируемый рис. 7.35. U4 измеряет уровень синфазно- го сигнала и управляет обшей точкой маломощного плавающего расщепленно- го источника питания для и U2. Эта схема следящей связи эффективно гасит синфазный сигнал для и U2, так как на их входах нет синфазных колебаний отно- сительно их собственного питания. 1/3 и 1/4 питаются от общего источника пита- ния, как обычно. Эта схема может тво- рить чудеса с КОСС, по крайней мере на постоянном токе С возрастанием часто- ты приходится иметь дело с обычными проблемами согласования полных сопро- тивлений и входных емкостей. Конфигурация с двумя ОУ. На рис. 7.36 показана схема, которая обеспечивает большое полное сопротивление с по- мощью только двух ОУ. Поскольку по- давление синфазного сигнала происходит здесь не в два этапа, как в схеме с тремя ОУ, для получения хорошего КОСС не- обходимо прецизионное согласование ре- зисторов, подобно тому как это было в стандартной схеме разностного усили- теля. Рис. 7.36. Схема измерительного усилителя с двумя ОУ Таблица 7.5. Измерительные усилители ПТ- вход Нали- чие Питание Максимальные входные погрешности21 ос по напряжение Ток В макс., сдвиг напряжения ток. нА току мА мин макс. вх.п вых.1' мВ мкВ/°С мВ мкВ ЭС смещения вига Шум КОСС _ на постоянном bs Полоса про- пускания Ширина поло- сы пропускания Время установ- ления до 1%, напряжение напряжение (0.1-10 Гц (10 Гц- Д мкВ от пика 10 кГц), к пику мкВ эфф.) о токе, мин., дБ Р — -3 Б, кГц при точности 1%, кГц мкс вх вых1* вх.1* вых1* я* К = 1 К = 103 о< К = 1 К = 103 К = 1 К = 103 К = 1 К = 103 АМР-01А — X 9 36 5 0,05 0.3 3 50 3 1 АМР-05А X X 10 36 10 1 10 15 100 0,05 0,025 LH0036 — — 2 36 0,6 1 10” 5 15” 100 40 LH0038” — — 10 36 2 0,1 0.25 10 259* 100 5 1 1\А101С — — 10 40 8,5 0,025 0.25 0.2 10 20 20 INA102C — — 7 36 0,8 0.1 2 0,2 5 30 10 fi INA104C — — 10 40 10 0,025 0,25 ОД 10 20 20 1 INA110В X — 12 36 4,5 0.25 э 3 50 0,05 (W2? LM363A — X 10 36 2 0,05 0,5 10 250 5 ° AD521 — X 10 36 5 3 15 400 400 80 20 1 AD522 — — 10 36 10 — 6 0,4 50 25 20 I AD524C — — 12 36 5 0,05 0,5 2 25 15 10 1 AD624C — 10 36 5 0,025 0Д5 2 10 15 10 1 AD625C — -— 10 36 5 0,025 0,25 л 15 15 ICL76055’ X — 4 18 5 0.005 0.2 — ' 1,5 — 0,1 13 0,5 — j— 85 125 4,5 570 26 12 50 4 *7 3 — 1 90 НО 7,5 3000 120 — — 5 5 — — 5 — — 50 100 0.3 350 0,35 — — 8 600 0,2 — 0,6 — 10 — 114 0,3 — 1.6 — — — 80” 0,8 — 1,5 — 50 80 106 0,4 300 э 5 20 0.2 30 500 0.1 — 2,5 8 20 90 90 0.2 300 0,3 30 0.03 50 3300 0>’ — 1.5 — 50 80 106 0,4 300 2,5 20 0.2 30 350 1 8 5 10 — 80 106 17 2500 100” — — 4 Ц6) 0,4» 1008> 1,2 100 15 100” 126 0.4 200” 30 307) 5 20” 70 0,5 150 1,2 30 — 70 100 10 2000 40 75 6 7 35 Г5 15 — 15 — 75 100 0,1 300 0,3 — — 500” 20000” 0,3 15 0,5 10 40 80 120 5 1000 25 10 50 0,2 10 0,5 10 40 80 130 5 1000 25 — — 10 50 0,2 — 0,4 7 30 80 120 5 650 25 — — 15” 75” 1,7 • — — — — 100” 100” 0.5 0,01 0.01 медленный медленный Шум и погрешности могут быть разделены на составляющие, обусловленные как входом, так и выходом. Полный шум (или погрешность), таким образом, дается выражением Вх. + Вых./К 2) Дифференциально6 полное входное напряжение превышает 1 ГОм, за исключением LH0038 (5 МОм;, АМР-05 (1 ТОм) и 1ЫА1Ю® 31 Диапазон коэффициента усиления 10-2000. ” До 0.01%. ” Тип КАН (см. разд. 7.10); 7606-без ,асйотной компенсации. 6* К = 500 ” К = 10. 8) 0,01 Гц-10 Гц. ” Типичное значение
454 Глава 7 Специализированные ИМС измери- тельных усилителей. Существует несколь- ко интересных конфигураций измеритель- ных усилителей, выпускаемых в виде мо- нолитных (и поэтому недорогих) ИМС; некоторые из них имеют очень хорошие рабочие параметры В них применяются другие методы, чем в рассматривавшихся ранее схемах. Дифференциальные усилители с преоб- разованием проводимости (в цепи обрат- ной связи). В этих схемах, представителя- ми которых являются LM363, AD521 и выполненный на ПТ с р--л7-переходом АМР-05, большое значение КОСС дости- гается без согласования внешних сопротив- лений Фактически только коэффициент усиления устанавливается отношением пары навесных резисторов. На рис. 7.37 показана функциональная схема АМР-01. В ней используются две пары дифферен- циальных усилителей - преобразователей проводимости с одним навесным резис- тором, устанавливающим в каждом слу- чае коэффициент усиления. Одна пара уси- лителей управляется входным сигналом, а другая-выходным сигналом, отсчиты- ваемым относительно входа опорного на- пряжения. В АМР-05 используются ПТ для поддержания низкого уровня входных токов, а в АМР-01 используются бипо- лярные транзисторы для достижения ма- лого напряжения сдвига и малого дрейфа (табл. 7.5). В прецизионной схемотехнике исключи- тельно полезными могут быть методы с использованием микропроцессорной об- работки: см. разд. 13.24. ШУМЫ УСИЛИТЕЛЕЙ Почти в любой области измерений значе- ние предельно различимого слабого сиг- нала определяется шумом - мешаюШИМ сигналом, который забивает полезный сигнал. Даже если измеряемая величина и не мала, шум снижает точность И3' мерения Некоторые виды шума неустрй'
Прецизионные схемы и малошумящая аппаратура 455 рйМЫ принципиально (например, флук- туации измеряемой величины), и с ними можно бороться только методами усред- нения сигнала и сужения полосы, которые МЫ обсудим в гл. 15. Другие виды шума (например, помехи на радиочастоте и «петли заземления») можно уменьшить дли исключить с помощью разных прие- мов, включая фильтрацию, а также тща- тельное продумывание расположения проводов и элементов схемы. И наконец, существует шум, который возникает в процессе усиления, и его можно умень- шить применением малошумящих усили- телей. Хотя техника осреднения сигнала часто применяется для извлечения сигна- ла маскируемого шумом, имеет смысл для начала убедиться, что система сво- бодна от всех устранимых помех и обла- дает наименьшим, практически возмож- ным шумом усилителя. Мы начнем с разговора об источниках происхождения и характеристиках различ- ных видов шумов, от которых страдают электронные схемы. Затем мы займемся обсуждением шумов биполярных и по- левых транзисторов, включая методы проектирования малошумящих схем при заданном источнике питания. Приведем несколько конструктивных примеров. По- сле небольшого обсуждения шумов диф- ференциального усилителя и усилителя с обратной связью мы посвятим заклю- чительный раздел обсуждению надлежа- щего заземления и экранирования, а также исключению помех и наводок (см также разд. 13.24, посвященный методам моде- лирования аналоговых схем). 7.11. Происхождение и виды шумов Термит «шум» применяется ко всему то- му, что маскирует полезный сигнал, по- этому шумом может оказаться какой- нибудь другой сигнал («помеха»); но чаще всего этот термин означает «случайный» Шхм физической (чаще всего тепловой) нрироды Шум характеризуется своим Частотным спектром, распределением ам- “Лйтуд ц источником (происхождением). назовем основных «возмутителей СПОКОЙСТВИЯ». Джонсоновский шум. Любой резистор на плате генерирует на своих выводах некоторое напряжение шума, известное как «шум Джонсона» (тепловой шум). У него горизонтальный частотный спектр, т. е. одинаковая мощность шума на всех частотах (разумеется, до некоторого пре- дела). Шум с горизонтальным спектром называют «белым шумом». Реальное на- пряжение шума в незамкнутой цепи, по- рожденное сопротивлением R, находя- щимся при температуре Т, выражается формулой иш.,^=ишК=(4кТКВУ'2, где /с-постоянная Больцмана, Т-абсо- лютная температура в кельвинах (К = = °C + 273,16), В-полоса частот в Гц. Таким образом, UUI Эфф-это то, что по- лучится на выходе совершенно бесшум- ного фильтра с полосой пропускания В, если подать на его вход напряжение, по- рожденное резистором при температуре Т При комнатной температуре (68 °F = = 20 СС = 293 К) 4кТ= 1,62-10“20 В2/(ГцОм), (4kTR)1'2 = 1,27 - 10"loF1/2 В/Гц1/2 = 1,27- 10“4В1/2 мкВ/Гц1/2. Например, резистор на 10 кОм при ком- натной температуре имеет среднеквадра- тичное напряжение шума в разомкнутой цепи порядка 1,3 мкВ, измеренное в по- лосе 10 кГц (измерять можно, например, подсоединив резистор ко входу высоко- качественного усилителя и наблюдая на- пряжение на выходе усилителя вольтмет- ром). Сопротивление источника этого на- пряжения шума равно просто R. На рис 7.38 дан график простой зависимости плотности напряжения шума Джонсона (среднеквадратичное напряжение на ко- рень квадратный из ширины полосы) от сопротивления источника Амплитуда напряжения шума Джонсо- на, вообше говоря, в данный конкретный момент непредсказуема, но она подчиня- ется закону распределения Гаусса (рис 7.39), где р(U) Л/- вероятность того, что мгновенное значение напряжения заклю- чено между U и U + dU, а 17ш-опреде-
456 Глава 7 Рис. 7.38. Зависимость напряжения теплового шума от сопротивления. где Сгш есть эффективный шум. Площадь заштрихо- ванной области равна вероятности нахождения мгно- венного значения напряжения между U и U 4- AU. ленное выше среднеквадратичное (эффек- тивное) напряжение шума Шум Джонсона устанавливает нижнюю границу напряжения шумов любого де- тектора, источника сигнала или усилите- ля, имеющего резистивные элементы. Активная составляющая полного сопро- тивления источника порождает шум Джонсона; так же действуют резисторы пеней смешения и нагрузки усилителя. Скоро мы увидим, как это происходит. Интересно отметить что любой физи- ческий аналог сопротивления (любой ме- ханизм потерь энергии в физической си- стеме, например, вязкое трение малых частиц жидкости) имеет связанные с ним флуктуации соответствующей физической величины (в приведенном примере-это флуктуации скоростей частиц, проявляю- щиеся как хаотическое броуновское дви- жение) Шум Джонсона-это просто спе- циальный случай такого флуктуационно- диссипативного явления. Шум Джонсона не следует путать с до- полнительным шумовым напряжением который возникает из-за эффекта флук- туации сопротивления, когда приложен- ный извне ток проходит через резистор Этот «избыточный шум» имеет спектр приблизительно l/f, и он сильно зависит от конкретной конструкции резистора. Мы об этом поговорим позже. Дробовой шум. Электрический ток пред- ставляет собой движение дискретных за- рядов, а не плавно непрерывное течение. Конечность (квантованность) заряда при- водит к статистическим флуктуациям то- ка. Если заряды действуют независимо друг от друга, то флуктуирующий ток определяется формулой = (ЗД-В)1'2, где q- заряд электрона (1,6 10-19Кл), /=-постоянная составляющая («устано- вившееся» значение) тока, а В-ширина полосы частот измерения. Например, «установившийся» ток в 1 А фактически имеет флуктуации со среднеквадратич- ным значением 57 нА в полосе шириной 10 кГц, т е. он отклоняется примерно на 0,000006%. Относительные флуктуации больше для меньших токов: «установив- шийся» ток в 1 мкА имеет флуктуации (среднеквадратичные) в той же полосе частот 0,006%, т. е. —85 дБ. При постоян- ном токе 1 пА среднеквадратичные флук- туации тока (полоса та же) будут состав- лять 56 фА, т. е отклонение на 5,6% Дробовой шум-это «шум дождя на жес- тяной крыше». Как и резистивный шум Джонсона, это гауссовский белый шум. Приведенная выше формула для дробо- вого шума выведена в предположении, что создающие ток носители заряда дей- ствуют независимо друг от друга. Это справедливо, когда заряды преодолевают некоторый барьер, как например, в случае тока через диодный переход, где заряды перемещаются за счет диффузии, однако это не так в таком важном случае, когда мы имеем дело с металлическими провоД' никами, где между носителями заряда существует тесная корреляция Таким
Прецизионные схемы и малошумящая аппаратура 457 образом, ток в простой резистивной схеме имеет намного меньшую шумовую со- ставляющую, чем это предсказывает фор- мула для дробового шума. Другое важное исключение для этой формулы дает наша стандартная транзисторная схема источ- ника тока (рис. 2.21), в которой отрица- тельная обратная связь сводит дробовой щум на нет. Упражнение 7.4. Пусть в качестве коллекторной нагрузки в малошумящем усилителе используется ре- зистор; коллекторный ток 1К сопровождается при этом дробовым шумом. Покажите, что в выходном шуме напряжения доминирует дробовой шум (а не тепловой шум резистора), начиная с момента, когда падение напряжения в установившемся режиме на резисторе нагрузки становится больше 2kT/q (50 мВ при комнатной температуре). Шум If (фликкер-шум). Дробовой и тепловой шумы-это неуменьшаемые ви- ды шума, возникающие в соответствии с законами физики. Самый дорогой и тщательно изготовленный резистор имеет тот же тепловой шум, что и дешевый углеродный резистор с тем же сопротив- лением. Реальные устройства, кроме того, имеют различные источники «избыточ- ных шумов». Реальные резисторы под- вержены флуктуациям сопротивления, ко- торые порождают дополнительное напря- жение шума (которое складывается с по- стоянно присутствующим напряжением теплового шума), пропорциональное про- текающему через резистор постоянному току. Этот шум зависит от многих факто- ров, связанных с конструкцией конкретно- го резистора, включая резистивный мате- риал и особенно концевые соединения. В. г типичные значения избыточного шума различны типов резисторов, выраженные ^микровольтах на вольт приложенного к Р- шстору напряжения (приводится сред- неквадратичное значение, измеренное на одной декаде частоты): рлеродно-к мпозитные ^kiepo дно-пленочные аллопленочные Проволочные От 0.10 до 3.0 мкВ От 0,05 до 0.3 мкВ От 0,02 до 0,2 мкВ От 0.01 до 0,2 мкВ Этот шум имеет спектр, примерно опи- сываемый зависимостью 1// (постоянная Мощность на декаду частоты) и иногда Называется «розовым шумом». Шум, воз- никающий по другим причинам, также часто имеет спектр 1//; примерами таких шумов являются шум тока базы у тран- зистора и шум катодного тока в электрон- ных лампах. Любопытно, что шум вида 1// встречается в природе в самых не- ожиданных проявлениях, например, ско- рости океанических течений, потоке песка в песочных часах, пассажирских потоках на скоростных железных дорогах в Япо- нии, а также годовом стоке Нила за по- следние 2000 лет. Если построить график громкости звучания какого-нибудь произ- ведения классической музыки, то опять- таки получится спектр 1//! Общего прин- ципа. объясняющего происхождение шу- мов со спектром 1//, не найдено, хотя он, казалось бы. носится в воздухе, но в каж- дом отдельном случае часто можно опре- делить источник такого шума. Помехи. Как уже говорилось, одной из форм шумов являются мешающие сигна- лы или паразитные наводки. В этом слу- чае спектр и амплитудные характеристики зависят от мешающего сигнала. Напри- мер, наводка от сети 50 Гц имеет спектр в виде пика (или ряда пиков) и отно- сительно постоянную амплитуду, а шум зажигания автомобиля, шум грозовых разрядов и другие шумы импульсных ис- точников имеют широкий спектр и всплес- ки амплитуды. Другим источником помех являются радио- и телепередающие стан- ции (особенно серьезна эта проблема вблизи больших городов), окружающее электрооборудование, моторы, лифты, метро, выключатели, переключательные стабилизаторы, телевизоры. Все эти про- блемы существуют в слегка измененном виде во всех тех случаях когда что-нибудь влияет на измеряемый вами параметр Например, оптический интерфер метр восприимчив к вибрации, а на чувстви- тельные измерения радиочастот (напри- мер, в ЯМР-спектроскопии) может по- влиять внешний радиочастотный сигнал. Многие схемы, равно как детекторы или даже кабели, чувствительны к вибрациям и звуку, и они. по торговой терминологии, страдают «микрофонным эффектом». От многих из этих источников шума можно отделаться путем тщательного
458 Глава 7 экранирования и фильтрации, как будет сказано в этой главе ниже Иногда при- ходится принимать совершенно драконов- ские меры, включая монолитные камен- ные столы (для виброизоляции), комнаты с постоянной температурой, звукопогло- щающие камеры и комнаты с электри- ческой экранировкой. 7.12. Отношение сигнал/шум и коэффициент шума Перед тем как начать детальное рассмот- рение шума усилителя и проектирования малошумящих схем, нам нужно опреде- лить несколько терминов, которые часто употребляются для описания шумовых характеристик усилителей. Речь идет о ко- личественных показателях напряжений шумов, измеренных в одной и той же точке схемы. Обычно напряжения шумов приводятся ко входу усилителя (хотя из- мерения обычно производятся на выходе), т. е. шумы источника сигнала и усилителя описываются через эквивалентные напря- жения шумов на входе, которые могли бы дать на выходе наблюдаемый шум Это имеет смысл тогда, когда вы хотите оце- нить относительный шум, добавленный усилителем к шуму источника сигнала, независимо от коэффициента усиления; это вполне практично, так как основной шум усилителя обычно порождается входным каскадом. Если не оговорено противное, напряжение шума всегда будет отнесено ко входу. Плотность мощности шума и ширина полосы. При рассмотрении теплового и дробового шумов было показано что ве- личина измеренного напряжения шума за- висит и от полосы частот измерения (чем шире смотришь, тем больше видишь) и от переменных параметров (А и /) само- го источника шума. Поэтому естественно говорить о среднеквадратичной плотнос- ти напряжения шума гш. ^ш.эфф = = (4/сТТ?)1 2В"2, где 17ш зфф- среднеквадратичное напряже- ние шума, измеренное в полосе ширины В. У источника белого шума не зависит от частоты, а розовый шум, например, имеет спад гш в 3 дБ/октава. Часто ис- пользуется среднее значение квадрата плотности шума v2u. Поскольку vw всегда относится к среднеквадратичному значе- нию, а г2- к среднему значению квадрата для получения достаточно возвести в квадрат гш. Это звучит просто (и по сути просто), но мы хотим быть уверены, что вы не запутаетесь. Заметьте, что величины В и В1'2 явля- ются множителями для перехода от ве- личин, обозначаемых строчными буква- ми, к величинам, обозначаемым пропис- ными буквами. Например, для теплового шума резистора R имеем »^ = (итв.у'2 В/Гц1'2, C2K = 4fcTfl В2/Гц, иш.м = = («ТЯВ)1'2 в, U2^ = vlRB = 4kTRB В2. В данных изготовителя даются графики 2 гш или Гщ, соответственно в единицах «нановольт на корень из герца» или «вольт в квадрате на герц». Величины еш и 1Ш, которые скоро будут введены, использу- ются точно так же. При сложении двух некоррелированных сигналов (два шума или сигнал и шум) складываются квадраты амплитуд; v = = (у2 4- г2)1/2, где г-эффективное (средне- квадратичное) значение сигнала, получен- ного сложением сигнала с эффективным значением vc и шума с эффективным зна- чением гш Эффективные значения нельзя суммировать! Отношение сигнал шум. Отношение сиг- нал/шум (С/Ш) определяется по формуле С/Ш = 101g(<72/Ui) дБ, где для напряжений указаны эффективные значения, а ширина полосы и некоторая центральная полоса оговорены т е это есть отношение (в децибелах) эффектив- ного напряжения полезного сигнала к эф- фективному напряжению имеющегося шума. «Сигнал» может быть синусоИ' дальным, или несущей частотой с моДУ' ляпией. или даже шумоподобным сигна^ лом. Если сигнал имеет узкополоснЫ0 спектр, то существенно, в какой полосе измеряется отношение С/Ш, так как ой°
Прецизионные схемы и малошумящая аппаратура 459 надает, если полоса измерений становится шире полосы, содержащей спектр сигна- ла: с расширением полосы энергия шума увеличивается, а энергия сигнала остается постоянной. Коэффициент шума. Любой реальный источник сигнала или измерительный прибор генерирует шум из-за наличия те- плового шума во внутреннем сопротив- лении источника (реальная часть комплек- сного полного сопротивления). Конечно, могут быть и дополнительные источники шума от других причин Коэффициент шума (КШ) усилителя-это просто отно- шение в децибелах выходного сигнала реального усилителя к выходному сигна- лу «совершенного» (бесшумного) усили- теля с тем же коэффициентом усиления; входным сигналом в обоих случаях явля- ется тепловой шум подключенного ко входу усилителя резистора. КШ = 101g[(4AT/?H + vl)/4kTRJ = = 10 lg(l + v^/4kTR„) дБ, где Гщ-средний квадрат напряжения шу- ма на герц, даваемого усилителем с бес- шумным (холодным) резистором R„ на входе Значение RB существенно, так как напряжение шума, порождаемого усили- телем, как вы вскоре увидите, сильно зависит от сопротивления источника (рис 7.40). ^*с 7 40 Зависимость эффективного напряжения от коэффициента шума и сопротивления источ- (National Semiconductor Corp.). Коэффициент шума-удобная характе- ристика качества усилителя, если при за- данном активном сопротивлении источ- ника вы хотите сравнить усилители (или транзисторы, для которых также опреде- ляется КШ). Коэффициент шума изменя- ется с изменением частоты и сопротив- ления источника, поэтому он часто за- дается графически в виде линий уровня КШ относительно частоты и RB Он мо- жет быть указан также в виде набора графиков его зависимости от частоты-од- на кривая на каждое значение тока кол- лектора или аналогичного набора графи- ков зависимости КШ от 7?и-также одна кривая на каждое значение тока коллек- тора. Обратите внимание на следующее. Приведенная выше формула для КШ вы- ведена в предположении, что полное вход- ное сопротивление усилителя во много раз больше полного сопротивления источ- ника, т. е. ZBX » Ru. Однако в особом слу- чае для усилителей радиочастоты мы обычно имеем RK = = 50 Ом, и КШ определен соответствующим образом. В этом специальном случае согласованных полных сопротивлений необходимо прос- то убрать коэффициент 4 в предыдущих выражениях Огромное заблуждение: не пытайтесь улучшить положение добавлением после- довательного резистора к источнику сиг- нала для попадания в область минималь- ного КШ. Все. чего вы добьетесь, ста- раясь, чтобы усилитель выглядел лучше,- это добавите шума в источник! Коэффи- циент шума может быть весьма обманчив в этом случае; обманчив он еше и потому, что спецификация КШ (например. 2 дБ) для биполярного или полевого транзис- тора всегда дается при оптимальной ком- бинации и 1К (1С). Об истинных рабочих характеристиках эта величина говорит мало, кроме разве того, что изготовитель считает полезным похвастаться малой ве- личиной КШ. Вообще говоря, при оценке характерис- тик усилителя легче всего не запутаться, если придерживаться отношения С/Ш, подсчитанного для данного напряжения и полного сопротивления источника. Вот как надо перейти от КШ к отношению
460 Глава 7 С/Ш: С/Ш = 10 lg(v2/4A-TflH) - КШ (дБ) (при Аи), где vc - среднеквадратичная амплитуда си- гнала. Ru-полное сопротивление источ- ника, а КШ-коэффициент шума усили- теля при данном RK Температура шума. Иногда вместо ко- эффициента шума для выражения шумо- вых характеристик усилителя использует- ся температура шума. Оба способа несут одну и ту же информацию, а именно дополнительный вклад в шум усилителя, возбуждаемого источником сигнала с пол- ным сопротивлением 7?и; в этом смысле они эквивалентны. Взгляните на рис. 7.41, чтобы понять, как работает температура шума: вначале вообразим себе, что имеется реальный (шумящий) усилитель, подключенный к бесшумному источнику с полным со- противлением RH (рис. 7.41, с). Если вы затрудняетесь представить бесшумный источник, вообразите резистор с сопро- тивлением RK, охлажденный до абсолют- ного нуля. Однако, хотя источник и бес- шумный. на выходе будет некоторый шум. поскольку усилитель имеет шумы. Тецерь представьте конструкцию рис. 7.41,6, в которой мы волшебным образом сделали усилитель бесшумным и привели источ- ник Rv к некоторой температуре Тш такой что выходное напряжение шума стало та- ким же, как и на рис. 7.41, а. Тш называется температурой шума данного усилителя для полного сопротивления источника R Как мы отмечали ранее, коэффициент шума и температура шума представляют собой просто разные способы выражения одной и той же информации. В самом деле, можно показать, что они связаны друг с другом следующими соотноше- ниями: Тш = т(10КШ(дБ)/1° - 1), КШ(дБ)= 101g(Tm/T+ 1), где Т-температура окружающей среды, обычно принимаемая равной 290 К. Вообще говоря, хорошие малошумя- щие усилители имеют температуру шума гораздо ниже комнатной (или это экви- валентно тому, что коэффициент шума у них много меньше 3 дБ). Позже в этой главе мы объясним, как можно измерить коэффициент (или температуру) шума усилителя. Вначале, однако, нам нужно разобраться в шумах транзисторов и ме- тодах проектирования малошумящих схем. Мы надеемся, что последующие рас- суждения прояснят то, что часто покрыто мраком непонимания. Мы уверены, что, прочитав следующие два раздела, вы никогда больше не будете введены в заблуждение коэффициентом шума! ^(выхЭ.какв а 6 Рис. 7.41. 7.13. Шум тока и напряжения транзисторного усилителя Шум. порождаемый усилителем, легко описать с помошью простой модели, до* статочно точной для многих целей. На рис. 7.42 еш обозначает источник шума напряжения, последовательный по отно- шению к входному сигналу, а обозна- чает шум входного тока. Транзистор (й вообще усилитель) предполагается бес- шумным и просто усиливает напряжение входного шума, которое приходит к нему-
Прецизионные схемы и малошумяшая аппаратура 461 Рис. 7.42. Модель шумов транзистора. Таким образом, усилитель дает полное напряжение шума еу, которое, будучи от- несено ко входу, равно е, = [4 + (WT'2 В/Гн1'2. Два слагаемых в скобках-это просто входное напряжение шума и напряжение шума порождаемое прохождением шума входного тока усилителя через сопротив- ление источника. Так как эти два шума обычно не коррелированы, то, складывая квадраты их амплитуд, получим эффек- тивное напряжение шума, поступающего на усилитель. При малом сопротивлении источника преобладает шум напряжения еш, а при большом—шум тока /ш. На рис. 7.43 для иллюстрации приведе- ”1С- 7.43. Зависимость эквивалентного среднеквадра Очного входного напряжения шума еш и входного тока шума /ш от коллекторного тока для ири-тран- зистора 2N5087. (Fairchild Camera and Instrument Corp.). ны кривые зависимости еш и /ш от 1К и f для 2N5087 Сейчас мы постараемся вникнуть в некоторые детали, описывая эти величины и демонстрируя, как вести проектирование для минимизации шума. Стоит отметить, что шум напряжения и тока для транзистора лежит в диапазо- не нановольт и пикоампер на корень из герца. Шум напряжения еш. Эквивалентный ге- нератор шумового напряжения рассмат- ривают как включенный последовательно с базой транзистора. Этот генератор представляет сумму теплового шума, по- рожденного объемным сопротивлением базы гб, и дробового шума коллекторного тока, порождающего шум напряжения на дифференциальном сопротивлении эмит- тера гэ. Эти два слагаемых имеют сле- дующий вид: 4 = <Гг6 + 2«/кг2 = = «ТУ, + 2(kT)2/{qIK) В2/Гц. Они являются гауссовскими белыми шумами. В дополнение к этому существу- ет некоторый фликкер-шум. порожденный прохождением тока базы через г6. Он существен только при больших токах ба- зы, т.е. при больших токах коллектора. Поэтому величина еш постоянна в боль- шом диапазоне значений тока коллектора; она увеличивается при малых токах (дро- бовой шум тока через возрастающее со- противление гэ) и при достаточно боль- ших токах (шум фликкер-эффекта от про- хождения 71; через гб. Последний эффект существен только на низких частотах из-за зависимости 1//. Например: на час- тотах свыше 10 кГц у 2N5087 еш равно 5 нВ Гц1 2 при 1К = (10 мкА и 2 нВ Гц1 при 1К = 100 мкА На рис. 7.44 показаны кривые зависимости еш от частоты и то- ка для малошумящей дифференциальной лрл-пары LM394 и малошумящего 2SD786 производства фирмы Toyo-Rohm. В по- следнем используется специальная гео- метрия для достижения необычайно низ- кого гб = 4 Ом. что позволяет получить самые низкие на сегодня значения еш. Шум тока /ш. Шумовой ток следует учитывать, так как он порождает допол- нительный шум напряжения на полном
462 Глава 7 I 10 100 1000 /к, мкА Рис. 7.44. Зависимость входного напряжения шума еш от коллекторного тока для двух малошумящих би- полярных транзисторов. 0,01 j_______!________I__________। I 10 100 1000 Рис. 7.45. Входной ток шума для биполярного тран- зистора LM394. а-зависимость от тока коллектора б-зависимость от частоты Рис. 7.46. Модель шумов усилителя сопротивлении источника сигнала. Основ- ным источником шума тока являются флуктуации дробового шума в установив- шемся токе базы, складывающиеся с флук- туациями за счет фликкер-шума в г Вклад дробового шума-это шум тока возрастающий пропорционально корню квадратному из /Б (или 1К) и имеющий плоский частотный спектр, в то время как составляющая фликкер-шума растет с 1К быстрее и имеет обычную частотную за- висимость вида \/f. Взяв опять для при- мера 2N5087 на частотах свыше 10 кГц, имеем около 0,1 пА/Гц1/2 при 1К = = 10 мкА и 0,4 пА/Гц1 2 при 1К = 100 мкА. Шум тока растет, а шум напряжения спа- дает при увеличении 1К. В следующем разделе мы увидим, как это обстоятельст- во определяет выбор значений рабочих токов в малошумящих схемах. На рис. 7.45 показаны графики зависимости /ш от частоты и тока для малошумящей пары LM394. 7.14. Проектирование малошумящих схем на биполярных транзисторах Факт, что еш падает, а /ш растет с ростом тока 1К, дает возможность оптимизиро- вать рабочий ток транзистора для по- лучения минимального шума при данном источнике сигнала. Снова взглянем на модель (рис. 7.46). «Бесшумный» источ- ник сигнала ип имеет добавку в виде генератора напряжения шума (теплового шума его внутреннего сопротивления) вди = 4kTRa В2/Гц. Усилитель добавляет сюда свой собственный шум: е2 = е2 ч- (ijQ2 В2 Тц. Таким образом, напряжение шума усили- теля добавляется к входному сигналу и кроме того шум тока усилителя порожда- ет шум напряжения на внутреннем со- противлении источника. Эти два шума не коррелированы (за исключением очень высоких частот) и их квадра гы склады- ваются. Наша цель-как можно сильнее уменьшить общий шум усилителя. Это легко сделать, если известно R„, так как достаточно посмотреть на зависимость и от 1К на частотах сигнала и выбрать
Прецизионные схемы и малошумящая аппаратура 463 минимизирующее <?2 + (/ШЛИ)2. Если рам повезло и у вас есть карта линий уровня коэффициента шума на поле 1К й то вы быстро сможете определить оптимальное значение 1К. Пример расчета коэффициента шума. Для примера предположим, что у нас есть малый сигнал с частотой около 1 кГц, сопротивлением источника около 10 кОм й мы хотим построить усилитель на базе 2N5087. Из кривых еш /П1 (рис. 7.47) мож- но видеть, что сумма вкладов напряжения й тока (при сопротивлении источника 10 кОм) будет минимальной при токе кол- лектора 10-20 мкА. Так как с уменьше- нием 1К шум тока падает быстрее, чем растет шум напряжения, разумно исполь- зовать несколько меньший ток коллек- тора, особенно если предвидится работа на более низких частотах (zm резко растет при уменьшении частоты) Можно незави- симо оценить коэффициент шума, исполь- зуя значения zm и еш на частоте 1 кГц: кш = 10 Ig {1 + [4, + дБ. При 1К = 10 мкА еш = 3,8 нВ Гц1/2, zni — =0,29пА/Гц1/2, a 4kTR„ = 1,65-10-1бВ2/Гц для сопротивления источника 10 кОм; вы- численный таким образом коэффициент шума равен 0,6 дБ. Этот результат совпа- дает с графиком зависимости КШ от час- тоты (рис. 7.48) при выборе кривой 1К = 7.47. Линии уровня коэффициента узкополосного для транзистора 2N5087. (Fairchild Camera and nstrurnent Corp.). 1/кэ=—5B; /= 1,0 кГц, ширина п°лосы 150 Гц. Рис. 7.48. Зависимость коэфициента шума (КШ) от частоты для трех значении 1К и R„ у транзистора 2N5087. (Fairchild Camera and Instrument Corp.). СУКЭ = -5 В; 1 — IK = 500 мкА, RH — 1,0 кОм; 2 — IK = 250 мкА, RH = 5 кОм; 3 — 7K = 20 мкА, R„ = 10 кОм. — 20 мкА, R„ — 10 кОм. Указанный вы- бор коллекторного тока примерно совпа- дает также с результатом, который мож- но было бы получить из графика рис. 7.47 (линии уровня коэффициента шума при частоте 1 кГц), хотя реальный коэффи- циент шума по этим линиям оценить трудно-можно только сказать, что он меньше 2 дБ. У пражнение 7.5. Найдите оптимальное значение 7К и соответствующий коэффициент шума при Ли = = 100 кОм и/= 1 кГц, используя график на рис. 7.43 Проверьте ответ по кривым тинии уровня коэффи- циента шума (рис. 7.47). Для других схем усилителя (повтори- тель, усилитель с заземленной базой) ко- эффициент шума при данных и 1К будет в сушности тот же самый, посколь- ку еш и zm не изменяются. Конечно, усили- тель с единичным коэффициентом усиле- ния (повторитель) просто «передает» про блемы уменьшения шума следующему каскаду, так как сигнал не будет усилен до такой степени, которая позволяет не ду- мать о снижении шумов в следующих каскадах. Графическим метод оценки шума усили- теля по еш и zm. Только что представленная техника расчета шумов, хотя и ведет не- посредственно к получению результата, однако не исключает возможности появ- ления в процессе проектирования ужасных ошибок. Достаточно, например, поста- вить не на то место постоянную Больцма- на, и мы вдруг получаем усили ель с ко- эффициентом шума 10000 дБ! В этом раз-
464 Глава 7 Рис. 7.49. Зависимость напряжения входного шума усилителя еу как суммы параметров еш и /ш R„ от сопротивления источника сигнала. Шум для входного каскада LM394 на частоте 1 кГц при 1К = 50 мкА; еш = 2,5 нВ-Гц1'2; /ш = 0,16 пА/Гц1/2; 7?ш = еш/1ш = 15 кОм. деле мы опишем очень полезную упро- щенную технику оценки шума. Метод состоит в том, что сначала вы- бирается интересующая нас частота, что- бы можно было выбрать из паспортных данных транзистора значения еш и гш в за- висимости от 1К. Затем при заданном токе коллектора строится график зависимости ev (как суммы вкладов еш и /ш в шум) от сопротивления источника Ru. На рис. 7.49 показано, как он выглядит при частоте 1 кГц для дифференциального входного каскада, использующего согласованную транзисторную пару LM394 со сверхвы- соким Р, работающую при коллекторном токе 50 мкА. Шум напряжения еш посто- янный, а напряжение /ШЛИ возрастает про- порционально Rn, т.е. с наклоном 45°. Линия шума усилителя строится так, как показано на рисунке, надо причем тща- тельно следить за тем, чтобы она про- ходила через точку на 3 дБ (отношение напряжений около 1,4) выше точки пере- сечения отдельно построенных линий шу- ма напряжения еш и тока Кроме того, строится линия напряжения шума сопротивления источника, которая оказы- вается линией уровня коэффициента шума 3 дБ. Другие линии уровня КШ-это пря- мые. ей параллельные, как вскоре будет показано на примерах. Наилучший коэффициент шума (0.2 дБ) при этом коллекторном токе и этой часто- те наблюдается при сопротивлении источ- ника 15 кОм, и легко видеть, что коэф- фициент шума меньше 3 дБ будет при сопротивлении источника между 300 Ом и 500 кОм,-точки, в которых линия уров- ня коэффициента шума 3 дБ пересекает график шума усилителя. Следующий шаг-построение других кривых шума на том же графике при различных токах коллектора и частотах, а возможно и для других типов транзис- торов, с целью оценки параметров усили- теля. Перед тем как двигаться в этом направлении дальше, покажем, как можно к одному и тому же усилителю применять два различных параметра, характеризую- щие шум: шумовое сопротивление и коэффициент шума КШ (при 7?ш), которые оба получаются непосредственно из гра- фиков. Шумовое сопротивление. Наименьший коэффициент шума в этом примере по- лучается, когда сопротивление источника 15 кОм, что равно отношению еш к /ш. Так определяется шумовое сопротив ение Rjn = еш im. Коэффициент шума источни- ка с таким сопротивлением находится из приведенного ранее выражения: КШ (при Rw) = = 101g El + 1-23 X 102О(4 /лш)] дБ - 0.2 дБ. Шумовое сопротивление не сушествуеТ реально в транзисторе или где-то еШе- Это параметр, который помогает быстр0 определить сопротивление источника?
Прецизионные схемы и малошумящая аппаратура 465 Рис. 7.50. Полное напряжение входного шума еу усилителя на биполярном тран- зисторе LM394 при различных условиях в сравнении с ПТ с р- «-переходом 2N6483. Монолитная согласованная пара ири-биполярных транзисторов LM394 при 1 кГц и 1К — 1 мкА — 10 мА. дающее минимальный коэффициент шу- ма.. так что в идеале надо менять ток коллектора таким образом, чтобы подо- гнать ка! можно ближе к реальному сопротивлению источника. Rw отвечает точке, в которой пересекаются графики сш игш- Коэффициент шума для сопротивления источника, равного Rw, находится по при- веденной выше формуле. Альтернатива: биполярный транзистор или ПТ. Давайте поиграем с этой мето- дикой. Постоянным яблоком раздора сре- ди инженеров является вопрос о том. что «лучше»: биполярные или полевые тран- зисторы? Мы покорно предоставим ре- шение. этого вопроса единоборству луч- ших представителей двух состязающихся сторон. Мы позволим в интересах честной борьбы сражаться двум командам Natio- nal Semiconductor, выбрав двух едино- борцев. Итак, в биполярном углу-великолеп- ный монолитный LM394-согласованная пара со сверхвысоким p.-уже готовый к состязаниям (см. выше). Он работает на частоте 1 кГц с током коллектора от 1 мкА до 1 мА (рис. 7.50). Команда ПТ представлена монолитной парой согласованных «-канальных ПТ с р-«-переходом 2N6483, знаменитой сво- им потрясающе низким уровнем шумов и превосходящей, как принято считать, по этим параметрам биполярные транзисто- ры. Согласно паспортным данным, она рассчитана только на диапазон тока стока от 100 до 400 мкА (рис. 7.51). Кто же победитель? Решение оказыва- Рис. 7.51. Полное напряжение входного шума еу для ПТ с р-л-переходом 2N6483 в сравнении с биполярным транзистором LM394. Монолитная согласованная пара и-канальных ПТ с р-и-переходом 2N6483 при 1 кГц и /с = 100 400 мкА. Ua = 2 - 25 В.
466 Глава 7 схемы и малошумящая аппаратура 467 ется двойственным. Полевой транзистор набирает очки по минимизации коэффи- циента шума КШ(/?ш) достигая феноме- нального значения 0,05 дБ и держась на- много ниже 0,2 дБ при полном сопротив- лении источника от 100 кОм до 100 МОм. В области больших сопротивлений источ- ника ПТ непобедимы Биполярные тран- зисторы опережают при малых сопротив- лениях источника, в частности меньших 5 кОм, и могут достигать 0,3 дБ КШ при R„ = 1 кОм при соответствующем выборе тока коллектора. Для сравнения: ПТ име- ют КШ при сопротивлении источника 1 кОм не лучше 2 дБ из-за большего шу- ма напряжения еш. Как и в боксе, где быть лучшим в драке еще не означает иметь шанс на участие в чемпионате мира, так и здесь имеются несколько юных претендентов на звание лучшего малошумящего транзистора. На- пример, в комплементарных ПТ ср-«-пе- реходом 2SJ72 и 2SK147 фирмы Toshiba используется ячеистая геометрия затвора, что позволяет получить феноменально низкое значение 0,7 нВ/Гц1 при 1С = = 10 мА (это эквивалентно тепловому шуму 30-омного резистора!). Но ведь это ПТ с их малым входным током (и по- этому малым /ш), а отсюда и то, что шумовое сопротивление примерно равно 10 кОм При использовании их в усили- теле при сопротивлении источника, рав- ном их шумовому сопротивлению (т е при R„ = 10 кОм), эти транзисторы не- победимы-температура шума составляет всего 2 К! Перед тем как бежать покупать мешок этих замечательных ПТ, выслушайте не- сколько критических замечаний, которые заставят усомниться в безграничности их возможностей,-эти ПТ имеют высокую входную емкость и большую емкость об- ратной связи (85 и 15 пФ соответственно), что делает их ограниченно годными на высоких частотах. Их родственник 2SK117 в данном отношении лучше, но у него выше еш. Те же критические аргументы справедливы для биполярных комплемен- тарных пар 2SD786 и 2SB737 фирмы Тоуо - Rohm, у которых при еш по крайней мере не выше 0,55 нВ/Гц1 2 при умерен- ных значениях полного сопротивления ио точника и частоты можно получить да^е лучшие рабочие параметры Малое полное сопротивление источника Биполярно-транзисторные усилителе обеспечивают очень хорошие шумовые параметры в диапазоне полного сопро- тивления источника от 200 Ом до 1 МО.\г соответствующий оптимальный ток кол- лектора лежит обычно в диапазоне от нескольких миллиампер до 1 мкА, т.е токи коллектора, используемые во вход- ном каскаде малошумящего усилителя несколько меньше, вообще говоря, чем в не оптимизированных по уровню шума усилительных каскадах. При очень малых полных сопротивле- ниях источника (например, 50 Ом) всегда будет преобладать шум напряжения тран- зистора и коэффициент шума будет не- удовлетворительным В этом случае луч- ше всего использовать трансформатор для увеличения уровня (и сопротивления источника) сигнала, рассматривая при этом сигнал на вторичной обмотке как сигнал источника Высококачественные преобразователи сигнала выпускаются фирмами James и Pr nceton Applied Re- search, Например, выпускаемая последней фирмой модель ПТ-предусилителя 116 имеет такие шумы напряжения и тока, что наименьший коэффициент шума наблю- дается при полном сопротивлении источ- ника сигнала около 1 МОм. Сигналы час- тоты 1 кГц с полным сопротивлением источника порядка 100 Ом плохо согла- суются с таким усилителем, так как шум напряжения усилителя будет намного больше теплового шума источника сигна- ла; в результате, если такой сигнал подать прямо на усилитель, коэффициент шума будет равен 11 дБ Если же использовать встроенный (необязательный) повышаю* шии трансформатор, то уровень игнала повышается вместе с полным сопроти®' лением источника, превышая шум напр»' жения усилителя, и коэффициент ШУ*® становится равным 1,0 дБ. На радиочастотах, начиная к при*16, ру, приблизительно от 100 кГц, хороШ^ трансформатор сделать довольно ЛеГК° как для «настраиваемых» (узкополоснЫ л ак и для широкополосных сигналов При аКих частотах легко построить <транс- Ахэрматорную линию передачи» с широ- кой полосой частот и очень хорошими параметрами. Некоторые пути для этого рассмотрим в гл. 13, т. 2. А вот на низких частотах (звуковых и ниже) приме- яейие трансформаторов проблематично Три замечания: (а) Напряжение растет пропорционально отношению числа вит- ков в обмотках, а полное сопротивление - пропорционально квадрату этого отноше- ния. Поэтому выходное полное сопротив- ление трансформатора, повышающего на- пряжение в два раза, превосходит входное полное сопротивление в четыре раза (за счет запасания энергии), (б) Трансформа- торы несовершенны. При низких частотах сигнала может доставить хлопоты маг- нитное насыщение, при высоких - емкость и индуктивность обмоток, и всегда на- блюдаются потери из-за магнитных свойств сердечника и сопротивления об- моток. Последнее к тому же является источником теплового шума. Тем не ме- нее при работе с источником сигнала, имеющим очень малое полное сопротив- ление выбора у вас нет, а применение трансформатора, как показывает преды- дущий пример, дает огромный выигрыш. Чтобы улучшить режим работы с малым уровнем сигнала и малым сопротивле- нием его источника, можно применять и экзотическую аппаратуру вроде охлаж- даемых трансформаторов, сверхпроводя- щих трансформаторов, а также СКИП Сверхпроводящие Квантовые Интер- Ференни »нные Приборы) С помощью КИПов можно измерять напряжение по- 10“ В! (в) И снова предупреж -Щем’ не пытайтесь улучшить режим рабо- ЗЧ «добавляя последовательный резистор ‘ источнику сигнала с малым полным ^Противлением. Вы просто окажетесь ЧеРедной жертвой распространенного Заблуждения насчет коэффициента шума Большое полное сопротивление источни- ** При больших значениях полного со- °Р°тивления источника, превышающих, 7®кем, 100 кОм, преобладает шум тока Ринзистора и лучшим устройством для Зления с малыми шумами будет ПТ Рис. 7.52 Зависимость плотности напряжения тепло- вого шума от сопротивления при температуре 25 °C. Показана также плотность тока шума в режиме короткого замыкания. Хотя у него шум напряжения обычно больше, чем у биполярного транзистора, но ток затвора и его шум исчезающе малы, поэтому ПТ являются идеальными устройствами для усилителей, работаю- щих с источником сигнала с большим полным сопротивлением и дающих ма- лый шум В связи с этим иногда полезно трактовать тепловой шум как шум тока zm = что позволяет сравнивать вклад шума источника и шум тока усили- теля (рис 7.52). 7.15. Шум ПТ Для ПТ можно использовать ту же мо- дель шума усилителя, что и для биполяр- ного транзистора, т е. последовательно со входом соединить источник шума на- пряжения и параллельно присоединить ис- точник шума тока. При этом анализиро- вать шумовые параметры можно так же, как это делалось для биполярных тран- зисторов (см., например рис. 7.51 в раз- деле, посвященном сравнению ПТ и бипо- лярных транзисторов) Шум напряжения ill с р «-переходом. Для ПТ с р- «-переходом шум напряже- ния есть, в сущности, тепловой шум со- противления канала, который приближен- но описывается формулой 4 = 4fcT,[(2/3)(l/gJ] В2Тц.
468 Глава 7 Прецизионные схемы и малошумящая аппаратура 469 где величина, обратная крутизне, играет роль сопротивления в формуле теплового шума. Так как крутизна растет вместе с током стока (как y/lc), для снижения шума напряжения имеет смысл, чтобы ПТ работали с большим током стока. Одна- ко, поскольку еш представляет собой теп- ловой шум, пропорциональный 1/^/дт, а крутизна, в свою очередь, пропорцио- нальна ^//то еш в конечном счете про- порциональна 1С 1 4. При столь слабой зависимости еш от 1С не следует сильно увеличивать ток стока, так как это ухуд- шит другие параметры усилителя. В част- ности, ПТ, работая при большом токе, нагревается, что (а) уменьшает дт, (б) уве- личивает дрейф напряжения сдвига и КОСС и (в) драматическим образом уве- личивает ток утечки затвора; последний эффект фактически может увеличить шум напряжения за счет некоторого вклада в е фликкер-шума, связанного с током утечки затвора. Существует другой путь для увеличения дт, а тем самым для уменьшения шума напряжения ПТ с р-«-переходом: вклю- чив параллельно два ПТ мы будем иметь вдвое большую дт, при этом, конечно, удваивается и 1С. Однако теперь, если мы сохраним предыдущее значение 1С, то при этом мы все же получим увеличение дт в раз. На практике мы можем просто включить в параллель несколько согла- сованных ПТ ср-«-переходом либо обра- титься к ПТ с усложненной геометри- ей, таким как упомянутые выше 2SJ72 и 2SK147. При этом, однако, приходится платить. Все емкости соединяемых параллельно ПТ складываются, в результате чего вы- сокочастотные характеристики (включая коэффициент шума) ухудшаются. На практике подключение дополнительных транзисторов необходимо прекратить, как только входная емкость схемы срав- няется с емкостью источника. Если вас волнуют характеристики на высоких час- тотах, выбирайте ПТ с большой дт и ма- лой Сзс; можно рассматривать отношение <7т/Сзс как меру качестве, на высоких час- тотах. Следует отметить, что важную роль может играть также конфигурацИя схемы; например, чтобы исключить эф. фект Миллера (умножение за счет коэф, фициента усиления» относительно С можно применить каскодную схему. Зс МОП-транзисторы обычно имеют ца. много большие значения шума напряже- ния, чем ПТ с р-п-переходом, причем преобладает шум 1//, так как спад 1 у лежит у них в диапазоне достаточно вы- соких частот: от 10 до 100 кГц. По этой причине МОП-транзисторы обычно не ис- пользуют в малошумящих усилителях на частотах, меньших 1 МГц. Шум тока ПТ с р л-переходом. На низ- ких частотах шум тока zm крайне мал; он возникает из дробового шума тока утечки затвора (рис. 7.53)- = (3.2 А. Кроме того, в некоторых ПТ присутству- ет компонента фликкер-шума. Шум тока растет с ростом температуры, как ток утечки затвора. Обратите внимание на быстрый рост утечки затвора у «-каналь- ного ПТ с р-п-переходом, при больших значениях Uc3 (см разд 3.09). На средних и высоких частотах есть еше одна компонента шума, а именно дейст- вительная часть входного полного сопро- тивления со стороны затвора. Эта состав- ляющая обусловлена действием емкости обратной связи (эффектом Миллера) при сдвиге фазы на выходе, порожденном ем- Рис. 7.53. Зависимость входного тока шума о , утечки затвора для ПТ с р-п-переходом. (~ J Semiconductor Corp.). костью нагрузки иначе говоря, часть вы- ходного . сигнала, сдвинутая по фазе на 90 . проходя через емкость обратной свя- зи Гзс создает эффективное сопротивле- ние на входе /? = (1 + соСнЛн)Д<о2ртСзсСнЛ2) Ом Например, р-канальный ПТ с р «-перехо- дом 2N5266 имеет ток шума 0 005 пА/Гц1/2 и напряжение шума еш 12 нВ/Гц1/2-то и другое при 7СИиас и на частоте 10 кГц. рок шума начинает ползти вверх при частоте около 50 кГц. Эти значения при- мерно в 100 раз лучше по /ш и в 5 раз хуже по еш, чем соответствующие значения рассмотренного ранее 2N5087. С помощью ПТ можно получить хоро- шие шумовые параметры в диапазоне полного сопротивления от 10 кОм до 100 МОм Предусилитель фирмы PAR модели 116 имеет коэффициент шума 1 дБ и лучше при полном сопротивлении ис- точника от 5 кОм до 10 МОм в диапазоне частот от 1 до 10 кГц. Этот предусили- тель на умеренных частотах имеет на- пряжение шумов 4 нВ/Гц и ток шумов 0.013 пА Гц1/2. а 7.16. Выбор малошумящих транзисторов Как упоминалось раньше, биполярные транзисторы из-за малого входного шума напряжения имеют наилучшие шумовые параметры при малых значениях сопро- тивления источника. Шум напряжения е Уменьшается путем выбора транзистора с Малым объемным сопротивлением базы и режима работы с большим током коллектора (пока h213 остается большим). Фи больших сопротивлениях источника надо, наоборот, уменьшать шум тока пу- ем снижения тока коллектора. При большом сопротивлении источни- , лУчш выбором являе' ся ПТ Его напряжения может быть уменьшен уличением тока стока до такого значе- когдс. крутизна будет наибольшей. ’Предназначенные для работы в мало- УМящих устройствах, имеют большое ЧеНие к (см. разд. 3.04), что обычно 1(Г31_______I________I_______ 1 । 1 10 102 103 104 105 Частота, Ги Рис. 7.54 Входные шумы для некоторых популярных биполярных транзисторов, а - зависимость входного напряжения шума еш от тока коллектора; б-зависи- мость входного тока шума /ш от тока коллектора; «-зависимость входного тока шума от частоты.
470 Глава 7 означает большую входную емкость. На- пример, у малошумящего 2N6483 емкость Сзи = 20 пФ, а у слаботочного ПТ 2N5902 емкость Сзи = 2 пФ. На рис. 7.54 и 7.55 показаны сравни- тельные шумовые характеристики неко- торых распространенных и широко ис- пользуемых транзисторов 7.17. Шум дифференциальных усилителей и усилителей с обратной связью Малошумящие усилители часто делают дифференциальными, чтобы получить обычные преимущества в виде малого дрейфа и хорошего подавления синфаз- ных сигналов Когда подсчитываются шу- мовые характеристики дифференциально- го усилителя, надо помнить а) следует убедиться, что для извлечения еш и z'm из паспорта изготовителя берется отдельный ток коллектора, а не их сумма- б) zm, приходящийся на каждый входной зажим, тот же, что и для одновходового усили- теля, в) еш, приходящееся на один вход при заземленном другом, будет на 3 дБ (т.е. в 5/2 раз) больше, чем в случае отдельного транзистора. В усилителях с обратной связью мы хотим найти эквивалентные источники шума еш и zni независимо от того, есть ли цепь обратной связи, чтобы их можно было использовать, как и раньше, при подсчете шумовых характеристик с задан- ным источником сигнала. Обозначим шу- мы схемы с обратной связью через еу и iy как шумы усилителя. Тогда шум, вноси- мый усилителем в сигнал при сопротив- лении источника Rw будет е2 = е2 + (Я^у)2 В2/Гц. Рассмотрим отдельно два вида обратной связи Неинвертируюшии усилитель. Для не- инвертирующего усилителя (рис 7.56) ис- точники шума на входе будут Z2 = Z2 4У Ш’ еу = еш + 4кТКц + (/ш7?ц)2, где еш- это «полный» шум напряжения ю2- КГ’ W КГц 10 Г 2N3954-8, 2N5196-9,2N5452-4, 2N504C-1 2N5545-7 2N5902-9 100 Гц 1кГц 2N59D2-S 10 кГц 2N5516-24 ZN6483-5 |'огч 103 МОП-транзисго 10 2SK147 2ST72 10 а 2N5902-9 100 мкА МОП-транзистор мА 102 102 ТО3 1Г, мкА N5515-24. 2N6483-5 10 КГц [_М394 ЮкГи' '(биполярны^ ЛрЕДус.) LM394 /к=1мА\ 2N5432-34 1 мА \ Ч (биполярный) 2SK147 2N6483-5,2N5515-24 1мА 2SJ72 ЗмА 2N5902-9 2N5911-2 I мА 2N3954-8,ZN5i9AT 2N5452-4 2N5484-G I мА 10 б 102 103 104 Частота, Гц ю5 10-и М394 /к = 1мкА 2N3954-8,2N5452-4, 2N5515-24 2N3684-7 1 мА °’6 мА I0'15 - в ю2 ю3 ю' Частота, Гц Рис. 7.55. Входные шумы некоторых популярны* а-зависимость входного напряжения шума еш пТ стока 1С; б-зависимость входного напряжения /ш от частоты; в-зависимость входного тока н» от частоты [ 2N5902-9 5 мкА 2^5902-9 50, мкА 10
Прецизионные схемы и малошумящая аппаратура 471 рис. 7.56. дифференциальной схемы, т. е. на 3 дБ больший чем для одиночного транзис- торного каскада. Дополнительный вклад в шум дают тепловой шум и шум тока входного каскада в резисторах обратной связи. Заметим, что теперь эффективные значения шума напряжения и шума тока не будут абсолютно не коррелированны- ми, следовательно, сложение их квадратов может привести к ошибке (не более чем в 1,4 раза). Для повторителя R2 = 0, поэтому экви- валентные источники шума будут такими же как у отдельно взятого дифференци- “ ального усилителя. : Инвертирующий усилитель. Для инвер- ф тирующего усилителя (рис. 7.57) источни- LT1055/6 0 001 ки входного шума будут следующие: i + 4kT/R2, </ = 4 + я?(4 + 4Н7я2) = OPA’11 = *ш + R2Jy- График для выбора ОУ. Сейчас вы уже владеете всем необходимым аппаратом лля анализа входных цепей ОУ. Их шум задается в виде еш и для биполярных Идля полевых транзисторов. Вам не надо Дячего выдумывать надо только их пра- 0,0001; 1 ___________AD549_____________ _1--------1--------1--------1 . 10 100 10J Ю4 Частота,Гц Рис. 7.58 Входные шумы некоторых популярных ОУ а зависимость входного напряжения шума еш от частоты; б-зависимость входного тока шума от частоты. вильно использовать Вообще говоря, паспортные данные иногда несколько пи- кантны Например, импульсный шум (“popcorn noise”) определяется как скач- ки сдвига в случайные моменты случай- ной длительности Этот термин в прилич- Шум лопающихся при поджаривании: кукуруз- ных зерен.-Прим, перев.
472 Глава 7 Рис. 7.59. Напряжение широкополосного шума не- которых популярных ОУ ном обществе употреблять не принято. На рис. 7.58 изображены шумовые харак- теристики нескольких популярных ОУ. Широкополосный шум. Операционные схемы обычно имеют связь по постоян- ному току, область их рабочих частот простирается до некоторой верхней гра- ничной частоты /ср. Поэтому интересно знать полное напряжение шума во всей этой полосе, а не просто плотность мощ- ности шума. На рис. 7.59 представлены графики, показывающие среднеквадра- тичное напряжение шума в полосе, кото- рая простирается от постоянного тока до указанной частоты; они найдены путем интегрирования кривых мощности шума для различных операционных усилителей. Выбор малошумящего ОУ. Выбрать ОУ, который минимизировал бы шумы в некотором диапазоне частот при дан- ном сопротивлении источника сигнала Лс, как он видится со стороны усилителя (т. е включающем влияние компонентов об- ратной связи, как это было описано вы- ше), достаточно просто. Вообще говоря, желательны ОУ с малым для больших сопротивлений сигнала и с малым еш для малых сопротивлений сигнала. Приняв что источник сигнала находится при ком- натной температуре, определим суммар- ную плотность отнесенного ко входу на- пряжения шума как <?у2 = 4kTRc 4- е2 + i2 А2, где первое слагаемое - тепловой шум два последних возникают за счет напр^ жения и тока шума ОУ. Очевидно, ЧТо тепловой шум является нижним пределов отнесенного ко входу шума. На рис. 1 даны графики величин еу (при 10 кГц) Как функции Rc для наиболее бесшумных Оу которые мы могли найти. Для сравнения мы включили также бескорпусный ГД ОУ LF411 и микромощный биполярный ОР-90. Последний, хотя и является пре- восходным микромощным операционным усилителем, имеет большое напряжение шума (входные транзисторы работают при малом токе коллектора, а отсюда высокое значение гэ и, как следствие, большой тепловой шум), а также боль- шой ток шума (биполярный вход имеет существенный ток базы). Это еще раз подтверждает, насколько действительно хороши призеры. Малошумящие предусилители. В допол- нение к малошумящим ОУ имеется не- сколько превосходных ИМС малошумя- щих предусилителей. В отличие от ОУ они обычно имеют фиксированный коэф- фициент усиления, хотя в некоторых мо- делях можно подключать внешний резис- тор установки усиления. Иногда их на- зывают «видеоусилителями», поскольку они зачастую имеют полосу пропускания в десятки мегагерц, хотя их можно ис- Сопротивление источника 0* П-П01' Рис. 7.60. Полный шум (резистор источника усилитель при 10 Гц) высококачественного ОУ-
Прецизионные схемы и малошумящая аппаратура 473 цоЛЬзОВать также в низкочастотных схе- n<ax. В качестве примеров можно указать SL-561B фирмы Plessey и несколько моделей фирмы Analog Systems. Эти уси- дели типично имеют еш менее 1 нВ/Гц 2, qT0 достигается (ценой повышения вход- ного тока шума /ш) за счет работы входно- f0 транзистора в режиме относительно большого коллекторного тока. ИЗМЕРЕНИЕ ШУМА И ИСТОЧНИКИ ШУМА Определение эквивалентного напряжения шума, тока шума, а отсюда и коэффи- циента шума и отношения сигнал/шум для любого заданного источника-до- вольно примитивный процесс Из него получаются все данные о шуме усилителя, которые могут вас интересовать. В основ- ном этот процесс состоит в приложении ко входу известного шумового сигнала, а затем - в измерении амплитуды на вы- ходе в определенной полосе частот. В не- которых случаях (например, при согла- сованном входном полном сопротивле- нии источника и устройства, как это бы- вает в усилителях радиочастоты) источ- ник сигнала можно заменить генератором с точно известной и управляемой ампли- тудой колебаний. Ниж мы обсудим методы и аппара- туру , которые понадобятся для измерения выходного напряжения и ограничения по- тосы измерения, а сейчас предположим, что вы можете измерять эффективное зна- чение выходного сигнала при той полосе измерений, которую вы выберете. •18, Измерение без источника шума В каскаде усилителя на биполярных или 'Левых транзисторах, предназначенного работы на низких и средних частотах, Желательно большое входное сопротивле- ние- Мы хотим знать е и /ш чтобы уметь Насказать отношение сигнал шум для Сочник; сигнала с произвольным уров- и внутренним сопротивлением, как ^Вталось выше. Процедура эта проста. •Во-первых, путем непосредственного ^Рения определяется коэффициент уси- ления по напряжению Kv для сигналов интересующего нас частотного диапазона. Амплитуда их должна быть достаточна, чтобы сделать незаметным собственный шум усилителя, но не настолько велика, чтобы привести усилитель в насыщение. Во-вторых, закорачивается вход усили- теля и измеряется среднеквадратичное на- пряжение шума на выходе ек 3. Получаем напряжение входного шума на корень из герца по выражению В/Гц1'2, где В -ширина полосы измерения (см. разд. 7.21) В-третьих, присоединив к входным клеммам резистор R, измеряем новое зна- чение среднеквадратичного напряжения шума на выходе ег. Значение сопротивле- ния резистора должно быть достаточно большим, чтобы была заметна величина появившегося шума тока, но не настоль- ко, чтобы доминировало входное сопро- тивление усилителя. (Если это практичес- ки невозможно, то оставьте вход разомк- нутым и используйте в качестве R входное сопротивление усилителя.) Измеренное напряжение на выходе удовлетворяет со- отношению <>,2 = [4 + «™ + (1шй)2]в^, откуда находится гш "ш = (1/А)[(г2/ВК2) - (el + 4&ТЯ)]1'2. Если «немножко повезет», то иметь зна- чение будет лишь первое слагаемое под корнем (т.е. шум тока преобладает над шумом напряжения усилителя и над тепло- вым шумом резистора, вместе взятыми). Теперь найдем отношение сигнал/шум для сигнала UB с полным сопротивлением источника Rg с/ш = ю 1е(и./ишу = ul = 101g г-,—г-г-5------г~. >£ + 12/г2+4И7г.)В где числитель-квадрат напряжения сиг- нала (предполагается, что он лежит внут- ри полосы В), а слагаемые знаменателя- это квадраты напряжения шума усили- теля. тока шума усилителя, проходящего
474 Глава 7 через сопротивление 7?и, и теплового шу- ма Ra. Заметьте, что расширение полосы пропускания усилителя сверх пределов, необходимых для прохождения сигнала Си, только уменьшает окончательное зна- чение отношения сигнал/шум Но если сигнал С7И широкополосный (например, он сам является шумом), то окончатель- ное значение отношения сигнал/шум не зависит от ширины полосы усилителя. Во многих случаях в приведенном выраже- нии преобладает одно из слагаемых. 7.19. Измерение с источником шума Описанная техника измерения шумовых характеристик усилителя обладает тем преимуществом, что для нее не требуется точного и регулируемого источника шу- ма, но зато нужен точный вольтметр и фильтр, а также должна быть известна частотная характеристика коэффициента усиления усилителя при данном сопро- тивлении подключенного источника В альтернативном методе измерения шу- ма предполагается подача на вход широ- кополосного шумового сигнала известной амплитуды и наблюдение за возрастани- ем напряжения выходного шума. Хотя эта методика требует точно калиброванного источника шума, зато не нужно никаких предположений о свойствах усилителя, так как характеристики шума измеряются прямо в интересующей нас точке-на входе. Опять-таки необходимые измерения проводятся относительно просто. Генера- тор шума вы подсоединяете ко входу усилителя, будучи уверенными в том, что его полное сопротивление Rr-ro самое, которое будет у источника, намеченного для работы с этим усилителем. Сначала вы определяете эффективное выходное на- пряжение шума усилителя при ослаблении источника шума до уровня нулевого вы- ходного сигнала, затем увеличиваете среднеквадратичную амплитуду напряже- ния источника шума UT до тех пор, пока выходной сигнал усилителя не увеличится на 3 дБ; это соответствует умножению среднеквадратичного напряжения на 1,414. Значение напряжения входного шума в полосе измерения при данном значении сопротивления источника равно значении) добавленного сигнала. Таким образец усилитель имеет коэффициент шума КШ = 10 \g(U*/4kTRr} Отсюда можно получить значение от- ношения сигнал/шум для сигнала любой амплитуды с тем же сопротивлением источника, пользуясь формулой, приве- денной в разд. 7.12. С/Ш = Wlg(Ui/4kTlQ - КШ(7Ц ДБ. Существуют хорошие доступные калиб- рованные источники шума, большинство из которых предоставляют возможность ослабления до прецизионного уровня в микровольтовом диапазоне. Отметим еще раз: в приведенных формулах пред- полагается, что RBX » RK С другой сто- роны, если измерение коэффициента шума производится с согласованным источни- ком сигнала, т. е. если Аи = ZBX, то в пре- дыдущих выражениях необходимо опус- тить коэффициент 4 Заметим что этим способом еш и прямо не определяются, находится только определенная комбинация для источника с сопротивлением, равным сопротивлению возбуждающего генератора, который ис- пользуется при измерении. Конечно, по- сле нескольких таких измерений с раз- ными сопротивлениями источника вы мо- жете в результате вывести значения еш и 1Ш- Превосходной вариацией этого метода является использование теплового шума резистора в качестве «источника шума»- Это излюбленный прием разработчиков усилителей радиочастоты с очень мхтьв* уровнем шума (в которых обычно полно6 сопротивление источника сигнала равно 50 Ом и оно согласовано с полным вход- ным сопротивлением усилителя). Делает- ся это обычно следующим образом. В с0^ суд Дьюара с жидким азотом помешает^ 50-омная «заглушка» (так на профессн0* нальном жаргоне называется хоро^ спроектированный резистор с пренебр6' жимо малой индуктивностью или * костью), так что она имеет температУР кипящего азота 77 К; вторая заглуш
Прецизионные схемы и малошумящая аппаратура 475 до Ом находится при комнатной темпера- туре. Вход усилителя попеременно под- ключается к этим двум резисторам (обыч- во с помощью высококачественного коак- сиального ре те), в то время как мощность шума на выходе (на некоторой централь- ной частоте при некоторой полосе изме- рения) измеряется с помощью измерителя мощности радиочастоты. Назовем ре- зультаты этих двух измерений мощности выходных шумов для холодного и тепло- го резисторов соответственно /?хол и 7?тспл. Легко показать, что температура шума усилителя на частоте измерения равна Т. = (Ттепл-КГхол)/(У-1), где Y = Атепл/Ахол есть отношение мощ- ностей шума Отсюда, воспользовавшись формулой из разд. 7.12, имеем для коэф- фициента шума КШ(дБ)= 101ё(Тш/290 + 1). Упражнение 7.6. Выведите предыдущее выражение дм температуры шума. Подсказка: для начала при- мите, что R = а(Тш + Т ) и R = а(Тш + Т ), где а-константа, которая скоро сократится; обратите мание также на то, что вклад шума усилителя, обозначенный как температура шума добавляется х температуре шума резистора источника. Вычтите ее отсюда Уиражнени 7.7. Температура шума (или коэффи- иент шума) усилителя зависит от величины полного сопротивления источника сигнала R*. Покажите, что усилите;! характеризующийся величинами еш и (хах на рис 7 46), имеет минимум температуры шума ЩЖ полном сопротивлении источника R* — еш/хш. По- ймите, далее, что при этом значении Яи температура ®ума определяется формулой Тш = emzni/2fc. Усилители с согласованным входным со- ЧИПИвлением Последний способ идеален Ия измерения шума усилителей, спроек- тированных в расчете на согласованное Противление источниа сигнала Наибо- частыми примерами таких усилителей 1Вляют: радиочастотные усилители или Фиемники для работы с полным сопро- Гавлением источника сигнала около 50 Ом ® сами имеющие входное сопротивление В гл 13 мы обсудим причины °ТстУПления от нашего обычного крите- Который гласит, что источник сигна- 13 Должен иметь малое внутреннее пол- ЙОе сопротивление по сравнению с пол- сопротивлением нагрузки, на кото- 510 он работает. В этом случае и /ш по отдельности не важны, имеет значение только общий (с согласованным источни- ком) коэффициент шума или некоторое специфицированное значение отношения сигнал/шум с согласованным источником сигнала оговоренной амплитуды Иногда шумовые параметры выража- ются явно в виде амплитуды узкополосно- го сигнала, необходимого для получения определенного отношения сигнал/шум на выходе. Обычный радиоприемник может иметь специфицированное отношение сиг- нал шум 10 дБ при среднеквадратичном напряжении входного сигнала 0,25 мкВ и ширине полосы 2 кГц. В этом случае процедура состоит в измерении средне- квадратичного напряжения выходного си- гнала приемника в условиях возбуждения входа согласованным (по сопротивлению) источником синусоидального сигнала, вначале выведенным на ноль, а потом дающим возрастающий (синусоидаль- ный) сигнал до тех пор, пока среднеквад- ратичный выходной сигнал не достигнет уровня 10 дБ; в обоих случаях ширина полосы приемника 2 кГц. Важно, чтобы используемый измерительный прибор да- вал истинное среднеквадратичное напря- жение, когда шум и сигнал смешаны (под- робнее об этом см. далее). Заметим, что при измерении радиочастотных шумов часто требуется работа с выходными сиг- налами звукового диапазона. 7.20. Генераторы шумов и сигналов Широкополосный шум может генериро- ваться с помощью указанных ранее эф- фектов. а именно за счет теплового и дро- бового шума. Дробовой шум вакуумного диода является классическим источником широкополосного шума, который особен- но удобен в работе, поскольку напряже- ние шума можно точно предсказать. С не- давних пор в качестве источника шума все чаще применяется стабилитрон Шумы обоих этих источников имеют спектр час- тот от нуля до очень больших значении, поэтому они полезны и при измерениях в звуковом диапазоне, и в радиодиапа- зоне. Интересный источник шума можно по-
476 Глава 7 Прецизионные схемы и малошумящая аппаратура 477 Рис. 7.61. Источник розового шума ( — 3 лБ'октава, +0,25 дБ от 10 Гц до 40 кГц). строить с помощью цифровой аппарату- ры, в частности длинных сдвиговых ре- гистров, в которых на вход подается ре- зультат сложения по модулю 2 несколь- ких фиксированных разрядов (разд. 9.33). В результате образуется выходной сигнал в виде псевдослучайной последователь- ности нулей и единиц, которая после циф- ро-аналогового преобразования и про- хождения через фильтр нижних частот порождает аналоговый сигнал в виде бе лого шума со спектром, простираюшимся до точки среза фильтра; эта точка должна быть намного ниже частоты, с которой сдвигается регистр. Такие генераторы мо- гут работать на очень высоких частотах, генерируя шум до 100 и более килогерц Этот «шум» обладает интересным свойст- вом: по прошествии некоторого времени, определяемого длиной регистра, он в точ- ности повторяется (регистр максимать- ной длины п бит перед повторением про- ходит через 2" -1 состоянии). Этот период без особого труда можно продлить на месяцы или годы, хотя секунд, как пра- вило. достаточно. Например, 50-раз- рядный регистр, сдвигаемый с частотой 10 МГц. генерирует белый шум со спект- ром до 100 кГц и временем повторения 3,6 года Аппаратура для генерации псев- дослучайного шума на базе этого метода описана в разд. 9.36. Некоторые источники шума могут ге- нерировать и белый и розовый шум. У розового шума равные мощности на каждой октаве, а не на каждой частоте. Плотность его мощности (мощность на герц) имеет спад 3 дБ/октава, и, посколь- ку 7?С-фильтр имеет спад 6 дБ/октава, для генерации розового шума из белого необходим довольно сложный фильтр Схема, представленная на рис. 7.61, ра- ботает от ИМС 23-разрядного цифрового генератора белого шума и дает на выходе розовый шум с точностью + 0,25 дБ от 10 Гц до 40 кГц Выпускаются самые разнообразные ис- точники сигнала с прецизионно-регуди- руемой амплитудой выходного сигнала (вплоть до микровольтового диапазона и ниже) и частотой от долей герца до гигагерц. Некоторые из них могут про- граммироваться по цифровой «шине». В качестве примера-синтезирующий ге- нератор сигнала модель 8660 Hewlett- Packard, с частотой выходного сигнала от 0,01 до ПО МГц и амплитудой выходного сигнала, калиброванной от 10 нВ до 1 В (среднеквадратичное напряжение), с удоб- ным цифровым дисплеем, шиной внешних соединении (интерфейсом) и шикарными приставками для расширения полосы час- тот до 2.6 ГГц для модуляции и качания частоты. Это несколько больше, *м обычно нужно для работы. 7.21. Ограничение полосы частот и измерение среднеквадратичного (эффективного) напряжения Ограничение полосы частот. Во всех мерениях. о которых говорилось, полагается, что шум на выходе рассм ривается в ограниченной полосе часГ .. В некоторых случаях усилитель м° иметь приспособления дтя такого огра Полоса шума= / Частота В=1,57С3дБ рлс. 7.62. Эквивалентная «прямоугольному фильтру» п0Лоса шума ЯС-фильтра нижних частот 1-RC- фкльтр (20 дБ/декада); 2-эквивалентная идеальная ирактеристика нения, что облегчает работу. Если это не так, то приходится присоединять к вы- ходу усилителя какой-нибудь фильтр, и vie потом измерять напряжение шума на выходе фильтра. Проще всего использовать обычный RC-фильтр с точкой, отвечающей значе- нию —3 дБ, установленной примерно на край нужной полосы. Для точного из- мерения шума необходимо знать эквива- тентную «полосу шума», т. е. ширину по- тосы совершенного «прямоугольного» фильтра нижних частот, через который бы проходило такое же напряжение шума Ж 7.62). Значение ширины этой полосы подставляется вместо В в приведенных выше выражениях После несложных вы- Шдок находим 2)/_3дБ = 1,57/_3дБ. •Ья пары каскадно соединенных RC- Шьтров (развязанных таким образом, обы они не нагружали друг друга) ма- ^еским выражением будет В= 1,22/_3дБ. фильтра Баттерворта, описанного Разд. 5.05. ширина полосы шумов та- ова 1 2 3 4 полюс полюса полюса полюса D , г~ЗдБ * W-зыз = <3* = 1’025/_3 Б is J вы хотите провести измерения в огра- полосе частот около некоторой ЖРей частоты, то можете использовать пару 7?С-фильтров (рис. 7.63); случае полоса частот будет иметь данный вид. Если у вас уже есть опыт Рис. 7.63. Эквивалентная «прямоугольному фильтру» полоса шума полосового ЯС-фильтра. контурного интегрирования, можете по- пробовать сделать следующее упраж- нение: Упражнение 7.8. (Факультативное.) Выведите пре- дыдущий результат прямо из свойств характеристик ЯС-фильтров. Предположите, что мощность входного сигнала равна единице на герц и проинтегрируйте выходную мощность от нуля до бесконечности Кон- турный интеграл и будет искомым ответом. Другой способ ИЗГОТОВИТЬ полосовой фильтр для измерения шума —это исполь- зовать jRLC-схему. Это лучше, чем пара каскадно соединенных ЯС-фильтров верх- них и нижних частот, если вы хотите провести измерения в полосе, узкой в сравнении с центральной частотой (т.е. с высоким Q). На рис. 7.64 показаны как параллельная, так и последовательная jRLC-схема, а также точные формулы, определяющие их полосы пропускания, для обеих схем резонансная частота/ = 1/ 2л у/LC Вы можете сформировать схему fi = ^ = _L 20 4/?С (О = 2rfoRC) Q = 2т/о£/Я) Рис. 7 64 Эквивалентная «прямоугольному фильтру» полоса шума полосового RLC-фильтра.
478 Глава 7 полосового фильтра в виде параллельной коллектору (или стоку) ALC-нагрузки; в этом случае используются приведенные выражения. Другой вариант: можно ввес- ти фильтр, как показано на рис. 7.65; с точки зрения пропускания шумов в опре- деленной полосе эта схема в точности эквивалентна параллельной /?БС-цепи при Измерение напряжения шума. Наиболее точный способ измерения выходного шу- ма - использование выверенного вольт- метра среднеквадратичного (эффективно- го) напряжения Он работает путем из- мерения нагрева, производимого соответ- ственно усиленным сигналом, или с ис- пользованием аналоговой схемы возведе- ния в квадрат с последующим усредне- нием. Если вы пользуетесь измерителем истинного среднеквадратичного значения, то сначала проверьте, рассчитан ли он на те частоты, на которых проводятся из- мерения, потому что некоторые такие приборы имеют частоту всего несколько килогерц. Измерители истинного средне- квадратичного напряжения специфици- руются также по пик-фактору, т. е. пре- дельному отношению пикового напряже- ния к среднеквадратичному, при котором нет больших потерь точности. При из- мерении нормальных (гауссовских) шу- мов достаточно иметь пик-фактор от трех до пяти. При отсутствии среднеквадратичного вольтметра можно воспользоваться прос- тым осредняющим вольтметром перемен- ного тока Но в этом случае показания прибора приходится корректировать. Дело в том, что все осредняющие вольт- метры (VOM, DMM и т.п.) изначально настроены так, что показывают не среднее напряжение, а среднеквадратичное напря жение в предположении синусоидальное^ сигнала. Например, если измерить напря жение электросети в США, то вольтмет покажет приблизительно 117 В. Это пр^ красно, но, так как вы измеряете гаус совский шум, то придется применить д0. полнительную коррекцию Правило здесь такое: чтобы получить среднеквадратич- ное напряжение гауссовского шума, сле- дует показания осредняющего вольтмет- ра переменного тока умножить на 1 13 или добавить 1 дБ. Предупреждение: это правило хорошо работает, если измеряет- ся чистый шум (т.е. выходной сигнал усилителя с резистором или генератором шума на входе), но оно не дает точного значения, если к шуму добавлен синусои- дальный сигнал. Третий метод (не очень точный) состо- ит в наблюдении шумовой картины на экране осциллографа: среднеквадратичное напряжение равно от 1/6 до 1/8 значения разности пиков (разброс зависит от вашей субъективной оценки этой величины). В этом методе хотя и неточном, не воз- никает проблем с получением достаточ- ной полосы измерения 7.22. Попурри на тему шумов Вот подборка интересных и, возможно, полезных фактов 1. Время осреднения, необходимое для того, чтобы в показывающем приборе флуктуации выпрямленного шумового сигнала уменьшились до требуемого уровня при заданной полосе шумов- равно т » 1600/Во2 с, где т- постоянная времени показывая»’®’ го прибора, необходимая для того, что01| создать на выходе линейного детектор8- возбуждаемого на входе шумом с поД* сой В, флуктуации со стандартным клонением с процентов « 2. Для белого шума с ограничен^ полосой ожидаемое количество макей^ мов в секунду равно
Прецизионные схемы и малошумящая аппаратура 479 Pjc. 7.66 Относительная наблюдаемость амплитуд в гауссовском шуме. где f\ и f2 - нижняя и верхняя границы полосы Для // = О N = 0,77/2; для узко- полосного шума (/, xf2) N « (/j +/2)/2. 3. Отношение среднеквадратичного значения к среднему составляет: для гауссовского шума эфф/ср = = Ул/2 = 1Д5 = 1,96 дБ. для синусоидального сигнала эфф/ср = = л/23'2 = 1,11 =0,91 дБ, для прямоугольного сигнала эфф/ср = = 1 = 0 дБ 4. Частоты появления амплитуд в гаус- совском шуме. Рис. 7.66 показывает долю времени когда данный уровень ампли- туды превышается гауссовским шумом мгновенным значением), имеющим эф- фективное значение 1 В ПОМЕХИ ЭКРАНИРОВАНИЕ и заземление Шум> в виде мешающего сигнала, т.е. сети, сигналов, приходящих по с источником питания и путям 3а*Мления на практике может иметь бо- 166 важное значение, чем рассматривав- шая ранее внутренний шум. Эти меша- Шйе сигналы могут быть уменьшены до *Ш*етных значений (в отличие от тепло- Шума) путем правильного размеше- И конструирования схем. В упорных можно включать комбинацию из Шьтрации на линиях входа и выхода, тщательно продуманного расположения заземления, а также дорогостоящую элек- тростатическую и магнитную экраниров- ку. В ближайших разделах мы попытаем- ся осветить эту темную область искусства схемотехники 7.23. Помехи Сигнал помехи может попасть в электрон- ный прибор по входам линий питания или по линиям ввода и вывода сигнала. По- мехи могут попасть в схему и через ем- костную связь с проводами (электроста- тическая связь - наиболее серьезный эф- фект для точек схемы с большим полным сопротивлением) или через магнитную связь с замкнутыми контурами внутри схемы (независимо от уровня полного сопротивления), или электромагнитную связь с проводами, работающими как не- большие антенны для электромагнитных волн. Любой из этих механизмов может передавать сигнал из одной части схемы в другую. И наконец, токи сигнала в од- ной части могут влиять на другую часть схемы при падении напряжения на путях заземления и линиях питания Исключение помех. Для решения этих часто встречающихся вопросов борьбы с помехами придумано много эффектив- ных приемов. Однако следует помнить, что все эти приемы направлены на умень- шение сигнала (или сигналов) помехи, редко когда помеха уничтожается совсем. Поэтому имеет смысл повысить уровень сигнала просто для увеличения отноше- ния сигнал/шум. Кроме того, надо ясно представлять себе, что внешние условия могут быть в смысле помех очень раз- ными-прибор, который безукоризненно работает на стенде, может вести себя безобразно на том месте, для которого он предназначен. Перечислим некоторые внешние условия, которых следует избе- гать: а) соседство радио- и телестанций (РЧ-помехи), б) соседство линий метро (импульсные помехи и «мусор» в линии питания), в) близость высоковольтных линий (радиопомехи, шипение), г) бли- зость лифтов и электромоторов (всплески в линии питания), д) здания с регулято-
480 Глава 7 рами освещения и отопления (всплески в линии питания), е) близость оборудова- ния с большими трансформаторами (ма! - нитные наводки) и ж) особенно близость электросварочных аппаратов (наводки всех видов неимоверной силы). При сем прилагается ряд советов, технических при- емов и заклинаний из области черной магии. Сигналы, связанные через входы, вы- ходы и линии питания. В борьбе с шумами, идущими по линии питания, лучше всего комбинировать линейные РЧ-фильтры и подавители переходных процессов в ли- нии переменного тока. Этим способом можно добиться ослабления помех на 60 дБ при частотах до нескольких сот килогерц, а также эффективного подавле- ния повреждающих всплесков. С входами и выходами дело сложнее из-за уровней полного сопротивления и потому, что надо обеспечить прохождение полезных сигналов, которые могут иметь тот же частотный диапазон, что и помехи. В устройствах типа усилителей звуковых частот можно использовать фильтры нижних частот на входе и на выходе (мно- гие помехи от близлежащих радиостанций попадают в схему через провода громко- говорителя, выполняющие роль антенн) В других ситуациях необходимы, как пра- вило, экранированные провода. Провода с сигналами низкого уровня, в частности при высоком уровне полного сопротивле- ния, всегда нужно экранировать. То же относится к внешнему корпусу прибора. Емкостная связь. Внутри прибора сиг- налы могут прекрасно проходить всюду путем электростатической связи: в какой- HHOvnb точке в приборе происходит ска- чок сигнала 10 В и на расположенном рядом входе с большим полным сопро- тивлением произойдет тот же симпатич- ный скачок. Что тут можно сделать? Луч- ше всего уменьшить емкость между этими точками, нарушителями порядка (разнеся их), добавить экран (цельнометалличес- кий футляр или даже металлическая экра- нирующая оплетка исключает этот вид связи), придвинуть провода вплотную к плате заземления (которая «глотает» электростатические пограничные поля. в огромной степени ослабляя связь) й если возможно, снизить полное сопротив ление насколько удастся. Входы операЦ11' онного усилителя в отличие от выходов легко подхватывают помеху. Более под роб но об этом см. далее. Магнитная связь. К сожалению, низко- частотные магнитные поля не ослабляют- ся существенно металлической экрапиров- кой. Проигрыватель, магнитофон, микро- фон или другая чувствительная схема, расположенная вблизи большого силово- го трансформатора, будет иметь очень большие наводки сетевой частоты. Луч- ший способ борьбы с этим явлением- следить, чтобы каждый замкнутый контур внутри схемы имел минимальную пло- щадь, и стараться, чтобы схема не имела проводов в виде петли. Эффективны в борьбе с магнитной наводкой витые пары, так как площадь каждого витка мала, а сигналы, наведенные в следующих друг за другом витках, компенсируются. При работе с сигналами очень низкого уровня, или устройствами, очень чувстви- тельными к магнитным наводкам (голов- ки магнитофонов, катушки индуктивнос- ти, проволочные сопротивления), может оказаться желательным магнитное экра- нирование. «Экраны из мю-металла» вы- пускаются в виде готовых форм или гиб- ких листов. Если внешнее магнитное поле велико, то лучше всего применять экран из материала с высокой магнитной про- ницаемостью. окруженный экраном с низ- кой магнитной проницаемостью (напри- мер, из обычного железа) яля того, что- бы предотвратить магнитное насыщен^ внутреннего экрана. Конечно, наиболее простым решением часто является ула‘ тение мешающею источника магнитного поля. Иногда бывает необходимо моирлть большие силовые трансформаторы, таК сказать, с переднего края. Тороидальны трансформаторы имеют меньшую велй' чину излучаемого магнитного поля п сравнению с обычными прямоугольны*®' Радиочастотные помехи. Наводки Р8" диочастоты могут быть очень коварными- поскольку невинная на взгляд часть схеь может работать как эффективный Ре3* нансный контур с о1ромным резонансны*"
Прецизионные схемы и малошумящая аппаратура 481 Кроме общего экранирования, же- лательно все провода делать как можно к0роче и избегать образования петель в которых может возникнуть резонанс, рели речь идет об очень высоких часто- fax, т0 ТУТ МОГУТ помочь ферритовые кольца-бусины. Классической ситуацией паразитного приема высоких частот явля- ется пара шунтирующих конденсаторов один танталовый, другой дисковый ке- рамический) , что часто рекомендуется для улучшения шунтирования питания. Такая пара образует отличный паразитный на- строенный контур где-то в области от ВЧ до СВЧ (от десятков до сотен мегагерц), да еще и самовозбуждающийся (при на- личии усиления)! 7.24. Сигнальное заземление Провода заземления и заземленные экра- ны могут доставить много неприятностей, и по этому поводу существует много не- доразумений В двух словах сущность проблемы такова: ток (о котором мы забыли'» протекая по линии заземления, может возбудить сигнал, который воспри- нимает другая часть схемы, сидящая на том же проводе заземления. Часто де- лают «Мекку» заземления - это точка, в которой сходятся все линии заземления схемы, но это-решение в лоб; при ма- ло-мальском понимании сути проблемы вы сможете в большинстве ситуаций най- ти более разумное решение. Обычные ошибки заземления. Общая ситуация представлена на рис 7.67. В одном приборе находятся усилитель низ- кого уровня и мощный усилитель (драй- вер) с большим потребляемым током Первая схема сделана правильно оба уси- лителя присоединены непосредственно к измерительным выводам стабилизатора напряжения питания, поэтому падение напряжения IR на проводах, идущих к мощному каскаду не оказывает влияния на напряжение питания усилителя низкого уровня. К тому же ток нагрузки, проходя на землю, не появляется на входе низкого уровня; вообще, никакой ток не идет по проводу заземления входа усилителя низ- кого уровня к схемной «Мекке» (в ка- честве которой может быть выбрано со- 7-67 Схема заземления для сигналов низкого уровня, а-правильно; б-неправильно. ’6-626
482 Глава 7 единение с корпусом возле входного коак- сиального разъема BNC). Во второй схеме имеются две грубые ошибки Флуктуации напряжения пита- ния, порожденные токами нагрузки кас- када высокого уровня, отражаются на напряжении питания каскада низкого уровня. Если входной каскад имеет не- достаточно высокий коэффициент ослаб- ления флуктуаций питания, то это может привести к возникновению автоколеба- ний. Дальше и того хуже: ток нагрузки, возвращаясь к источнику питания, вызы- вает флуктуации потенциала на «земле» корпуса по отношению к заземлению источника питания. Входной каскад ока- зывается привязанным к этой «перемен- ной земле», а это, очевидно, плохо Мо- раль состоит в том, что надо следить, где протекают большие токи сигнала, и смот- реть, чтобы вызываемые ими падения на- пряжения не влияли на вход. В некоторых случаях разумно отделить источник пита- ния от каскада низкого уровня небольшой АС-цепью (рис. 7 68) В особо трудных случаях с развязкой источника питания можно попробовать в цепь питания кас- када низкого уровня поставить стабилит- рон или трехвыводной стабилизатор для допо лнительной развязки. 7.25. Межприборное заземление Идея главной точки заземления внутри одного прибора хороша, но что делать, если сигнал идет из одного прибора в другой и у каждого из них свое представ- ление о «земле»? Рекомендуем несколько предложений. Сигналы высокого уровня. Если сигналы имеют напряжение несколько вольт или это логические сигналы высокого уровня то просто соедините то, что нужно, и за будьте об этом (рис. 7.69). Источник на- пряжения (обозначен между двумя зазем- лениями) представляет собой разность потенциалов между двумя выводами ли- ний питания в одной и той же комнате или (что хуже) в разных комнатах здания. Эта разность потенциалов состоит час- тично из напряжения, наведенного от сети, гармоник частоты сети, радиочас- тотных сигналов (силовые линии пита- ния-хорошая антенна), разных всплесков и прочего «мусора». Если ваши сигналы достаточно велики, то со всем этим вы можете жить. Малые сигналы и длинные линии. Для малых сигналов такая ситуация нетерпи- ма, и вам придется сделать некоторые усилия, чтобы ее улучшить Несколько идей для этой цели содержит рис. 7 70. На первой схеме коаксиальный экранирован- ный кабель присоединен к корпусу и схемному заземлению источника сигнала, но изолирован от корпуса приемника (используйте изолированный разъем ВЫ Bendix 4890-1 или Amphenol 31-010). Бла- годаря дифференциальному усилителю для буферизации входного сигнала подав- ляется синфазный сигнал в цепи заземле- ния. выделяющийся на экране. Также по- лезно подключить резистор с малым со- противлением и шунтируюшии конденса- тор на землю для ограничения сдвиг «напряжения заземления» и предупрежу ния повреждений входного каскада. ЕШС одна схема приемника на рис. 7.70 Л монстрирует использование «псевдодиф ференциального» входного вклЮЧеВ0^ для усилительного каскада с одним вЫ*0 дом (это может быть, например, 013 дартный неинвертирующий ОУ. каК п°
Прецизионные схемы и малошумящая аппаратура 483 казано на схеме). Сопротивление 10 Ом вклк>ченного между обшей точкой усили- ^ля и схемной землей резистора доста- °чнэ велико (во много раз больше пол- •ого сопротивления заземления источ- так что потенциал в этой точке опорная земля источника сигнала. **зумеется любой шум, присутствующий в этом узле схемы, появится также на однако это становится неважным, каскад имеет достаточно высокий °эффициент усиления Kv, поскольку от- “О^ение полезного сигнала к шумам за- Т*Ления увеличивается в Kv раз. Таким /Разом хотя данная схема не является алипн дифференциальной (обладаю- щей бесконечным КОСС), тем не менее работает она достаточно хорошо (с эф- фективным КОСС, равным К и). Такой прием псевдодифференциального включе- ния с отслеживанием потенциала земли можно использовать также для сигналов низкого уровня внутри самого прибора, когда возникают проблемы с шумами заземления Во второй схеме используется экрани- рованная витая пара, экран которой при- соединен к корпусу на обоих концах. Это не опасно, так как по экрану сигнал не идет. Дифференциальный усилитель используется, как и раньше, на приемном конце. Если передается логический сиг-
484 Глава 7 Прецизионные схемы и малошумящая аппаратура 485 Рис. 7.71. Схема защиты входа приемника сигналов с очень длинной линии нал, то имеет смысл передавать диффе- ренциальный сигнал (сигнал и его инвер- сию), как показано на рисунке. Во вход- ных каскадах приемной стороны можно применять обычные дифференциальные усилители или, если очень сильны помехи от земли, специальные «изолированные» усилители (выпускаются фирмами Analog Devices и Burr-Brown). Последние могут работать при киловольтных синфазных сигналах Также работают оптоэлектрон- ные изолирующие модули, в некоторых случаях-это удобное решение для пере- дачи цифровых сигналов. На радиочастотах подходящий способ подавления синфазного сигнала на прием- ном конце дает трансформаторная связь, она также облегчает получить дифферен- Множество витых проводов один общий Рис 7.72. Подавление синфазной помехи при пользовании длин- ным многожильным кабелем циальный биполярный сигнал на пере- дающем конце. Трансформаторы также популярны в звуковой аппаратуре, хотя они громоздки и ведут к некоторому и к жению сигнала. Для очень длинных кабельных линий (измеряемых милями) полезно принять меры против больших токов в экранах на радиочастотах. Способ достижения этого показан на рис. 7.71. Как было показано выше, дифференциальный усилитель ра- ботает с витой парой и на него не влияет напряжение экрана. Путем связи экрана через небольшую катушку индуктивности с корпусом удается сохранить малое на- пряжение постоянного тока, а большие радиочастотные токи исключить. На этой схеме показана также защита от выхода синфазного напряжения за пределы ± 10 В. Хорошая схема зашиты многопровод- ного кабеля, в котором требуется исклю- чить синфазные наводки, показана на рис. 7.72. Так как у всех сигналов эта наводка одна и та же, то единственный провод, подключенный к земле на пере- дающем конце, служит для компенсации синфазных сигналов во всех п проводах сигнала. Просто этот сигнал считывается по отношению к земле на приемном конце и используется как опорный входной сиг- нал для всех п дифференциальных усили- телей, работающих с остальными сигна- лами Приведенные схемы хорошо подавляют сВнфазные помехи на низких и средних частотах, но против радиочастотных по- мех они могут оказаться неэффективными лз-за низкого КОСС в приемном диффе- ренциал ьном усилителе. Одной из воз- можностей здесь оказывается закрутка кабеля целиком вокруг ферритового тора (рис 7.73). Это увеличивает последова- тельную индуктивность кабеля в целом, повышает полное сопротивление синфаз- ному сигналу на высокой частоте и облег- чает возможность шунтирования его на дальнем конце парой конденсаторов ма- лой емкости на землю. Эквивалентная схема показывает, почему это происходит без ослабления дифференциального сиг- нала: у вас есть последовательные индук- тивности включенные в сигнальные ли- нии и экран, но поскольку они образуют трансформатор с единичным отношением числа витков, дифференциальный сигнал не изменяется. Это есть на самом деле 11 продольный трансформатор», кото- рый описывается в разд. 13.10. Плавающий источник сигнала. Та же несогласованность напряжений заземле- ния в разных местах проявляется еще более серьезно на входах низкого уровня, поскольку там сигналы очень малы. При- мером является головка магнитофона или другой источник сигнала, для которого нужна экранированная сигнальная линия Если заземлить экран на обоих концах, то разность напряжений заземления по- явится в качестве сигнала на входе усили- теля Лучше всего отделить экран от за- темления в источнике (рис 7.74). Милливольто- вый сигнал от голов- ки магнитофона Изолирующие усилители. Другим реше- нием серьезных проблем, связанных с за- землением, является использование «изо- лирующего усилителя». Изолирующие усилители-это готовые устройства пред- назначенные для передачи аналогового сигнала (с полосой частот, начинающейся с постоянного тока) от схемы с одним опорным уровнем заземления к другой схеме, имеющей совершенно другую землю (рис. 7.75). На практике в некото- рых экзотических ситуациях потенциалы этих «земель» могут отличаться на много киловольт! Применение изолирующих усилителей обязательно в медицинской электронике-там, где электроды прикла- дываются к телу человека, с тем, чтобы полностью изолировать такие контакты от измерительных схем, запитанных не- посредственно от сети переменного тока В выпускаемых в настоящее время изоли- рующих усилителях используется один из следующих трех методов: 1 Трансформаторная изоляция (раз- вязка) несущего сигнала высокой частоты подвергнутого частотной или широт- но-импульсной модуляции относительно узкополосным сигналом (с частотой от 0 до 10 кГц или около того), который необходимо изолировать (рис 7 76) Этот метод применяется во всех изоли- рующих усилителях фирмы Analog Devi- ces, а также в ряде устройств фирмы Burr-Brown. Изолирующие усилители с трансформаторной развязкой имеют удобную особенность питание постоян- ного тока подается только на одну сто- рону (передающую или приемную), у всех у них в корпусе встроен преобразователь постоянного напряжения в постоянное на- пряжение с трансформаторной связью
486 Глава 7 Рис. 7.75. Концепция изолирую- щего усилителя. Потенциалы “земель" могут отличаться на киловольты Рис. 7.76. Изолирующий усилитель AD295 с трансформаторной связью. (Analog Devices). Усилители такого типа обеспечивают изо- ляцию до 3,5 кВ и имеют типичную по- лосу пропускания порядка 2 кГц. хотя некоторые устройства работают с сигна- лами до 20 кГц. 2 . Оптоэлектронная передача сигнала через светодиод на передаюшем конне и фотодиод на стороне приемника, Тип#4- ным примером использования этого М6* года служит ISO 100 фирмы Burr-Bro*11-
Прецизионные схемы и малошумящая аппаратура 487 Изолир. Барьер Рис. 7.77. Аналоговый изолирующий усилитель с оптической связью. Здесь не требуется высокочастотной не- сущей, поскольку сигналы, будь они даже постоянного тока, можно передавать оптически Для того чтобы добиться хо- рошей линейности, Burr-Brown использо- вала изящный ход: свет от светодиода падае также на второй (согласованный с первым) фотодиод, включенный на пере- дающем конце по схеме обратной связи, так что нелинейности свето- и фотодиода взаимн) уничтожаются; см. рис 7.77 ВО 100 требует источников питания на обоих концах изолирует до 750 Ви имеет полосу 60 кГц. 3 Изоляция за счет емкостной связи по высокочастотной несущей, модулирован- пой по частоте сигналом, который необ- ходимо изолировать (рис 7.78) Предста- вителями этого метода являются ISO 102 ISO 106 и ISO 122 фирмы Burr-Brown (рис. 7.79). Здесь нет обратной связи, как и при трансформаторной изоляции, но для большинства моделей нужны источ- ники питания на обоих концах. Это обыч- но не доставляет трудностей, поскольку у вас, скорее всего, должны быть элект- ронные схемы на обоих концах, генери- рующие и использующие сигнал. Если это не так, то вы можете достать изолирован- ный преобразователь постоянного напря- жения для использования его в такого рода усилителе ISO 106 обеспечивает изо- ляцию до 3,5 кВ и имеет полосу пропус- кания 70 кГц Г 7.78. Изолирующий усилитель с емкостной связью
488 Глава 7 Рис. 7.79. Изолирующий усилитель ISO 106 фирмы Burr-Brown. (Burr-Brown Corporation). Все изолирующие усилители такого ро- да предназначены для работы с аналого- выми сигналами и обладают умеренной полосой пропускания; стоимость каждого из них лежит в пределах от 25 до 100 долл. Такого же плана проблемы за- земления могут возникать и в цифровых схемах, где они решены просто и эффек- тивно: выпускаются изоляторы с оптичес- кой связью (оптоизоляторы) с большим выбором полосы (до 10 МГц и более), изолирующие разность потенциалов в не- сколько киловольт и имеющие низкую стоимость (1-2 долл.). Мы познакомимся с ними в гл. 9. Защита сигнала. К этому вопросу тесно примыкает защита сигнала-изящный способ уменьшения эффектов входной емкости и утечек при малых сигналах и большом полном сопротивлении Если вы работаете с сигналами от микроэлект- родов или емкостных датчиков с внутрен- ним полным сопротивлением в сотни ме- гаом, то даже входная емкость в несколь- ко пикофарад может в этом случае сов- местно с этим сопротивлением образо- вать фильтр нижних частот со спадом, начинающимся с нескольких герц! К тому же конечное значение сопротивления изо- ляции в соединительном кабеле легко может на порядки ухудшить рабочие па- раметры усилителя со сверхнизким током входного сигнала (ток смещения меньше пикоампера) за счет утечек Обе эти проб- лемы разрешаются путем использования защитного электрода (рис. 7.80). Внутренний экран соединен с повтори- телем; это эффективно исключает токи и резистивных, и емкостных утечек за счет нулевой разности потенциалов между сиг- нальным проводом и его окружением Внешний заземленный экран предохра- няет от помех защитный электрод; не доставляет хлопот работа повторителя на Источник Рис. 7.80. Применение «защит- СМГНЗЛа _ ного» экрана для увеличения 0 ВЫСО входного полного сопротивле- ния. Малые СВХ,1ВХ Малое Z Защита
Прецизионные схемы и малошумящая аппаратура 489 Рис. 7.81. Схема образования помех от цифровых схем в ли- нейном аналоговом сигнале. емкость и утечку между экранами, так как у повторителя малое полное выходное сопротивление. Однако не следует применять этот прием чаще, чем это необходимо; имеет смысл ставить повторитель как можно ближе к источнику сигнала, защищая лишь небольшой отрезок кабеля, соеди- няющий повторитель и источник. Переда- вать сигнал после повторителя с его низ- ким выходным полным сопротивлением к отдаленному усилителю можно и по обычному экранированному кабелю. За- щиту сигнала мы рассмотрим в разд. 15.08 в связи с микроэлектродами с большим полным сопротивлением. Влияние на выходные сигналы. Как пра- вило, выходное сопротивление ОУ на- столько мало, что не надо заботиться 0 емкостных наводках на выходной сиг- нал Однако в случае наличия высоко- частотной или быстропереключающейся помехи основание для беспокойства име- йся особенно если от выходного сигнала 4>еб /ется более или менее приличная точ- hocti Рассмотрим пример на рис. 7.81. Преци ионный сигнал усиливается с по- ^ощью ОУ и проходит через область пространства, содержащую логические эЛементы с сигналами, дискретно изме- П^ошим,с со скоростью нарастания 5 В /нс Выходное полное сопротивление Wkhvt го ОУ повышается с частотой, Ип^гая значений от 10 до 100 Ом на Частоте 1 МГц (см. разд. 7.07). Какой Н°лжн быть наибольшая допустимая па- разитная емкость связи, если влияние по- мехи должно быть меньше разрешения аналогового сигнала 0,1 мВ? Удивитель- ный ответ-0,02 пФ Есть несколько решений этого вопроса. Лучше всего держать ваш маленький ана- логовый сигнал подальше от скопления быстропереключающихся сигналов Сред- ней величины конденсатор, шунтирую- щий выход ОУ (возможно, с небольшим последовательным резистором для обес- печения устойчивости ОУ), может испра- вить положение, хотя и снизит скорость нарастания. Грубо говоря, конденсатор снижает частоту воспринимаемых помех до такого значения, при котором обрат- ная связь усилителя может их подавить Несколько сот пикофарад на землю при- дадут достаточную устойчивость анало- говому сигналу высокой частоты (пред- ставьте себе емкостный делитель напря- жения). Еще одна возможность - это при- менить буферный усилитель с низким полным выходным сопротивлением, как LT1010, или мощный ОУ типа LM675. Не пренебрегайте также возможностью использовать экранирование, витые пары и близость к платам заземления для уменьшения влияний. СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ 7.26. Удачные схемы На рис. 7.82 показаны некоторые идеи построения схем, имеющие отношение к теме данной главы.
490 Глава 7 Рис. 7.82. а-схема измерения напряжения сдвига ОУ; б-схема измерения низкочастотного шума ОУ в-схема измерения времени установления; г-схема для работы на большую емкостную нагрузку; д- схемы защиты °т помех входов сигналов низкого уровня с высоким Z (R компенсирует выходное сопротивление источника)-
3 Jp- 7.82 Продолжение. е~с^см . подстройки сдвига (I-традиционная; II - улучшенная с потенциометром со средней точкой. Эта схема, ^Достав. хенная фирмой Bourns, имеет меньшую зависимость от небаланса напряжений питания); лс-интегра- ус ограничителем из стабилитронов с малой утечкой; зсверпрецизионныи источник тока, и-уменьшение Зления пума» в усилителе сигналов низкого уровня-преобразователе полного сопротивления (из сборника ?° Применению фирмы Burr-Brown); к-прецизионный интегратор с компенсацией утечки конденсатора г^енци метр П1 используется для установления нулевого дрейфа в момент, когда напряжение на выходе к нулю, а П2-когда выход близок к +10 В);
+ 5 ЗкОм 1,5 кОм LT1007 68кОм 100кОм 0,01 мк К=1000 Вых. ЮОкОм ЮООм 1% ПП'—'^МКЕ LTC1052 Лисдв=0,05мкВ/°С Р усиления задается выбором Я5); м- малошумящий Рис 7.82. Продолжение л-измерительный усилитель (коэффициент дрейфом усилитель; шум составляет 60 нВ (дв. ампл.) в диапазоне частот 0,1—10 Гц-сравните с значением шума усилителя с прерыванием (1.5 мкВ двойной амплитуды); и-активный выпрямитель тричная схема); о-малошумящий предусилитель, рассчитанный на работу с Аи< 600 Ом (разработано Бобо Вилларом для фирмы NSC); «-программируемый источник тока использующий измерительный v или^" р-ОУ. обеспечивающий высокую точность по постоянному току, высокое быстродействие или больШ™ мощность; с малУ* болы®®*
Прецизионные схемы и малошумящая аппаратура 493 Рис 7.82. Продолжение. с~ОУ со сверхмалым шумом (фирма PMI, заметка по применению 102). ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ Н). д<«аж>> те что С Ш = 101g(г* '4&ТЯ„) “ кш (дБ> 4>и AJ. •) к Синусоидальный сигнал 100 Гц с эффективным ®пряжени 10 мкВ проходит через резистор 1 МОм ири комнатной температуре. Какое будет отношение сигна 'шум в полученном в результате сигнале (а) в полосе шириной 10 Гц с центром на частоте 100 Гц? (6) ЕЬролосе частот от 0 до 1 МГц? @)<]|ранзисторный усилитель, в котором применен ^5 1| работает при токе коллектора 100 мкА и воз- ®У*да я источником сигнала с полным сопротивле- в8ем >2000 Ом. (а) Найдите коэффициент шума при К'Гц, 1 кГц и 10 кГц. (б) Найдите отношение сиг м на каждой из названных частот для входного СВгна.:1 50 нВ (среднеквадратичное) и полосы про- ’Чккания усилителя 10 Гц. (4) Были произведены измерения на промышленном усилителе для пределения его эквивалентного шума еш и 1Ш при частоте 1 кГц (Z„ = 1 МОм). Выходной сигнал усилителя был пропущен через фильтр с кру- тым спадом частотной характеристики и полосой
494 Глава 7 пропускания шириной 100 Гц, и входной сигнал 10 мкВ дал выходной сигнал 0,1 В При таком уровне вклад шума усилителя пренебрежимо мал. Средне- квадратичное напряжение шумов на выходе равно 0,4 мВ при закороченном входе. При разомкнутом входе выходной шум возрастает до 50 мВ эфф. (а) Найдите еш и для этого усилителя на частоте 1 кГц. (6) Найдите коэффициент шума этого усили- теля на частоте 1 кГц при сопротивлениях источника 100 Ом, 10 кОм и 100 кОм. (5) . На некотором усилителе производились измере- ния с помощью калиброванного источника шума с выходным полным сопротивлением 50 Ом. Выход генератора должен был быть увеличен до 2 нВ/Гцin ДЛЯ ТОГО, чтобы УДВОИТЬ МОЩНОСТЬ ВЫХОДНОГО Шуьщ усилителя. Каков коэффициент шума при сопротивле нии источника 50 Ом? (6) . Напряжение выходного шума у генератора бело- го шума измеряется с помощью схемы, показанной на рис. 7.83. При некотором уровне выходного сигнала генератора вольтметр переменного тока показывает 1,5 В эфф. Какова будет плотность шума (среднеквад- ратичная, в вольтах на корень из герца) на выходе генератора?
fflABA 8 ЦИФРОВЫЕ СХЕМЫ ОСНОВНЫЕ ЛОГИЧЕСКИЕ ПОНЯТИЯ 8.01. Цифровые и аналоговые отвалы Мы рассматривали до сих пор главным образом схемы, входные и выходные на- пряжения которых могли изменяться в определенном диапазоне значений: RC-це- пи. интеграторы, выпрямители, усилители и т.п. Когда сигналы с которыми при- ходится иметь дело, либо являются не- прерывными по самой своей природе (на- пример, звуковые), либо представляют собой непрерывно меняющиеся напряже- ния, поступающие от измерительных при- боров (например, от устройств для изме- рения температуры или обнаружения све- тового излучения, биологических или хи- мических зондов), это естественно. Входной сигнал по своей природе мо- жет быть и чисто дискретным например импульсы в детекторе частиц или «биты» информации, поступающие от ключа, илавиатуры или ЭВМ. В подобных слу- чаях естественно и удобно использовать Цифровую электронику, т.е. схемы, кото- рые имеют дело с информацией, пред- ставленной в виде «единиц» или «нулей». Для того чтобы непрерывную (аналого- ®Ук>) информацию можно было обраба- гыва ь на ЭВМ или хранить в виде чисел. 68 необходимо преобразовать в цифровую ф°рм\ и наоборот (с помощью циф- ро-аналоговых ЦАП и аналого-цифровых ^НП-пре бразователеи) Характерным ^Римером служит ситуация, в которой Препроцессор или ЭВМ воспринимает ^На.ты от экспериментальной или про- Н. енной установки, на основе полу- Нны данных управляет параметрами Э|Есперимента и хранит полученные ре- зультаты для последующего использова- ния в процессе эксперимента. Другим интересным примером, кото- рый демонстрирует возможности цифро- вых методов, является передача аналого- вых сигналов без искажений, связанных с воздействием помех. Например звуко- вые и видеосигналы, передаваемые по ка- белю или с помощью радиоволн, воспри- нимают «шум», который потом нельзя отделить от полезного сигнала Если же передаваемый сигнал преобразовать в ряд чисел определяющих его амплитуду в последовательные моменты времени, а затем эти числа передавать в виде цифро- вых сигналов, то аналоговый сигнал, вос- становленный на приемной стороне (с помощью ЦАП), не будет содержать ошибок, если уровень шума в канале связи не настолько высок, чтобы поме- шать правильному распознаванию «еди- ниц» и «нулей». Этот метод, известный под названием импульснокодовой моду- ляции (ИКМ), особенно эффективен в том случае, когда сигнал должен проходить через ряд ретрансляторов, например, при межконтинентальной телефонной связи, так как восстановление цифрового сиг- нала в каждом пункте ретрансляции га- рантирует помехоустойчивую передачу Космические зонды с помощью ИКМ пе- редают на землю данные и изображения Цифровая звукозапись в вашем доме раз- мещается на 12-см оптических «ком- пакт-дисках», которые хранят стереому- зыкальные произведения в виде 16 разря- дов каждые 23 мкс, порядка 6 млрд, бит информации на все. Возможности цифровой аппаратуры настолько велики, что задачи, предназна- ченные, казалось бы, исключительно для
496 Глава 8 аналоговых методов, гораздо лучше за- частую решаются цифровым путем. На- пример, в аналоговом измерителе темпе- ратуры можно установить микропроцес- сор и память, в результате этого повы- сится точность измерений за счет компен- сации нелинейности прибора Подобные применения микропроцессоров стали обычным делом. Ввиду их широкой дос- тупности. Однако вместо того, чтобы пытаться перечислить все случаи, где может применяться цифровая электро- ника, лучше перейдем к ее изучению, в процессе которого примеры будут воз- никать сами собой. 8.02. Логические состояния Под цифровой электроникой мы имеем в виду схемы, для каждой точки которых можно определить, как правило, только два состояния, например транзистор мо- жет быть либо закрыт, либо насыщен В качестве параметра обычно выбирают не ток, а напряжение, уровень которого может быть ВЫСОКИМ или НИЗКИМ Эти два состояния могут представлять различные «биты» (binary digits-двоич- ные разряды) информации, например, сле- дующим образом: один бит числа: ключ замкнут или разомкнут, присутствует или отсутствует сигнал, уровень аналогового сигнала выше или ниже заданного пре- дела, некоторое событие произошло или не произошло, требуется или не требуется выполнять некоторые действия и т.п Высокий и низкий уровни. Состояния ВЫСОКОГО и НИЗКОГО уровней опре- деляют некоторым заданным образом «истинные» и «ложные» значения в буле- вой алгебре. Если в какой-либо точке схемы истинное значение определяет ВЫСОКИЙ уровень, то говорят, что эта сигнальная линия использует «положи- тельную логику» и наоборот. Пример «отрицательной логики» показан на рис 8.1. Когда состояние КЛЮЧ ЗАМК- НУТ истинно, выход имеет НИЗКИЙ уровень. Выходной сигнал таким обра- зом соответствует «отрицательной логи- ке» (более правильным было бы название «нулевая логика», поскольку отрицатель- + 5В {1,0 кОм Ключ замкнут / • Рис. 8.1. ное напряжение в схеме отсутствует) и может быть обозначен, как показано на рисунке (Черта над символом означает операцию НЕ, т.е. данная линия имеет ВЫСОКИЙ уровень, когда ключ не замк- нут.) Запомните, что наличие или отсутст- вие черты отрицания над обозначением говорит о том, какой уровень (ВЫСО- КИЙ или НИЗКИЙ) будет иметь данный провод, когда заданное условие (КЛЮЧ ЗАМКНУТ) истинно. Цифровая схема «знает», что за сигнал она представляет по тому, откуда посту- пает этот сигнал, так же как в аналоговой схеме выход какого-либо операционного усилителя «представляет» определенную величину. Цифровые схемы, однако, обла- дают дополнительной гибкостью: иногда одни и те же сигнальные линии исполь- зуются для передачи различных видов информации и для посылки ее в разных направлениях в различные моменты вре- мени. Для того чтобы выполнить это «мультиплексирование», необходимо по- сылать дополнительную информацию (адресные биты или биты состояния). Далее будет показано много примеров, в которых используется это полезное свойство цифровой электроники, а пока будем считать, что любая схема предназ- начена для выполнения одной заданной функции и она «знает», откуда поступают входные и куда идут выходные сигналы. Введем понятия 1 и 0, внеся некоторую путаницу в эту простую по существу опе- рацию. Эти символы используются в бу- левой алгебре для обозначения утвержД6' ний ИСТИНА и ЛОЖЬ соответственно- В том же значении они иногда исполь- зуются и в электронике, но, к сожалению здесь они применяются также и в другом
Цифровые схемы 497 Вусле, а именно: 1 означает ВЫСОКИЙ вровень а О-НИЗКИЙ уровень В этой Аге мы постараемся избежать какой 1йбо двусмысленности, будем использо- jaTb для обозначения логических состоя- дЛЙ слова ВЫСОКИЙ уровень (или сим- рол В) и НИЗКИИ уровень (или символ Н) метод, который широко используется электронной промышленности, а обо- зНачения 1 и 0 будут применяться лишь в тех случаях, где их двусмысленное тол- кование исключается. Диапазон напряжений высокого и низ- кого уровней. Как упоминалось в разд. 1.10, значения напряжении, соответствующих ВЫСОКИМ и НИЗКИМ уровням, могут колебаться в некотором диапазоне. На- пример, для высокоскоростной КМОП «НС») логики входные напряжения от уровня земли до 1,5 В представляются как НИЗКИЙ уровень, а напряжения в преде- лах 1.5 В от напряжения питания +5В- как ВЫСОКИЙ уровень Типичные на- пряжения НИЗКОГО и ВЫСОКОГО со- стоянии составляют десятую долю В выше 0 и ниже 5 В соответственно (оста- точное напряжение одного МОП-тран- звстора) Такие широкие диапазоны выбраны для того, чтобы изготовитель микросхем имел в своем распоряжении определенный зону ж, в пределах которого параметры схемы могли бы колебаться за счет изме- нения температуры, нагрузки, напряжения питания а также под воздействием шу- мов т.е. разнообразных паразитных сиг налов, которые добавляются к рабочему сигналу при его прохождении через схему 'За счет емкостных связей, внешних на- водок и т.п.). Схема, получив сигнал, определяет, каков его уровень (ВЫСО- КИЕ или НИЗКИЙ), и действует соот- ветствующим образом Если помеха не превращает 1 в 0 или наоборот, то все Прекрасно и любые помехи отсеиваются ва каждой ступени, поскольку на выходе сяемь восстанавливаются «чистые» зна- *bhi 1 или 0. Цифровая электроника 6 этом смысле не подвержена влиянию 8°Мех и является идеальной. ^Термин помехоустойчивость исполн- яется здесь для обозначения максималь- ного уровня помехи, которая, будучи до- бавлена к логическому сигналу при самых неблагоприятных условиях, не будет еще приводить к ошибочной работе схемы Например, для элементов ТТЛ помехо- устойчивость составляет 0,4 В, так как любой сигнал ниже 0 8В интерпрети- руется ими как НИЗКИЙ уровень, а любой сигнал выше 2,0 В-как ВЫСО- КИЙ, в то время как уровни выходных сигналов составляют в самом неблаго- приятном случае -1-0,4 и + 2,4 В соответ- ственно (см. таблицу логических уровней в гл. 1). В действительности помехо- устойчивость этих схем значительно выше приведенной величины, поскольку типич- ные значения ВЫСОКОГО и НИЗКОГО напряжений составляют +0,2 и 3,4 В, а входной порог принятия решения равен 1,3 В Однако необходимо помнить, что хорошая схема рассчитана на самый неблагоприятный случай. Не следует так- же забывать о том, что различные се- мейства логических элементов обладают различной помехоустойчивостью Эле- менты КМОП имеют по сравнению с ТТЛ более высокую помехоустойчивость, а быстродействующие элементы ЭСЛ - более низкую. Конечно, восприимчивость к шуму цифровых систем зависит также от амплитуды шума, которая в свою оче- редь зависит от таких факторов, как пара- метры выходной ступени индуктивности проводников земли существования длин- ных линии «шин», скорости нарастания на выходе во время логического перехода (когда из-за емкостной нагрузки возни- кают переходные токи, вызывающие вы- бросы напряжения линии земли). Мы бу- дем касаться некоторых из этих проблем в разд. 9.11-9.13. ЛОГИЧЕСКИЕ УРОВНИ Диаграмма на рис. 8.1, а показывает диапазоны на пряжении, которые соответствуют двум логическим состояниям (ВЫСОКИЙ и НИЗКИЙ) для самых популярных семейств цифровой логики Для каждого логического семейства необходимо определить допус- тимые значения как входных -ак и выходных напря- жений, соответствующих состояниям ВЫСОКИЙ и НИЗКИЙ. Закрашенная площадь выше линии пока- зывает допустимый диапазон выходных напряжений, при котором гарантируются логические состояния
498 Глава 8 КМОП с ТТЛ-порогом UCC"’5 [ 0 1 2 3 4 5 НИЗКИЙ и ВЫСОКИЙ без ошибок, с двумя стрел- ками указывающими типовые выходные значения (НИЗКИЙ и ВЫСОКИЙ), встречающиеся на прак- тике. Закрашенная площадь ниже линии показывает диапазон входных напряжений, гарантирующий пред- ставление как НИЗКИЙ или ВЫСОКИЙ со стрел- кой. указывающей типовое напряжение логического переключения, т.е. линию, разделяющую уровни НИЗКИЙ и ВЫСОКИЙ. Во всех случаях логическое состояние ВЫСОКИЙ более положительно, чем ло- гическое НИЗКИЙ Значения «минимальный», «типовой» и «макси- мальный» в электронных спецификациях требуют не- скольких слов для пояснения. Наиболее просто, изго- товитель гарантирует, что компоненты будут попа- дать в диапазон минимум-максимум с наибольшей вероятностью к «типовому». Это означает для типо- вых спецификаций которые вы используете при про- ектировании схем, что эти схемы должны работать надежно внутри диапазона, задаваемого минимумом и максимумом В частности, хорошо спроектирован ная схема должна функционировать при всех возмож- ных комбинациях минимальных и максимальных зна- чений (даже на самый плохой случай). 8.03. Числовые коды В большинстве случаев рассмотрении выше условия, которые могут быть пред6 ставлены цифровыми уровнями, просты и наглядны. Более сложный и интересный вопрос заключается в том, как с помощь^ цифровых уровней представить часть числа Десятичное (с основанием 10) число представляет собой строчку из цифр и (при этом) подразумевается, что они должны быть умножены на последова- тельные степени числа 10 для образования индивидуальных произведений, а затем вместе сложены. Например, 137,06 = 1 х х 102 + 3 х 101 + 7 х 10° + 0 х Ю-1 + + 6 х 10-2. Для записи числа требуется десять символов (от 0 до 9), а степень числа 10, на которую должна быть умно- жена цифра, определяется ее положением по отношению к десятичной запятой. Если мы хотим представить число с по- мощью только двух символов (0 и 1), то такая система счисления будет называться двоичной или системой с основанием 2. В этом случае каждая 1 или 0 будет умножаться на последовательные степени числа 2. Например 11012 = 1 х 23 + 1 х 22 4- 0 х 21 + 1 х 2° = 1310. Отдельные «единицы» и «нули» в за- писи, представляющей двоичное число, называются «битами» (от слов binan digits-двоичный разряд). Индекс (запи- сываемый всегда по основанию 10) указы- вает, какая используется система счисле- ния. Он часто бывает нужным для того, чтобы избежать путаницы так как все символы выглядят одинаково. Только что описанным методом мы преобразовали число из двоичной формы в десятичную. Для того чтобы произвести обратное преобразование, десятичное число нужно последовательно делить на 2. каждый раз записывая остаток. ДлЯ преобразования числа 1310 в двоично6 нужно произвести следующие операПйИ- 13/2 = 6, остаток 1; 6/2 = 3, остаток » 3/2 = 1, остаток 1; 1/2 = 0, остаток 1; эТ° дает 1310= 11012. Заметим, что отве
Цифровые схемы 499 ^раз /ется, начиная с младшего знача- щего разряда (МЗР). Шестнадцатеричное представление чи- Для описания систем только с двумя Стояниями естественно применять доичные числа. Однако, как будет пока- то ниже, это не единственный способ. Поскольку двоичные числа имеют боль- шую длину, для их записи используется шестнадцатеричное (с основанием 16) представление. Для записи двоичного ijHCJia в шестнадцатеричном коде его раз- бивают на группы по 4 бит, каждая из вторых может принимать значения от Одо 15. Поскольку для обозначения каж- дой шестнадцатеричной позиции мы хо- тим использовать один символ, величины 10—15 будем обозначать буквами латин- дого алфавита от А до F: 7071О = 10110000112 = (1011000011,) = 2С316. Шестнадцатеричное представление луч- шим образом соответствует байтовой (1 байт = 8 бит) структуре ЭВМ, которая иле всего реализуется в виде 16- или 32-разрядных машинных «слов», при этом гаждое слово состоит из 2 или 4 байтов. Буквенно-цифровые знаки (буквы, цифры ми символы) представляются в виде одного байта. Таким образом, каждый байт в шестнадцатеричной системе со- стоит из двух шестнадцатеричных цифр, 16-разрядное машинное слово из 4-х иестнадцатеричных цифр и т. д. •Например, в широко используемом годе ASCII (см. разд. 10.19) малое «а» в ASCII-представлении есть 01100001 (61 8 Шестнадцатеричном коде который за- чесывается как 61н), «Ь» есть 62н и т.д. Таким образом слово “nerd” может быть Хранен) в двух 16-битных словах, кото- имеют значения 6D65H и 7274н. Как •ФУгой пример, размещение памяти в ГОмпьютере с памятью 64К (65536 байт) определяться 2-байтным адресом, дольку 216 = 65536. наинизший адрес *гь 0000н, наивысший-FFFFH, вторая Бовина памяти начинается с 8000н, а ftepra [ четверть памяти-с СОООН. Вы ‘•чучайно можете встретить «восьмерич- но» запись (основание 8), к сожалению, в ранних ЭВМ были приняты 12- и 32-разрядные слова, которые использо- вали 6-разрядное представление буквен- но-цифровых знаков. Поскольку 6-разряд- ные знаки было логично представлять в восьмеричном коде, внедрилась эта сис- тема счисления Она сохранилась до нас- тоящего времени и с успехом применяется для записи двоичных чисел, однако за- частую может создавать определенные неудобства. Упражнение 8.1. Запишите восьмеричное представ- ление в коде ASCII символов “а” и “Ь”, используя шестнадцатеричное значение, приведенное ранее. За- тем запишите восьмеричное представление 16-разряд- ного слова, составленного из двух байт вместе “ab”». Почему они различаются? Определите, каким будет восьмеричное представление 16-разрядного слова, содержащего сочетание “Ьа” в коде ASCII. Двоично-десятичный код. Другим ме- тодом представления чисел является двоичное кодирование каждой десятичной цифры, записываемой в виде группы из 4 двоичных разрядов Например, 13710 = = 0001 0011 0111 (двоично-десятичный код). Заметим, что двоично-десятичное представление числа не эквивалентно двоичному, которое в данном случае бу- дет иметь вид 1371О = 100010012 • Можно считать, что разряды двоично-десятич- ного кода, начиная с правого, выражают числа 1, 2, 4, 8, 10, 20, 40, 80, 100, 200, 400, 800 и т.д. Очевидно, что двоично-деся- тичное кодирование с точки зрения использования двоичных разрядов не эко- номично, поскольку каждая группа из 4 бит способна представлять числа от 0 до 15. но используется для записи числа, не превышающего 9 (за исключением ред- кого случая записи цифровой информации с четным паритетом на 7-дорожечную магнитную ленту) Двоично-десятичное кодирование очень удобно в тех случаях, когда требуется воспроизвести число в десятичной форме, так как в этом случае каждый двоично-десятичный символ нуж- но лишь преобразовать в соответствую- щее десятичное число, а затем вывести его на индикацию (Для выполнения этой функции существуют специальные ИМС; в одном небольшом корпусе с простой топологией они содержат дешифратор двоично-десятичного кода, формировате-
500 Глава 8 ли сигналов, буферный регистр и индика- тор. На вход такой схемы нужно лишь подать логические уровни двоично-деся- тичного символа, после этого на ней выс- вечивается соответствующая цифра). По этой причине двоично-десятичное кодиро- вание используется обычно при вводе и выводе цифровой информации. К сожале- нию, преобразование между двоично-де- сятичным и чисто двоичным кодом слож- но, так как каждая десятичная цифра за- висит от состояния почти всех двоичных разрядов и наоборот. Тем не менее двоич- ная арифметика настолько эффективна, что в большинстве ЭВМ вся входная информация преобразуется в двоичную форму, а обратное преобразование производится лишь при ее выводе. Пред- ставьте себе, сколько усилий было бы сэкономлено, если бы Homo sapiens имел 8 или 16 пальцев! Упражнение 8.2. Преобразуйте в десятичный код следующие числа: а) 1110101,01102, б) 11,010101012, в) 2АН. Преобразуйте в двоичный код следующие числа: а) 10231О, б) 102316. Преобразуйте в шестнад- цатеричный код следующие числа: а) Ю231О, б) 101110101101,, в) 614531О. Чиста со знаком. Прямой (знаковели- чинный) код. Рано или поздно возникнет необходимость представлять отрицатель- ные числа в двоичном коде, в первую очередь это потребуется в устройствах, которые выполняют вычислительные опе- рации Самое простое - отвести один раз- ряд (скажем, старший) под знак числа, а остальные использовать для представ- ления его величины Этот способ назы- вается знаковеличинным или прямым ко- дом и соответствует обычной записи числа со знаком (табл. 8.1). Он исполь- зуется при выводе чисел на индикацию а также в некоторых аналого-цифровых преобразователях (АЦП) Вообше же это не лучшая форма представ тения чисел со знаком, особенно при выполнении вычис- лений, так как в данном случае операции вычитания и сложения выполняются по-разному (т е сложение «не работает» для чисел со знаком). Кроме того, здесь могут присутствовать нули двух типов ( + 0 и —0). поэтому при выборе нужного из них следует быть очень внимательным Смещенный код Смещенный код Таблица 8.1. 4-разрядные двоичные числя трех системах представления ~ в Целое Прямой код Смещенный код Дополни- тельный Код + 7 01111 1111 0111 « + 6 ОНО 1110 оно + 5 0101 1101 0101 +4 0100 1100 0100 + 2 0010 1010 0010 + 1 0001 1001 0001 0 0000 1000 0000 -1 1001 0111 1111 -2 1010 оно 1110 -3 1011 0101 1101 -4 1100 0100 1100 4 -5 1101 ООН юн -7 1111 0001 1001 -8 — 0000 1000 (-0) 1000 — — • является вторым методом представления числа со знаком. Чтобы получить сме- щенный код какого-либо числа, нужно к этому числу, представленному в прямом коде, прибавить половину наибольшего возможного числа (табл. 8.1). Последо- вательность всех чисел благодаря этой операции, начиная с наибольшего отри- цат. числа и кончая наибольшим поло- жит. числом, представляет простую дво- ичную прогрессию и может быть сформи- рована с помощью двоичных счетчиков. Информацию о знаке здесь также несет старший разряд, но нуль становится одно- значным. Смещенный код используется в АЦП и ЦАП (преобразователях), одна- ко он еще неудобен для выполнения вы- числений. Дополнительный код При выполнении операций над целыми числами чаше используется представление чисел в фор- ме дополнения до двух, или. иначе, в до- полнительном коде. В такой системе по- ложительные числа записываются просто как двоичные без знака, а отрицате.н ные выражаются таким числом, которое, бу- дучи добавлено к положительному чис.'П той же величины, даст в результате н\ль- Чтобы получить отрицательное число, нужно для каждого бита положительного числа сформировать дополнение до 1, и510 обратный код (т. е. вместо каждого 0 за' писать 1 и наоборот), и затем к nonvneU ному результату прибавить 1 (это ДаСТ
Цифровые схемы 501 дополнительный код) Из табл. 8.1 вид- до. что числа в дополнительном коде отличаются от чисел в смещенном коде дпверсным значением старшего знача- щего разряда (СЗР). Точно так же как й при Других формах представления, СЗР весет информацию о знаке Здесь имеется одько один нуль, который удобно пред- ставляется нулевыми состояниями всех разрядов (при очистке счетчика или ре- гистра в них заносится нулевое значение). Арифметика в дополнительном коде, др |)метические операции в дополнитель- ном коде выполняются довольно просто Чтобы получить сумму двух чисел, доста- точно сложить соответствующие разряды с учетом переноса), например 5+(-2):О1О1( + 5) 1110(—2) ^^^ооГц+Т) Чтобы вычесть В из А, нужно взять дополнительный код числа В и прибавить его к числу А (т. е прибавить отрицатель- ное число): 2-5:0010(4-2) 1011 ( — 5) (+5 = 0101 обратный код: 1010, дополнитель- ный код: 1011) 1101 (-3) Умножение в дополнительном коде вы- полняется также непосредственно. Попро- буйте сделать следующие упражнения. Упражнение 8.3 И п я разрядный п лни гельный код, произведите двоичное умножение +2 на “3 Подсказка ответ равен —6. Уиражнснн 8.4. Покажите что дополнительный 'од числа -5 равен +5. Дополнительный код благодаря естест- венности вычислений в нем повсеместно ^Пользуется в ЭВМ для выполнения арифметических операций над целыми Ослами (но следует отметить, что числа с «плавающей запятой» обычно исполь- Фотся в знаковеличинной форме, назы- ваемой знак-порядок - мантисса). Ко ГРЕЯ. Код, рассматриваемый ^*6, используется в механических шиф- раторах угла поворота вала, а также в других устройствах. Он носит название кода Грея и обладает тем свойством, что при переходе от любого его состояния к следующему изменяется лишь один раз- ряд (бит), что позволяет предотвратить ошибки, поскольку в данном случае при переходе между двумя закодированными значениями все разряды никак не могут измениться одновременно Если бы использовался чисто двоичный код, то при переходе, например, от 7 к 8 на входе можно было бы получить число 15. Для формирования состояний кода Грея су- ществует простое правило: начинать нуж- но с нулевого состояния, а затем для получения каждого следующего нужно выбрать самый младший разряд, измене- ние которого приводит к образованию нового состояния, и взять его инверсное значение. 0000 0001 ООН 0010 ОНО 0111 0101 0100 1100 1101 1111 1110 1010 1011 1001 1000 Коды Грея могут содержать любое число разрядов Они применяются при «параллельном кодировании»-методе быстродействующего аналого-цифрового преобразования (будет рассмотрен ниже! В следующем разделе мы покажем взаим- ные соответствия между кодом Грея и двоичным кодом 8.04. Вентили и таблицы истинности Комбинационная и последовательная (по- следовательностная) логика Сущность цифровой электроники—выработка вы-
502 Глава 8 ходных цифровых сигналов в соответст- вии с входными. Например, сумматор может принять на свои входы два 16-раз- рядных числа и сформировать на выходе 16-разрядную сумму (плюс перенос). Можно сделать также схему для умноже- ния двух чисел. Такого типа операции должен уметь выполнять процессор ЭВМ. Другая задача-сравнение двух чисел с целью удостовериться в том, что «все системы действуют нормально». Возмож- но, вы захотите дополнить паритетным битом число, подлежащее передаче по каналу связи, так, чтобы общее количест- во «единиц» в нем стало четным: про- верка паритета на приемной стороне обес- печивает простой контроль правильности передачи. Еще одна типичная задача зак- лючается в том, чтобы взять какие-либо числа, выраженные в двоичном коде, а за- тем воспроизвести их на экране, отпер- форировать или отпечатать в виде деся- тичных знаков. Состояние выхода (или выходов) во всех этих задачах является предопределенной функцией состояния входа или входов. Задачи, относящиеся к этому классу, называются «комбина- ционными» и могут быть решены с по- мощью вентилей-устройств, которые выполняют операции булевой алгебры в системах с двумя состояниями (двоич- ных). Существует другой класс задач, кото- рые нельзя решить лишь путем формиро- вания комбинационных функции текущих значений входных сигналов и которые требуют знания их прежнего состояния Для решения этих задач необходимо при- менять «последовательные» схемы К за дачам такого типа относится преобразо- вание строки двоичных разрядов из последовательной формы (один разряд следует за другим во времени) в парал дельную группу разрядов, подсчет числа единиц, распознавание заданной опреде- ленной кодовой комбинации и последо- вательности битов, или. например, фор- мирование одного выходного импульса после поступления четырех входных. Для решения всех этих задач требуется в ка кой-либо форме цифровая память. Основ- ным устройством для построения этой памяти служит триггер (или мультивиб ратор с двумя устойчивыми состоя ниями). Рассмотрим вначале вентили комбинационную логику, так как Онй являются основой для построения любых цифровых схем. При переходе к последо дательным логическим устройствам мип цифровой техники станет значительно более интересным, однако и вентили сами по себе также весьма любопытны. Вентиль ИЛИ. Выход вентиля ИЛИ имеет ВЫСОКИЙ уровень, если хотя бы на одном из его входов присутствует ВЫСОКИЙ уровень. Это можно выра- зить с помощью «таблицы истинности» представленной на рис. 8.2, где показан вентиль ИЛИ на 2 входа. В общем случае число входов не ограничено, однако в стандартном корпусе микросхемы обычно размещаются четыре 2-входовых вентиля, три 3-входовых или два 4-входовых. На- пример, на выходе 4-входового вентиля ИЛИ ВЫСОКИЙ уровень будет присут- ствовать в том случае, если он подан на любой из его входов Для обозначения операции ИЛИ в булевой алгебре исполь- зуется символ 4- . Функция «А ИЛИ В> записывается как А + В. Вентиль И. Выход вентиля И имеет высокий уровень только в том случае, ести ВЫСОКИЙ уровень присутствует на обоих его входах. Символическое изобра- жение вентиля и его таблица истинности даны на рис. 8.3. Вентили И. выпускае- мые промышленностью также как и вен- тили ИЛИ. могуть иметь 3, 4, а иногда и большее число входов Например. 8-входовой вентиль И вырабатывает на Рис. 8.2. Рис. 8.3.
Цифровые схемы 503 рис. 8.4. выходе ВЫСОКИЙ уровень только в том чае, если на всех его входах действует ВЫСОКИЙ уровень. -Для обозначения операции И в булевой алгебре используется точка (•), которая может быть опущена, функция «А и В» записывается как А-В, или просто АВ. Инвертор (функция НЕ). Иногда бывает нужно получить дополнение (инверсию) югического сигнала. Эту функцию вы- полняе инвертор-вентиль, который име- ет только один вход (рис. 8.4). Для обо- значения операции НЕ в булевой алгебре спфльзуется черта над символом или апостроф «НЕ А» записывается как А пи А Для удобства вместо _ для указа- ния, отрицания часто используются сим- волы /, *, —, таким образом, НЕ А можно записать любым из следующих лособов: А , —А. *А, /А, А*, А/. Мы апрльзуем в этой книге запись А'. И-НЕ и ИЛИ-HE. Вентили могут со- вмещать инвертирование с выполнением функции И и ИЛИ. Далее будет показано, К Двоичный код код Грея И-НЕ ИЛИ-НЕ А В Q АВ Q 0 0 1 0 0 1 0 11 0 10 10 1 10 0 110 110 Рис. 8.5. Исключающее ИЛИ АВ Q 0 0 О 0 1 1 1 0 1 1 1 0 Рис. 8.6. что такие вентили имеют более широкое распространение, чем просто И и ИЛИ (рис. 8.5). Исключающее ИЛИ. Большой интерес представляет логическая функция «Исключающее ИЛИ», хотя она не от- носится к числу основных (рис. 8.6). На выходе вентиля «Исключающее ИЛИ» ВЫСОКИЙ уровень сформируется в том случае, если он будет подан на один из его * 8. МЗР а СЗР Код грея Двоична й код Параллельные преобразователи двоичного кода в код Грея (а) и кода Грея в двоичный (б).
504 Глава 8 входов (но не на оба одновременно). Дру- гими словами, ВЫСОКИЙ уровень дейст- вует на выходе тогда, когда входы имеют различное состояние Этот вентиль может иметь только два входа. Операция «Иск- лючающее ИЛИ» подобна сложению двух бит по модулю 2. Упражнение 8.5. Покажите, как вентиль «Исклю- чающее ИЛИ» может быть использован в качестве «модифицируемого инвертора», который в зависи- мости от уровня на управляющем входе, может либо инвентировать входной сигнал, либо передавать его на выход без инверсии (буферировать). Упражнение 8.6. Проверьте, действительно ли схемы, изображенные на рис. 8.7, преобразуют двоич- ный код в код Грея и наоборот. 8.05. Схемы вентилей на дискретных элементах Прежде чем перейти к вопросу использо- вания вентилей, рассмотрим, как они строятся с помощью дискретных элемен- тов На рис. 8.8 показан диодный вентиль И Если на каком-либо его входе дейст- вует НИЗКИЙ уровень, то он будет действовать и на выходе, а ВЫСОКИ уровень на выходе возникает только в том случае, если он будет присутствовать на обоих входах Эта схема обладает рядом недостатков: а) НИЗКИЙ уровень на выходе выше НИЗКОГО уровня на входе на величину падения на диоде Естественно, слишком много диодов ста- вить нельзя, б) отсутствует «разветвление по выходу», т е возможность питать одним выходом несколько входов, так как выходная нагрузка действует на вход- ной сигнал, в) низкое быстродействие, обусловленное резисторной нагрузкой. + Й1.0 кОм Н------О Рис. 8.8. Вообще логические схемы, построенные на дискретных элементах, не обладают теми свойствами, которые присущи ИМ Преимущества логических схем на ИМС связаны отчасти с применением специаль- ной технологии (например, ионная им- плантация), которая позволяет получать хорошие характеристики. Простейшая схема транзисторного вен- тиля ИЛИ-HE показана на рис 8.9. Эта схема использовалась в семействе логи- ческих элементов РТЛ (резисторно-тран- зисторная логика), которые из-за низкой стоимости были популярны в 1960-х го- дах, но в настоящее время они совершен- но не употребляются ВЫСОКИЙ уро- вень, действующий по любому входу (или по обоим одновременно), откроет хотя бы один транзистор и на выходе возникнет НИЗКИЙ уровень Поскольку по своей сути такой вентиль является инвертирую- щим, то для того, чтобы получить из него вентиль ИЛИ, к нему нужно добавить инвертор, как показано на рисунке. 8.06. Пример схемы с вентилями Теперь попробуйте создать схему, кото- рая решала бы логическую задачу, при- веденную в качестве примера в гл и 2 Рис. 8 9
Цифровые схемы 505 L R Q S рис. 8.11- vfl0K автомобиля должен включаться, (Огда открыта любая дверь, а водитель сидит в машине Ответ будет очевидным, если сформулировать эту задачу таким Аразом: «На выходе действует ВЫСО- ИИ уровень, если открыта левая ИЛИ травая дверь И водитель сидит в ма- лине», т.е Q = (L + R)S. Как решать эту адачу с помощью вентилей, показано на же. 8.10. Выход ИЛИ имеет ВЫСОКИЙ ровень, когда одна ИЛИ другая дверь или обе вместе) открыты. Если это так И водитель сидит в машине, Q имеет зысокии уровень. Добавив транзистор, южно сделать так, чтобы эта схема ключала гудок или замыкала контакт зеле. В реальных устройствах ключи, кото- Ж) вырабатывают входные сигналы, бычно замыкают цепь на землю. (Это -мается для сокращения монтажных свя- еи, а также по другим причинам, кото- ые. в частности, связаны с использова- лем широко распространенных логичес- Ч элементов типа ТТЛ и вскоре будут Усмотрены.) Это означает, что при от- зыва дверей сигналы на входах будут *ть НИЗКИЙ уровень, т е мы будем четь входы, использующие отрицатель- Мвогик С учетом этого построим для wore примера новую схему, обозначим рВЮды через L . R и Сначала здесь ЯЬо определить, действует ли НИЗ- |уровень на каком-либо из входов R k связанных с дверцами автомо- т.е состояние «оба входа имеют ^соки уровень» нужно отличать от Дрьнь Это выполняется с помощью :Мы И, следовательно сигналы L и R подать на входы вентиля И. Выход Рис. 8.12. будет иметь НИЗКИЙ уровень, когда любой из входов имеет НИЗКИЙ уро- вень. Назовем эту функцию ЛЮБОЙ'. Теперь определим состояние, когда сиг- налы ЛЮБОЙ' и S' имеют НИЗКИЕ уровни, т. е. нужно отличить от остальных состояние, когда «оба входа имеют НИЗ- КИЙ уровень». Эта операция выполня- ется с помощью вентиля ИЛИ. Получен- ная схема показана на рис 8.11. Вместо вентиля ИЛИ мы пользовались вентилем ИЛИ-HE для того, чтобы иметь такой же выход, как и в предыдущей схеме, т.е. ВЫСОКИЙ уровень Q при желаемом со- стоянии. Но здесь произошло что-то странное: по сравнению с предыдущей схемой вместо вентиля И мы воспользо- вались вентилем ИЛИ (и наоборот). Этот случай подробно рассмотрим в разд. 8.07. Упражнение 8.7. Определите, какие функции вы- полняют схемы изображенные на рис. 8.12. Взаимозаменяемость вентилей. При по- строении цифровых схем надо помнить, что из вентиля одного типа можно поле- чить вентиль другого типа. Например, если вам нужен вентиль И, а у вас есть половина стандартной ИМС 7400 (4 И-НЕ на два входа), то вы можете произвести замену, как показано на рис. 8.13. Второй вентиль используется в качестве инвен- тора, в результате этого получается функ- Рис. 8.13.
506 Глава 8 ция И. Лучше понять эту идею вам помо- гут следующие упражнения. Упражнение 8.8. Покажите, как сделать с помощью 2-входовых вентилей: а) НЕ из вентилей ИЛИ-НЕ, б) ИЛИ из вентилей ИЛИ-HE и в) ИЛИ из вентилей И-НЕ. Упражнение 8.9. Покажите, как сделать: а) 3-вхо- довую схему И с помощью схемы И на два входа, б) 3-входовую схему ИЛИ с помощью схемы ИЛИ на два входа, в) 3-входовую схему ИЛИ-HE с помощью схем ИЛИ-HE на два входа, г) 3-входовую схему И с помощью схемы И-НЕ на 2 входа. Путем многократного использования инвентируемого вентиля (например, И-НЕ) одного типа можно реализовать любую комбинационную функцию Одна- ко это не относится к неинвентирующему вентилю так как с его помощью функцию НЕ никаким способом получить нельзя. Именно по этой причине скорее всего вентили И-НЕ получили наибольшее распространение в логических схемах. 8.07 Логические обозначения при заданных уровнях Вентиль И будет иметь ВЫСОКИЙ уро- вень на выходе, когда ВЫСОКИЙ уро- вень будет действовать на обоих его вхо- дах. Если ВЫСОКИЙ уровень обозначает «истину», то «истина» будет на выходе в том случае, если она присутствует на всех входах. Другими словами, при ис- пользовании положительной логики вен- тиль И выполняет логическую функцию И. То же самое касается и вентиля ИЛИ. Что произойдет, если «истину» будет обозначать НИЗКИЙ уровень, как это было в предыдущем примере? Вентиль И даст на выходе НИЗКИЙ уровень, если на тюбом из его входов была «истина» (НИЗКИЙ уровень), но это функпия ИЛИ С другой стороны вентиль ИЛИ сформирует НИЗКИЙ уровень лишь в том случае, если «истина» будет на обоих его входах Но это функпия И1 Какая путаница1 Существует два пути для разрешения этой проблемы. Первый заключается в том, что уяснив себе суть данной задачи цифрового проектирования, разработчик выбирает такой тип вентиля, который позволит получить требуемый выход, что Рис. 8.15. и было сделано нами ранее. Например если вам нужно определить, имеет од один из трех входов НИЗКИЙ уровень используйте 3-входовой вентиль И-НЕ По всей вероятности, этим методом поод. зуется большинство разработчиков циф. ровых схем Следуя этим путем, вы на- чертите вентиль И-НЕ, даже если по от- ношению к своим входам он выполняет функцию ИЛИ-HE (при отрицательной логике). Вероятно, вы при этом обозна- чите входы, как показано на рис. 8.14. В данном примере сигналы сброса- СБРОС (CLEAR'), ОС-ОБЩИЙ СБРОС, MR (master reset) и УСТАНОВКА В «О» (RESET ), поступающие из различных то- чек схемы, будут иметь уровни отрица- тельной логики. Выходной сигнал СБРОС, представленный в положительной логике, будет подаваться на различные устрой- ства, которые должны сбрасываться, если любой из сигналов установки в исходное состояние имеет НИЗКИЙ уровень («ис- тина»). Другой способ решения задачи сигна- лов отрицательной логики состоит в использовании метода «заданных уров- ней». Если вентиль И выполняет функцию ИЛИ, используя на входах отрицатель- ную логику то изобразите его, как пока- зано на рис. 8.15. Вентиль ИЛИ на 3 входа с отрицательными входными сиг- налами аналогичен по выполняемой функции 3-входовому вентилю И-НЕ- Эта эквивалентность представляет собой важ- ный принцип-свойство логических цепей- который называется теоремой Моргана- Ниже мы кратко рассмотрим некоторые полезные соотношения, идентичные nen®- а сейчас вам достаточно знать. чт° можете заменять И на ИЛИ и наоборо- проинвертировав при этом выход й входы На первый взгляд логика заД
Цифровые схемы 507 Q ряс. 8.16. gbix уровней может показаться малоприв- -кательной, поскольку при ее использо- аНни начертание вентилей приобретает данный вид. Но она лучше чем рас- ширенный выше способ, так как логи- еские функции в такой схеме ясно обо- начены, применяя эту схему в течение некоторого времени, вы найдете, что она есьма удобна, и не захотите использо- вать ничего другого. Попробуйте снова решить пример с автомобильной дверцей с помощью логики заданных уровней фие. 8.16). Левый вентиль определяет, югда Тили R имеют «истинное» значение т е. НИЗКИЙ уровень), и вырабатывает выходной сигнал в отрицательной логике. Второй вентиль дает на выходе ВЫСО- Й уровень, если оба входных сигнала L - R) имеют «истинное» значение, т. е. НИЗКИЙ уровень. Согласно теореме Моргана (через некоторое время она вам же не потребуется, так как вы будете дознавать эти вентили как равноценные), первый вентиль представляет собой И, второй вентиль ИЛИ точно так же, как «в ранее изображенной схеме. Следует *1Метить здесь два важных момента: I- Термин «отрицательная логика» не 'Жачает, что логические уровни имеют Питательную полярность. Он лишь го- ори , что «истинное» утверждение опре- деляется тем из двух состояний, которое ’’Мее меньший уровень (НИЗКИЙ). 2- При символическом изображении ^твля предполагается, что он исподь- положительную логику Выполняю- функцию ИЛИ для сигналов отрипа- "льной логики вентиль И-НЕ, может сражаться либо как И-НЕ, либо с Шньзованием логики заданных уровней ^означением в форме ИЛИ с символа- 'й Шверсии на входах (маленькие круж- ™ В последнем случае эти кружки обо- жаю инверсию входных сигналов, ко- Рые поступают на вентиль ИЛИ, ра- ботающий при положительной логике, со- гласно первоначальному определению Примечание. Логические функции И и ИЛИ не следует путать с указанными в законах эквивалентными понятиями. В увесистом томе правил, известном под названием «Слова и фразы», свыше 40 страниц посвящается ситуациям, в кото- рых И можно использовать как ИЛИ Например, «ИЛИ в случае необходимости можно трактовать как И, а И как ИЛИ». Однако это не имеет ничего общего с теоремой Моргана! ТТЛ И КМОП ТТЛ (транзисторно-транзисторная логи- ка) и КМОП (комплементарные МОП-структуры) представляют собой в настоящее время два наиболее распрост- раненных семейства логических элемен- тов Огромное количество ИМС обоих семейств, выполняющих самые разнооб- разные функции, выпускаются по мень- шей мере десятью фирмами. С помощью этих семейств можно удовлетворить все потребности, которые возникают при построении цифровых схем и устройств. Исключение может составить область схем большой степени интеграции (БИС), в которой преобладают МОП-структуры и сверхбыстродействующая логика, в ко- торой господствуют приборы из арсенида галлия и эмиттерно-связанная логика (ЭСЛ). В дальнейшем в основном будут рассматриваться эти семейства. 8.08. Каталог идентичных вентилей В табл. 8.2 приведены идентичные вен- тили. которые существуют в семействах логических элементов ТТЛ и КМОП. Каждый вентиль изображается в своей нормальной форме (для положительной логики), и кроме того, показано, как он выглядит при использовании отрицатель- ной логики В последней строке приве- ден вентиль И-ИЛИ-НЕ. Небольшие пояснения цифровая логи- ка представлена в 10 популярных «субсе- мействах» (КМОП 4000В, 74С 74НС, 74НСТ, 74АС, 74АСТ; И ТТЛ 74LS,

Цифровые схемы 509 4ALS, 74AS, 74F), каждое из которых <п>лняет одни и те же функции и имеют 10рошую совместимость между собой, различаются они по быстродействию, усеиваемой мощности, нагрузочной п0собности и логическим уровням (см Ж. 8.09 и 9.02). Наилучшим для боль- шинства применений является семейство .высокоскоростная КМОП-логика», обо- жаемая буквами НС после цифр 74, апример 74НСОО. Там, где требуется овместимость с существующими бипо- Ярными ТЛ-схемами, вы должны ис- пользовать НСТ (или, возможно, LS)-ce- «ейство. Для простоты мы в дальнейшем з книге будем опускать буквы (и префикс обозначая типы цифровых ИМС с апострофом ('), например '00 для обозна- ения 2-входового вентиля И-НЕ. Отме- тимгчто стандартные элементы ТТЛ (без лих букв) в настоящее время почти пол- остью вышли из употребления. Мы опи- пем интересную историю этих семейств >разд. 9.01. 1.09. Принципиальные схемы вентилей на ИМС 3 обоих семействах (ТТЛ и КМОП) иден- тичные вентили, например И, выполняют .знаковые операции, тем не менее их огические уровни, а также другие харак- ристики (быстродействие, входной ток <т.д.) совершенно различны. В общем зучае нельзя смешивать два типа логи- *?ких семейств. Для того чтобы понять мзличия между ними, рассмотрим прин- ципиальные схемы вентилей И, которые ^ставлены на рис. 8.17. КМОП -вентиль построен на полевых ^ОП-транзисторах обоих полярностей, ’•орыс работают в режиме усиления и ^и^ены как ключи, а не как повторите- Я|0ткрытыи полевой транзистор подо- Ч низкоомному резистору, подключен- к шине питания. Для того чтобы г*РИть последовательно включенную транзисторов Т3, Т4 и закрыть наг- ’*°Цные транзисторы Т, и Т2, на оба ЧКь над подать ВЫСОКИЙ уровень Приведет к тому, что на выходе будет врабатываться НИЗКИЙ уровень, т. е. Рис. 8.17. Маломощный ТТЛШ-венти ib И-НЕ (а); КМОП-вентиль И (о). получается вентиль И-НЕ. Транзисторы Т5 и Т6 образуют простой КМОП-инвер- тор, благодаря которому мы получаем вентиль И. Этот пример показывает как строятся вентили И, И-НЕ, ИЛИ и ИЛИ-HE на любое число входов. Упражнение 8.10. Начертите схему 3-входового КМОП-вентиля ИЛИ. Биполярный LS (маломощная Шоттки технология) ТТЛ-вентиль И-НЕ, в основ- ном содержит диодно-резисторную ло- гику (рис. 8.8), управляющую транзис- торным инвертором, нагруженным на двухтактный выход. Если на обоих входах ВЫСОКИЙ уровень, то через резистор 20 кОМ протекает базовый ток, откры-
510 Глава 8 вающий транзистор Tt, что приводит к появлению на выходе НИЗКОГО уров- ня из-за насыщения Г4 и выключения Дарлингтоновской пары Т2-Т3. Если за- тем на один из входов подать НИЗКИЙ уровень, то транзистор Тх выключится, а на выходе будет ВЫСОКИЙ уровень Диоды и транзисторы с переходами Шот- тки используются для повышения ско- рости переключения. Заметим, что и ТТЛ-, и КМОП-вентили обеспечивают «активный выход» с пита- нием нагрузки от шины положительного источника Рассмотренные выше дискрет- ные вентили этой способностью не обла- дают. 8.10. Характеристики ТТЛ и КМОП Давайте сравним характеристики двух се- мейств: Напряжение питания: +5 В +5% для ТТЛ, в то время как семейства КМОП имеют более широкий диапазон от + 2 до + 6 В для НС и АС, от + 3 до +15 В для серии 4000В и 74С. Семейства НСТ и ACT, разработанные для совместимости с биполярными ТТЛ, требуют напряже- ния питания +5В. Вход Вход вентиля ТТЛ в состоянии НИЗКОГО уровня представляет собой токовую нагрузку для управляющего им источника сигнала (типовое значение 0,25 мА для серии LS), следовательно, для поддержания на входе НИЗКОГО уровня необходимо обеспечить отвод тока. По- скольку выходные каскады схем ТТЛ обладают хорошей нагрузочной способ- ностью, сопряжение между собой элемен- тов ТТЛ не представляет проблемы, но она может возникнуть, когда требуется подключить входы ТТЛ к схемам другого типа. Наоборот, вентиль КМОП не имеет входного тока. Логический порог ТТЛ определяется падением напряжения на двух диодах по отношению к земле (порядка 1,3 В), в то время как для элементов КМОП значение входного порога равно приблизительно половине напряжения питания, но может колебаться в широких пределах (типично от 1/3 до 2/3 напряжения питания) КМОП-семейства НСТ и ACT спросу рованы с низким порогом срабатывав для совместимости с ТТЛ, поскольку б Я полярные ТТЛ не допускают отклонен/ в питании +5 В (см. ниже). Входы КМОП элементов ч в витель ны к статическому электричеству и могут выходить из строя при манипуляциях с ними. В обоих семействах на неиспользуемые входы в зависимости от ситуации следует подавать ВЫСОКИЙ или НИЗКИЙ уровни (далее об этом будет сказано). Выход. Выходной каскад вентиля ТТЛ в состоянии НИЗКОГО уровня ведет себя как насыщенный транзистор, напряжение на котором близко к потенциалу земли, а в состоянии ВЫСОКОГО уровня-как повторитель с высоким выходным напря- жением, равным примерно напряжению питания U + минус падение напряжения на двух диодах. Для всех КМОП-семейств (включая НСТ и ACT) выход представ- ляет собой открытый полевой транзистор, подключенный к земле или к шине пита- ния. Обычно быстродействующие семей- ства (F, AS. AC, ACT) имеют более высо- кую нагрузочную способность, чем мед- ленные (LS, 4000В 74С, НС, НСТ). Быстродействие и мощность Биполяр- ные ТТЛ-семейства потребляют значи- тельный ток покоя-тем больший, чем быстрее семейства (AS и F) при соответ- ствующих скоростях от 25 МГц (для LS) до 100 МГц (для AS и F) Все КМОП-се- мейства потребляют нулевой ток. Однако их рассеиваемая мощность линейно воз- растает с ростом частоты (требуется ток для переключения емкостной нагрузки и КМОП-элементы, работающие на наи- высшей частоте, рассеивают часто такую же мощность, как эквиваленты ТТЛ (рис. 8.18). Диапазон быстродействия КМОП-элементов простирается от 2 Ml (для 4000В/74С при 5 В) до 100 МГп АСТ/АС). J В основном замечательные характер^ тики КМОП-семейств (ничтожная ndP^ ляемая мощность, хорошая помехозащи- щенность) делают эту логику привле^ тельной, и мы рекомендуем семейство для большинства новых проектов. ОДД
Цифровые схемы 511 100 10 0,1 0,001 1 о 1 0 i i. J i У D 0 0 Усоверш. маломощные Шоттки(ALS) 74C-V । - Быстродейст кмопсттл- порогом (нет 0,01- , Скоростные (F), у совершенств 'Г Шоттки (А5)____________ Маломощные Шоттки (LS) Усоверш. кмоп с ТТЛ-порогом (AtT) сн= 5ОпФ MfeOl ---L_---L 1-----.-1 1 I "**(, 103 104 10° О6 1Щ 108 Частота,Гц Рис. 8.18. Зависимость мощности рассеивания от 0СТОТЫ уи увеличении бы родеиствия испо; ь тите семейство АС; для широкого диапа она питания где не нужна высокая ско- «сть используйте 4С или 4000В ис- юльзуйте НСТ (или даже LS) для совмес- ’имости с биполярными ТТЛ-выходами, ели вам не нужно оыстро еис вие ACT или AS или F). В некоторых примене- шях с высокой пло ностью размещения память, микропроцессоры), КМОП- стройства предпочтительней, ввиду их вносите ьно высокой мощности рассел- яя. А для сверхвысокоскоростных при- менении (выше 100 МГц) вы вынуждены пользовать ЭСЛ-элементы, которые Работаю • до частот 500 МГц, или ис- о.тьзовать приборы из арсенида галлия, °торые функционируют до 4 ГГц. Смо- рите разд. 14.15 и табл 9.1 для полного । ’Суждения логических КМОП. I о ппеде iax одного логического семей- выходы элементов легко стыкуются входами и обычно не стоит беспо- юИться о пороговых уровнях входном 5е и т. п Например, выходы элементов действ ТТЛ или КМОП могут работать • Менее чем на 10 входов (характерис- носит название коэффициента раз- Ьвнмя по выходу: для ТТЛ коэффи- разветвления по выходу равен 10), Чое образом, для обеспечения совмес- ти не требуется применение спе- циальных мер В следующей главе будут рассмотрены вопросы сопряжения между различными логическими семействами, а также между логическими схемами и внешними устройствами. 8.11. Элементы с тремя состояниями и с открытым коллектором Вентили ТТЛ и КМОП, которые мы сей- час рассма риваем, имеют двухтактные выходные схемы: высокий или низкий уровень подается на выход через откры- тый биполярный или МОП-транзистор Такую схему называемую активной на- грузкой, а в ТТЛ называемую также стол- бовым выходом, используют почти все логические элементы. Схема обеспечивает низкое выходное сопротивление в обоих состояниях, имеет малое время переклю- чения и обладает более высокой помехо- устойчивостью по сравнению с одиноч- ным транзистором, который использует пассивный резистор в качестве коллектор- ной нагрузки. В случае КМОП примене- ние активного выхода, кроме всего про- чего, позволяет понизить рассеиваемую мощность Но существуют ситуации, при которых активный выход оказывается неудобным Представим себе компьютерную систему, в которой несколько функциональных блоков должны обмениваться данными. Центральный процессор (ЦП), память и различные периферийные устройства должны иметь возможность передавать и получать 16-разрядные слова. И мягко говоря, было бы неудобно использовать для соединения каждого устройства с каждым индивидуальный 16-жильныи ка- бель Для разрешения этой проблемы используется так называемая шина (или магистраль) данных, т.е. один 16-жиль- ный кабель доступный для всех устройств Такая структура аналогична телефонному каналу коллективного пользования в каждый момент времени «говорить» («пе- редавать данные») может только одно устройство, а остальные могут только «слушать» («принимать данные»). Если используется шинная система, то необходимо иметь соглашение о том,
512 Глава 8 кому разрешено «говорить». В связи с этим употребляются такие термины, как «арбитр шины», «задатчик шины» и «управление шиной». Для возбуждения шины нельзя исполь- зовать вентили (или другие схемы) с ак- тивным выходом, так как их нельзя от- ключить от общих информационных ли- ний (в любой момент времени выходы устройств, подключенные к шине, будут находиться в состоянии высокого или низкого уровня). В этом случае необхо- дим вентиль, выход которого может на- ходиться в «обрыве», т. е. быть отключен- ным. Такие устройства выпускаются про- мышленностью и имеют две разновид- ности. которые носят названия «элементы с тремя состояниями» и «элементы с от- крытым коллектором». Логические схемы с тремя состояниями. Логические элементы с тремя состояния- ми, также называемые TRI-STATE (то- варный знак National Semiconductors Corp., создавшей их) представляют эле- гантное решение. Название этих схем мо- жет ввести в заблуждение, поскольку на самом деле они не являются логическими элементами с тремя уровнями напряже- ний. Это обычные логические схемы, ко- торые имеют третье состояние выхода- «обрыв» (рис. 8.19). Они имеют отдель- ный вход разрешения, с помощью кото- рого могут устанавливаться либо в со- стояние обычных активных выходов, либо переходить в «третье» (обрыва) состояние независимо от того, какие сигналы при- сутствуют на других входах Выходы с тремя состояниями имеются во многих ИМС счетчиках, защелках, регистру т.п., а также в вентилях и инверторах И Устройство с выходом на 3 состоят, функционирует подобно обычной логик с активным выходом, когда подан сигна6 разрешения, при этом на выходе сущ^ вует либо высокий, либо низкий уровень Когда на входе разрешения пассивный уровень, схема отключает свой выход, Так что другие устройства могут работать на ту же самую линию. Давайте рассмотрим это на примере Взгляд вперед: шины данных. Драйверы с тремя состояниями широко исполь- зуются для возбуждения шины данных компьютера. Каждое устройство (память периферия и т. п.), которому необходимо выставить данные на шину, связывается с ней через вентили с тремя состояниями (или через более сложные элементы, та- кие, как регистры). Дела так умно устраи- ваются, что только одно устройство вы- дает разрешение своим драйверам (фор- мирователям), все другие устройства, по- лучив запрет, переходят в третье состоя- ние Обычно выбранное устройство «узнает» о том, что оно должно выдавать данные на шину, опознав свой адрес на адресных и управляющих шинах (рис. 8.20). В этом наипростейшем случае устройство подключается как порт 6. Получив свой адрес (например, 6) и импульс чтения, устройство выводит данные на шину Do-D3. Такой шинный протокол исполь- зуется для многих простых систем. Нечто подобное имеет место в большинстве микрокомпьютеров, как мы увидим в гл. 10 и И Рис. 8.19. КМОП-вентиль И-НЕ с 3-м состоянием: а-поясняющая схема; б-реализация с использо! внутренних КМОП-вентилей
Цифровые схемы 513 Данные Адрес Чтение Заметим что должна быть некоторая вешняя логика, которая обеспечивала адежность того, что устройства с тремя остояниями, подключенные к одним и см же выходным линиям, не будут пы- аться передавать в одно и то же время по равносильно условию официально взываемому «соглашение шины»). В том случае все хорошо, когда каждому стройству соответствует свой адрес. Логика с открытым коллектором. Шипественником логики с 3 состояниями ила логика с открытым коллектором, вторая позволяет вам подключиться к ^ночной линии среди других выходов скольких формирователей Выход с Чрытъл коллектором просто не вклю • транзистор активной нагрузки в вы- йном каскаде (рис. 821). Название «от- коллектор» превосходно Когда •Используете такие вентили, вы должны ^почать к источнику питания внеш- “ нагрузочный резистор Его значение критично при малых значениях резне обеспечиваются повып енные быст- Зействие и помехоустойчивость однако рЫщаегся рассеиваемая мощность и на Д**1Ныи ток выходного каскада Для |штит< ..ыми яв яются начения в пре- Нах от нескольких сотен до нескольких ч тысяч ом. Если вы захотели возбуждать шину с помощью вентилей с открытым коллектором (рис. 8.20), вы должны за- менить драйверы с тремя состояниями на двухвходовые вентили И-НЕ с открытым коллектором, подключив один выход каждого вентиля к высокому уровню раз- решения подключения к шине. Заметим, что данные на шине при этом включении Рис. 8.21. Маломощный ТТЛШ-вентиль И-НЕ с открытым коллектором
514 Глава 8 Рис. 8.22. + 15 В Земля будут инвертированы. Каждую линию шины необходимо через нагрузочный ре- зистор подключить к +5В К недостат- кам логики с открытым коллектором сле- дует отнести пониженные быстродействие и помехоустойчивость по сравнению с обычными схемами использующими ак- тивную нагрузку. Вот почему драйверы с тремя состояниями являются основ- ными для реализации шин в компьюте- рах. Однако существуют три ситуации, в которых вы должны использовать устройство с открытым коллектором: управление внешними нагрузками, «про- водное ИЛИ» и внешние шины. Давайте рассмотрим их внимательно. Управление внешней нагрузкой. Логика с открытым коллектором является при- годной для управления внешней нагруз- кой, которая подключается к источнику положительного напряжения, превышаю- щего напряжение питания ИМС. Может, в частности, потребоваться включить ма- ломощную 12-вольтовую лампочку или сформировать логический перепад 15 В с помощью резистора, установленного между выходом вентиля и источником + 15 В (рис. 8.22). Например, схема ’06 представляет собой шесть инверторов с максимальным выходом +30 В, а схема КМОП 40107 представляет собой сдвоен- ный И-НЕ-буфер с открытым коллекто- ром с выходным током до 120 мА. Серия 75450 «сдвоенных периферийных драй- веров» может выдавать в нагрузку ток до 300 мА при напряжении питания + 30 В, а серия UHP/UDN фирмы Sprague рас- ширяет эти пределы до 1 А и до 80 В. Более подробно об этом в следующей главе Проводное ИЛИ. Если вы объедините вместе несколько вентилей с открытым коллектором, как показано на рис. 8.23, то получите так называемую схему «про- Рис. 8.23. Монтажное ИЛИ водное ИЛИ», соединение, которое ведет себя подобно большому вентилю И-НЕ. выдающему на выходе низкии уровень, если какой-либо вход имеет высоким уро- вень. Такое объединение недопустимо при использовании схем с активной нагрузкой из-за возникновения режима соперничест- ва, если между всеми вентилями не будет согласовано, каким должен быть выход- ной сигнал. Объединять можно схемы ИЛИ-HE, И-НЕ и т п Это соединение также иногда называют «проводное И», поскольку высокий уровень на выходе возникает лишь тогда, когда он действует на выходе каждого вентиля (состояние разомкнутого, или открытого выхода. Оба этих названия описывают одну и ту же схему, которая представляет собой проводное И при положительной логике и проводное ИЛИ - при отрицательной. Это будет более понятно для вас, когда вы узнаете о теореме Моргана в следую- щем разделе. Проводное ИЛИ пользовалось скоро- течной популярностью в ранние дни пиф ровой электроники но и сегодня оно ис- пользуется довольно редко за двумя исключениями а) в логических семейст- вах, известных как ЭСЛ (эмитт ерсвязанная логика, выходы у которой можно назвать «открытый эмиттер»), элементы могут безболезненно объединяться по провод ному ИЛИ и б) существуют неск льК частных линии в компьютерных (наиболее значительная линия называет^ прерывание), функциями которых ляются не передача информационных
Цифровые схемы 515 а прос о индикация того, что хотя бы одно устройство требует внимания В эТом случае вы используете проводное ДОЛИ, поскольку оно дает то, что вы хотите, и не требуется дополнительной внешней логики для предотвращения спо- ров. Внешние шины. В приложениях, где ско- рость не очень важна, вы иногда видите драйверы с открытым коллектором, используемые для возбуждения шин Наи- более частый случаи для шин-это выдача данных из компьютеров Общими приме- рами являются шины, используемые для связи компьютера с дисководом, и инст- Лментальная шина IEEE-488 (также на- зываемая “HPIB” или “GPIB”). Подроб- нее об этом в гл. 10 и 11. КОМБИНАЦИОННАЯ ЛОГИКА Как мы обсуждали ранее в разд 8 04 цифровые логические схемы разделяются на комбинационные и последователь- ностнь е (последовательные). Комбина- ционные схемы-это такие логические устройства в которых состояние выхода зависит только от текущего состояния их выходов в некотором предопределенном виде Выходное состояние последователь аостной схемы определяется как состоя- ние входов на данный момент, так и ее , приыдущим состоянием. Комбинацион- ные схемы могут быть построены с при- менением одних лишь вентилей, в то время как последовательностные схемы фебуют наличия памяти в какой-либо ?орме (триггер) В последующих разделах мы рассмотрим возможности комбина- йонн »и логики, после чего отправимся ‘ беспокойный мир последоватетьност- V схем. wl Логические тождества ®бое обсуждение комбинационной ло- будет неполным, если мы не рас- ,!м°трим логические тождества, представ- пений ^•Чьшинство очевидны, а два последних Жавляю г теорему Моргана, наиболее Ийую для построения схем. Таблица 8.3. Логические тождества АВС = (АВ)С = А(ВС) АВ — ВА АА = А А1 =А А0 = 0 А(В+ Q = АВ + АС А+ АВ = А А + ВС = (А + В)(А + С) А+В+С = (А+В) + С = А+(В + С) А + В=В+ А А + А = А А + 1 = 1 А + 0 = А 1' = 0 0'= 1 А + А' = 1 АА' = 0 (А')'= А А+АВ=А+В (А + В)' = АВ (АВ)’ = А' + В' Пример: вентиль Исключающее ИЛИ. На следующем примере проиллюстри- руем использование логических тождеств: попробуем построить схему Исключаю- щее ИЛИ с помощью обычных вентилей. Таблица истинности для Исключающего ИЛИ представлена на рис 8 24 Изучив ее и поняв, что 1 на выходе существует только тогда, когда (А, В) = (0,1) или (1,0), мы можем написать А © В = АВ + АВ Соответствующая схемная реализация представлена на рис. 8.25. Однако эта А В А<+)В оо о о 1 1 1 о 1 1 1 о Рис. 8.24. Таблица истинности вентиля Исключающее ИЛИ
516 Глава 8 Рис. 8.26. Реализация вентиля Исключающее ИЛИ. реализация не является единственной. Используя логические тождества, мы на- ходим, что А © В = АА + АВ + В А + ВВ (АА = ВВ-0) = А(А + В)+ В(А + В) = А (АВ) + В (ВА) = (А + В) (АВ) (На первом шаге мы прибавили две величины, равные нулю, а на третьем применили теорему Моргана). Схемная реализация для этого случая показана на рис. 8 26 Существуют и другие способы построения схемы Исключающее ИЛИ. Рассмотрим следующие упражнения: Упражнение 8.11. Покажите, что А@В=АВ + АВ А@В = (А + В)(А + В) с помощью логических преобразований В спра- ведливости этих соотношений легко убедиться, просмотрев таблицу истинности. Упражнение 8.12. Чему равны следующие соотно- шения: а) 0 -1, 6)0+1, в) 1-1, г) 1 + 1, д) А(А + В). е) А (А' + В), ж) А ф А, з) А ф А’ ? 8.13. Минимизация и карты Карно Поскольку логическую функцию, даже такую простую, как Исключающее ИЛИ, можно реализовать различными спосо- бами, часто бывает нужно найти для нее самое простое решение, или, возможно, наиболее удобное схемное решение. Над этой проблемой бились многие светлые умы и в настоящее время существует не- сколько способов ее разрешения, включая алгебраические методы, реализуемые с помощью ЭВМ. При числе входов, не превышающем четырех, наилучшим ме- тодом является составление карты Карц0 Этот метод позволяет также найти логи- ческое выражение (если оно заранее неиз- вестно) по таблице истинности. Проил- люстрируем этот метод с помощью при- мера Предположим что требуется ц0. строить схему для мажоритарного под. счета голосов при баллотировке. Будем считать, что имеются три входа, рабо- тающие в положительной логике (на лю- бом из них может быть 1 или 0) и выход (О или 1). Выход равен 1, если 1 присутствует не менее чем на двух входах. Шаг 1. Составим таблицу истинности ABC Q ООО о 0 0 1 о 0 10 0 0 11 1 10 0 0 10 1 1 110 1 111 1 Здесь должны быть представлены все возможные сочетания и соответствующие им состояния выхода (или выходов). В том случае когда состоящие входа не оказывает влияния на выход, ставится X (любое значение). Шаг 2. Составим карту Карно. Она представляет собой нечто очень близкое к таблице истинности, но содержит пере- менные, которые расположены по двум осям. Переменные должны быть распо- ложены таким образом, чтобы при пере- ходе от каждого квадрата к соседнему менялось бы состояние только одного входа (рис. 8.27). Шаг 3. Отметим на карте группы, со- держащие 1 (можно также использовать и группы, содержащие 0). Три овала на рис. 8.27 определяют логические выра«е' ния АВ, АС и ВС Далее получим тре- 00 ! 01 | 11 10—Слепите за Рис. 8.27. Карта Карно
Цифровые схемы 517 рис. 8.28 Рис. 8.30. буемую функцию q = АВ АС + ВС, схемная реализация ее показана на рис. 8.28. Этот результат кажется оче- видным, когда он уже получен. Можно было бы составить выражение для нулей и вместо этого получить = +АС + ВС. Это выражение может оказаться полез- ным для случая, когда в каких-либо точ- ках схемы имеются дополнения А', В1 и С. Некоторые комментарии к картам Кар- во. 1. Ищите группы, содержащие 2, 4, 8 и т.д. квадратов. Они имеют простые ло- гические выражения. 2. Логика будет тем проще, чем круп- нее блок вы опишете. 3. Состыкуйте края карты Карно. На- пример карта на рис. 8.29 описывается выражением Q = В С. 4 Блок «единиц», содержащий один или два «нуля», лучше всего описывается - помощью группировки, показанной на Ж- 830 Этому блоку соответствует логическое выражение Q = A (BCD У . 5. Места содержащие X (любое значе- ?Вс. 8 29 ние), представляют собой «карт-бланш». Записывайте в них «нули» или «единицы» так, чтобы можно было получить прос- тейшую логику. 6. Карта Карно может и не привести к лучшему решению Иногда более слож- ное логическое выражение имеет более простую схемную реализацию, например в случае, когда некоторые члены выраже- ния уже сформированы схемой в виде логических сигналов, которые можно использовать в качестве входных. Кроме того, реализации Исключающего ИЛИ не очевидны из карты Карно. Наконец, при выборе логической структуры схемы определенную роль играют ограничения, связанные с конструкцией ИМС (напри- мер, когда в одном корпусе содержатся четыре 2-входовых вентиля). Когда используются такие программируемые логические устройства как ПМЛ для конструирования логических функций, внутренняя структура (программируемые вентили И и фиксированные вентили ИЛИ) сдерживает реализацию, которая могла бы быть применена. Упражнение 8.13. Нарисуйте карту Карно для ло- гики, которая позвотит определить, является ли 3-раз- рядное двоичное число «главным», считая при этом, что главными не являются числа 0. 1 и 2. Дайте схемную реализацию на 2-входовых вентилях. Упражнение 8.14. Найдите логическое выражение с помощью которого можно было бы умножить два 2-разрядных двоичных числа и получить 4-разрядный результат. Рекомендапии: для каждого выходного бита пользуйтесь отдельными картами Карно. 8.14. Комбинационные функциональные схемы, реализованные на стандартных ИМС С помощью карт Карно можно построить логику, чтобы выполнять достаточно
518 Глава 8 Рис. 8.31. Счетверенный 2-входовый селектор. сложные функции, такие, как, например, двоичное сложение и сравнение величин, контроль по паритету, мультиплексирова- ние (выбор одного из нескольких входов, который определяется двоичным адре- сом) и т п В реальности сложные функ- ции, которые используются наиболее час- то, реализуются в виде функциональных ИМС средней степени интеграции (до 100 вентилей в корпусе) Хотя в состав многих из этих СИС входят триггеры, которые мы скоро будем рассматривать, боль- шинство из них выполняют чисто комби- национные функции и состоят целиком из одних вентилей. Давайте посмотрим, «какие звери населяют зоопарк, именуе- мый комбинационные интегральные схе- мы средней степени интеграции.» Счетверенная 2-входовая схема выбор- ки. Весьма полезным устройством являет- ся счетверенная 2-входовая схема выбор- ки Она фактически представляет собой 4-полюсный двухпозипионныи переклю чателъ логических сигналов. Основная идея такого переключателя иллюстри- руется рис 8.31 Когда вход ВЫБОР (SELECT-SEL на рисунке) имеет низкий уровень, сигналы на выходах Q посту- пают с соответствующих входов А, при высоком уровне на входе ВЫБОР-со входов В Когда высокий уровень дейст- вует на входе РАЗРЕШЕНИЕ (ENABLE-E на рисунке), все выходы устройства при- нудительно устанавливаются в состояние низкого уровня Несколько позже мы рас- смотрим эту важную идею более подроб- но, а сейчас приведем лишь таблицу истинности, в которой X означает, ЧТо состояние данного входа не имеет значе- ния, В-высокий уровень, Н-низкий ур0. вень Входы Выходы Е' SEL Ап Bn Qn Н X X X L L L L X L L L Н X Ц L Н X L L L Н X Н Н Схема на рис 8.31 и ее таблица истин- ности соответствуют схеме '157 Та же самая функция реализуется также с ин- версным выходом ('158) и с выходом на 3 состояния (прямые выходы; '257; инверс- ные '258). Упражнение 8.15. Покажите, как с помощью венти- лей И-ИЛИ-НЕ построить 2-входовую схему выбор- ки Хотя в некоторых случаях функцию выборки можно реализовать с помощью механического переключателя, тем не менее по ряду причин предпочтительнее использовать вентили Вентильная схема обладает следующими преимуществами: а) она дешевле; б) коммутация всех ка- налов производится быстро и одновре- менно; в) с помощью логических сигна- лов, сформированных в устройстве, мож- но производить переключение практичес- ки мгновенно; г) даже тогда, когда управление выборкой осуществляется от переключателя, расположенного на перед- ней панели устройства, для того чтобы избежать воздействия помехи и снижении уровней за счет вияния емкостей, чоги- ческие сигналы лучше не пропускать через кабели и переключатели Так как изби- раемый вентиль отпирается уровнем по- стоянного напряжения, логические сиг- налы управления могут быть взяты с той же платы, на которой он расположен. Эт° позволяет сократить внешние связи ДоС таточно одной линии с нагрузкой, к°м мутируемой на землю с помощью оДй° полюсного тумблера). Такой сп°с управления логической схемой с мощью внешних уровней постоянного пряжения называют «холодной комму
Цифровые схемы 519 цией» Он оказывается более предпочти- тельным чем непосредственное управде- ле сигналами от ключей, потенциомет- ров и т.п. Кроме прочих преимуществ холодная коммутация позволяет вести управляющие линии, шунтированные кон- денсаторами, подавляя тем самым взаим- ные наводки, в то время как сигнальные зинии в общем случае шунтировать кон- денсаторами нельзя Некоторые примеры холодной коммутации нам еще встре- тятся в дальнейшем. Передающие вентили. Как уже указы- валось в разд. 3.11 и 3 12, с помощью элементов КМОП можно построить «передающий вентиль» Это-два парал- лельно включенных комплементарных ключа на полевых МОП-транзисторах, через которые входной (аналоговый) сиг- нал, лежащий в пределах от 0 до 17сс, может либо непосредственно подаваться на выход через низкое сопротивление (не- сколько сотен омов), либо отрываться (выходное сопротивление фактически рав- но бесконечности). Как вы, наверное, помните, такие устройства являются дву- направленными и для них не имеет значе- ния какой из выходов используется в качестве входа, а какой в качестве выхода. Передающие вентили прекрасно работа- ют с цифровыми уровнями КМОП и ши- роко применяются в КМОП-схемах. На рис 8.32 показана структурная схема счетверенного двухстороннего КМОП- ключа типа 4066. Каждый ключ имеет индивидуальный управляющий вход, вы- сокий уровень на котором замыкает ключ, а низкий-размыкает. Отметим, что пере- ®ощие вентили являются просто клю- ймц и поэтому не обладают способно- гью к разветвлению по выходу, т. е они просто пропускают входной логический 8.32. Счетверенный двусторонний ключ Рис. 8.33. 8-входовый мультиплексор. уровень, не обеспечивая дополнительную нагрузочную способность без дополни- тельной возможности усиления С помощью передающих вентилей можно построить схемы выборки на 2 и более входов для цифровых уровней КМОП и аналоговых сигналов. Связку передающих вентилей можно использо- вать для того, чтобы производить выбор одного из нескольких входов (вырабаты- вая управляющие сигналы с помощью дешифратора, как будет показано ниже). Эта логическая функция настолько широ- ко используется, что получила официаль- ное название «мультиплексора», который будет рассмотрен в следующем разделе. Упражнение 8.16. Покажите, как с помощью пере- дающих вентилей построить схему выборки на два входа. Здесь нужно использовать инвертор Мультиплексоры. Вентиль выборки на два входа известен также под названием 2-входового мультиплексора Промыш- ленностью выпускаются также мультип- лексоры на 4. 8 и 16 входов (устройства на 4 входа выпускаются сдвоенными, т е по 2 в одном корпусе). Двоичный адрес слу- жит для выбора входа, сигнал с которого должен поступать на выход. Например, мультиплексор, имеющий 8 информа- ционных входов, использует для адреса- ции к ним 3-разрядный адресный вход. Это показано на рис 8.33, где представ- лен цифровой мультиплексор типа 151 Он имеет стробирующий (или разрешаю- щий) вход Е, работающий в отрицатель- ной логике, а также прямой и инверсный
520 Глава 8 выходы. Если устройство закрыто (на входе Е действует высокий уровень), выход Q будет иметь низкий уровень, a Q- высокий независимо от состояния адресных и информационных входов. В семействе КМОП имеются два типа мультиплексоров Первый применяется только для работы с цифровыми сигна- лами, имеет входной порог и регенери- рует на выходе «чистые» уровни, которые соответствуют входному состоянию. Та- ким же образом работают все функцио- нальные элементы ТТЛ. Примером является микросхема Т53-ТТЛ-мульти- плексор. К другому типу устройств отно- сятся аналоговые и двунаправленные КМОП мультиплексоры, которые факти- чески представляют собой набор пере- дающих вентилей, КМОП-мультиплек- соры 4051 и 4053 работают таким обра- зом (помните, что логика, выполненная из передающих вентилей, не может развет- вляться). Так как передающие вентили являются двунаправленными, эти муль- типлексоры могут использоваться в ка- честве «демультиплексоров или дешифра- торов», которые мы рассмотрим в сле- дующем разделе. Упражнение 8.17. Покажите, как построить муль- типлексор на 4 входа, используя а) обычные вентили б) вентили с тремя состояниями и в) передающие вентили. При каких обстоятельствах будет предпоч- тительным вариант в)9 Иногда при разработке логических устройств может оказаться, что потре- буется производить набор из большего числа входов, чем имеются в мультиплек- соре Этот вопрос относится к общей задаче расширения микросхем, которое заключается в использовании нескольких микросхем с небольшими индивидуалы ными возможностями, и применяется ддя построения дешифраторов, памяти ре. гистров сдвига, арифметически-логичес- ких и других устройств. Как видно из рис. 8.34, расширение выполняется очень просто. Здесь показано, как имея дВа мультиплексора на 8 входов 74LS51 по- строить мультиплексор на 16 входов Конечно, в схемах имеется дополнитель- ный адресный бит, который вы исполь- зуете для выбора одного устройства или другого. На невыбранном мультиплек- соре '151 выход Q поддерживается на низком уровне, что позволяет произвести объединение через вентиль ИЛИ. Если выходы имеют три состояния, то расши- рение производится еще проще: для этого достаточно непосредственно объединить выходы. Демультиплексоры и дешифраторы. Входной сигнал принимается демульти- плексором и направляется им на один из нескольких выходов в соответствии с двоичным кодом, действующим на адрес- ных входах Остальные выходы в этом случае находятся либо в неактивном сос- тоянии, либо в состоянии разомкнутой цепи Аналогично работает и дешифра- тор Единственное отличие состоит в том, что на входы подается только адрес, воз- буждающий один из п возможных выхо- дов На рис 8.35 показан такой пример^ Дешифратор 138-"1 из 8" имеет низкий Рис. 8.34. Наращивание мультиплексора.
Цифровые схемы 521 Рис 8.35. Дешифратор «1 из 8». уровень на выходе, который соответст- вует входному 3-разрядному коду (адре- су), а на остальных выходах - высокий уровень В частности этот дешифратор имет три входа разрешение, все из кото- рых должны быть активны (два-низкого и один-высокого уровня), иначе все вы- ходы имеют высокий уровень. Основное применение дешифратора-заставить происходить различные события, которые зависят от состояния «счетчика», который управляет этим (скоро об этом тоже по- говорим подробно). Дешифраторы обычно используются при сопряжении с микропроцессором, когда необходимо выполнить различные действия в зависимости от адреса. Мы будем рассматривать это детально в гл. 10. Другим применением общего исполь- зования дешифратора является организа- пия (разрешение) последовательности действий, согласно достигнутого адреса, заданного выходом двоичного счетчика (разд. 8.25). В заключение, о «брате» схе- иы 138-схеме 139, которая представляет шоеннь дешифратор "1 из 4 с раз- дельным одиночным разрешением по низ- кому уровню. На рис. 8.36 показано как использовать два дешифратора 1 из 8' 138 для получения дешифратора "из 16 . Как видно из рисунка, при этом Ве требуются внешние элементы, посколь- J схем 138 имеет входы разрешения полярностей (низкого и высокого 'Ровней). *пРа*1:ени 8.18. Бо ьшое расширени построй г -Т^Фратор 1 из 64" на девяти устройствах '138. вмазка используйте один из них для разрешения ^"•Почения других В КМОП-логике мультиплексоры, ко- торые используют передающие вентили, также являются демультиплексорами по- скольку передающие вентили являются двунаправленными Когда они исполь- зуются таким образом, важно сознавать, что выходы, которые не выбраны, отклю- чены Нагрузочный резистор, или эквива- лентный ему, должны быть использованы для обеспечения правильного функциони- рования логики с такими выходами (те же самые требования, что и с ТТЛ-венти- тями с открытым коллектором). Существует другой тип дешифраторов, который обычно входит в состав всех логических семейств Примером такого дешифратора служит преобразователь двоично-десятичного кода в семисегмент- ный с формирователями для управления цифровым индикатором 47. Эта схема в соответствии с двоично-десятичным кодом, действующим на входе, форми- рует сигналы на всех выходных линиях, связанных со входами семисегментного цифрового индикатора, воспроизводя- щего десятичный символ Устройство такого типа фактически является преоб- разователем кодов, но в обычной прак-
522 Глава 8 тике используется название дешифратор В табл. 8.6 в конце главы представлено большинство применяемых дешифрато- ров Упражнение 8.19. Используя вентили, постройте преобразователи двоично-десятичого кода в десятич- ный ("1 из 10"). Приоритетный шифратор. Приоритет- ный шифратор формирует на выходе двоичный код, соответствующий входу с наивысшим номером (приоритетом), который возбуждается Наиболее часто эта схема используется в аналого-цифровых преобразователях с паратлельным преобразованием (см сле- дующую главу) и в проектировании мик- ропроцессорных ситем Примерами устройств такого типа являются схема 148 -8-входовыи (3 вы- ходных разряда) приоритетный шифратор и схема '147-10-вход овый. Упражнение 8.20. Спроектируйте простейший де- шифратор, у которого 2-разрядный адрес на выходе будет указывать, какой из четырех входов имеет высокий уровень (все остальные входы должны иметь низкий уровень). Сумматоры и другие арифметические устройства. На рис 8.37 изображен 4-раз- рядныи полный сумматор Он прибавляет 4-разрядное двоичное число А х к 4-раз- рядному числу В и вырабатывает на вы- ходе 4-разрядную сумму S, плюс разряд переноса Пвт. Для суммирования боль- ших величин сумматоры можно наращи- вать. Для этой цели предусмотрен вход Пвх, на который поступает выходной сиг- нал переноса от предыдущего (младшего) сумматора На рис. 8.38 показано, как строится схема для суммирования двух 8-разрядных двоичных чисел. Вход - переноса Рис. 8.37. 4-разрядный полный сумматор. 9-| азрядная сумма (выход) Рис. 8.38. Наращивание сумматора. Часто в качестве сумматоров исполь- зуются арифметико-логические устройст- ва (АЛУ) Эти устройства фактически предназначены для выполнения целого ряда различных функции В частности, 4-разрядная АЛУ '181 (с возможностью расширения для обработки слов б( льшей длины может выполнять сложение вычи- тание, сдвиг двоичных разрядов, сравне- ние ве личин и некоторые другие функции. Время выполнения арифметических опе- рации в сумматорах и АЛУ находится в пределах от наносекунд до десятков наносекунд в зависимости от типа логи- ческого семейства Итегральные умножители выпускаются в конфигурациях 8 бит х 8 бит или 16 бит х 16 бит. Разновидностью умно- жителей, которые в основном исполь- зуются для цифровой обработки сигна- лов, являются так называемые умножите- ли-накопители, которые накапливают сумму произведений. Они также выпол- няются в размерах 32 х 32 с 64-бито ым произведеием плюс несколько дополни- тельных бит для сохранения суммы оТ переполнения Умножится и-накопители и умножители выпускаются с временем 25-50 нс; у ЭСЛ-умножителей время меньше — 5 нс (тип.) для умножитеЛ 16 х 16* * Другим арифметическим устройств которое используется в цифровой обра- ботке сигналов, является коррелятор, ко- торый сравнивает соответствующие биты двух цепочек битов, вычисляя число сов- равших битов. Типовой интегральный коррелятор сравнивает два 64-разрядных которые могут сдвигаться во внутренних регистрах сдвига Какой-либо набор бит (Ожет игнорироваться («маскироваться») корреляции Типовые времена состав- ляют 30 нс, т. е. лента бит може такти- роваться с частотой 35 МГц, с разреше- нием 7 бит в корреляции для каждого такта. Вычисляется отклонение (как в цифровом фильтре с КИХ) вместо суммы (с переносом) попарносвязанных произве- дении двух цепочек целых чисел. Типич- ные размеры-целые числа от 4 до 10 бит при длине от 3 до 8 слов (конечно, име- ющие возможность расширения) Наиболее сложными арифметическими кристаллами являются процессоры с пла- вающей запятой, которые осуществляют сравнение, суммирование умножение, вы- числение тригонометрических функции, экспонент и корней. Обычно они исполь- зуются совместно с определенными микропроцессорами и приспособлены для работы в стандарте, известном как IEED754, который определяет размеры слов (до 80 бит), формат и т д Приме- рами таких устройств (плюс основные микропроцессоры) являются схемы 8087 (80848), 80287 (80286), 80387 (80386) и 68881 (68020 30). Они имеют т еиствитель но ошелом яющую производит льнос ь в 10 Мегафтопс миллион операции с пла- вающей запятой в секунду) или более. Компараторы Н i рис 8 39 показан ^•разрядный компаратор чисел, который определяет относительные значения чисел ^В^и вырабатывает на выходе сигналы Вход А Вход В 8.39 К мпар Цифровые схемы 523 результатов сравнения А < В, А = В и А > В. Входы допускают наращивание для обработки чисел длиной более 4 бит. Упражнение 8.21. Сконструируйте компаратор, используя вентили «исключающее или», который будет сравнивать 4-разрядные числа Л и В и опреде- лять, когда А = В Схема формирования и контроля бита паритета. Это устройство предназначено для выработки паритетного бита кото- рый добавляется к информационному «слову» при передаче (или записи) дан- ных а также для проверки правильности паритета при восстановлении этих дан- ных. Паритет может быть четным или нечетным (при нечетном паритете для каждого символа общее число битов (раз- рядов), содержащих 1, нечетно) Напри- мер, формирователь паритета 1280 при- нимает 9-разрядное слово и в соответст- вии с состоянием управляющего входа вырабатывает на выходе четный или не- четный бит. Конструктивно схема пред- ставляет собой набор вентилей «исклю- чающее или». Упражнение 8.22. Подумайте, как сделать форми- рователь паритета, используя вентили «исключающее или». Программируемые логические устройст- ва. Вы можете строить ваши собственные комбинационные (и даже последователь- ные) логические схемы на кристалле используя ИС, которые содержат массив вентилей с программируемыми перемыч- ками Существуют несколько вариантов таких устройств из которых наиболее популярными являются ПМЛ (програм- мируемая матричная логика-PAL) и ПЛМ (программируемая логическая мат- рица-PLA). ПМЛ, в частности, стали крайне недорогими и гибкими устройст- вами, которые должны войти как часть инструмента каждого разработчика Мы будем описывать комбинационные ПМЛ в следующем разделе. Некоторые другие незнакомые функции. Существует много других комбинацион- ных схем средней степени интеграции представляющих несомненный интерес. Например, в семействе КМОП есть схе- ма-«мажоритарная логика», которая го- ворит, что возбуждена большая часть
524 Глава 8 входов. Имеется также двоично-десятич- ное устройство дополнения до 9, назна- чение которого не требует пояснений. Существует схема «барабан - сдвш атель», которая сдвигает входное число на п (за- даваемое) разрядов и может наращи- ваться до любой длины. 8.15. Реализация произвольных таблиц истины К счастью, большинство из проектов циф- ровых схем не состоит из стряпни безум- ных устройств на вентилях для реализа- ции сложных логических функций. Однако временами, когда вам нужно связать не- сколько сложных таблиц истинности, число вентилей может стать слишком большим. Возникает вопрос, нельзя ли найти какой-то другой путь. Таких путей существует несколько. В этом разделе мы кратко рассмотрим, как использовать мультиплексоры и демультиплексоры для реализации произвольных таблиц истин- ности. Затем мы обсудим в общем более мощные методы, использующие програм- мируемые логические кристаллы, в част- ности ПЗУ и ПЛМ. Мультиплексоры в качестве реализаций обобщенных таблиц истинности. Нетрудно видеть, что n-входовый мультиплексор может быть использован для генерации любой таблицы истинности на п входов без применения каких-либо внешних ком- понентов, если просто на их входы подать соответствующие высокие и низкие уров- ни Схема на рис. 8.40 говорит, является ли входное 3-разрядное двоичное число простым. Не столь очевидно, что мульти- плексор на п входов с помощью только одного инвертора может быть использо- ван для генерации таблицы истинности на 2п входов. Например, рис. 8.41 показы- вает схему, которая определяет имеет или нет данный месяц года 31 день, где месяц (от 1 до 12) задается 4-битовым входом Хитрость в том, чтобы заметить, что для данного состояния адресных битов, прикладываемых к мультиплексору, вы- ход (как функция оставшегося входного бита) должен быть равен Н, L, Ао или А'о; соответственно вход мультиплексора свя- зывается с логическим высоким, логиче- ским низким, А или А'о. Упражнение 8.23. Схема ва рис. 8.41. Составьте таблицу, показывающую, имеет ли данный месяц 31 день, с двоичной адресацией месяца. Сгруппируйте месяцы в пары согласно старшим значащим 3-м битам адреса. Для каждой пары обозначение выхода Q («31 день») зависит от младшего значащего адрес- ного бита Ао. Сравните с рис. 8.41. Наконец, напря- гитесь и проверьте, что схема делает на самом деле, если заданный месяц имеет 31 день. Забавное примечание: оказывается, что данную таблицу истинности можно реа- лизовать только с одним вентилем «исключающее или», если использовать для несуществующих месяцев знак % (любое значение)! Попытайтесь сделать это самостоятельно Это даст вам воз- можность приобрести опыт в составлении карт Карно. Дешифраторы как обобщенные таб-ш®4 истинности. Дешифраторы также позво- ляют упростить комбинационную логику- особенно в тех случаях, когда нужно по- лучить несколько одновременно дейст вуюгцих выходных сигналов В качес примера попробуем составить схе I преобразования двоично-десятичного к® да в код с избытком 3. Таблица истинное1
Цифровые схемы 525 для такого преобразования имеет вид: г Десятичный Двоично- десятичный С избытком 3 W""" 0 0000 ООП & 1 0001 0100 2 0010 0101 Р 3 ООП ОНО 1 4 0100 0111 5 0101 1000 6 ОНО 1001 Ж 7 0111 1010 Яг 8 1000 1011 9 1001 1100 Мы здесь используем 4-разрядный (в двоично-десятичном коде) вход как адрес для дешифратора, а выходы дешифратора (в отрицательной логике) служат в ка- честве входов для нескольких вентилей ИЛИ, формирующих выходные биты, как показано на рис. 8.42. Заметим, что в этой схеме выходные биты не являются взаим- но исключающими. Аналогичную схему можно использовать в качестве устрой- ства для задания рабочих циклов в сти- ральной машине- при каждом состоянии входа выполняются различные функции (подача воды, заполнение, вращение ба- рабана и т.д.). Вскоре вы увидите, каким образом вырабатывается последователь- ность двоичных кодов, следующих через равные промежутки времени Индивиду- альные выходы дешифратора носят на- звание «минтермы и соответствуют по- зициям на карте Карно. ПЗУ и программируемая логика. Эти ИС позволяют вам программировать их внутренние связи В этом смысле они актически являются устройствами с па- 'wn и будут рассматриваться позднее, вместе с тригерами. регистрами и т.п. •йваь > после программирования они яв- ляются строго комбинационными, хотя сУШествуют также последовательностные программируемые логические устройства 1см разд 8 2), они так полезны, что бу- обсужд ггься сейчас W33 ПЗУ (постоянное запоминающее Устройство содержит битовый обра °оычно 4 или 8 разрядов, параллельный ®^од) для каждого конкретного адреса, Сложенного ко входу. Например, 1 К х Входная информация (двоично-десятичный код) Рис. 8.42. Преобразование кодов на уровне минтер- мов: преобразование двоично-десятичного кода в код с избытком 3 (устаревший код сохранившийся с 1-го издания книги) Выходная информация (код с избытком 3 ) х 8 ПЗУ выдает восемь выходных бит на каждое из 1024 входных состояний, опре- деляемых 10-разрядным входным адре- сом (рис. 8.43). Любая комбинационная таблица истинности может быть запро- граммирована в ПЗУ обеспечивающем достаточное число входных линий (адре- са). Например. ПЗУ 1 К х 8 можно ис- пользовать для реализации умножите тя 4 х 4; в этом случае ограничение на «ши- рину» (8 разрядов), не действует (так как имеется 10 разрядов). ПЗУ (а также программируемые логи- ческие устройства) являются энергонеза- висимым устройством, т. е. хранимая ин- формация остается даже тогда, когда пи- тание пропадает. ПЗУ подразделяются на несколько типов, в зависимости от их
526 Глава 8 __Управляющие входы СЕ-разрешение работы сигнала ОЕ- разрешение з-го состояния Рис. 8.43. метода программирования а) «Масочно- программируемые ПЗУ» имеют свое би- товое содержание, созданное во время изготовления б) «Программируемые ПЗУ» (ППЗУ) программируются пользо- вателем: ПЗУ имеют тонкие перемычки, которые могут пережигаться (подобно предохранителям) посредством подачи адреса и управляющих сигналов; они об- ладают высоким быстродействием (25- 50 нс), относительно большим потребле- нием (биполярные 0,5-1 Вт), размерами от малых до средних (от 32 х 8 до 8 К х х 8). «Стираемые программируемые ПЗУ» (СППЗУ) хранят свои биты как заряды на плавающих МОП-вентилях; ин- формация в них может стираться посред- ством облучения их интенсивным ультра- фиолетовым светом в течение нескольких минут (они имеют прозрачное кварцевое стекло); выполняются по n-МОП и КМОП-технологии и значительно мед- леннее (200 нс) при низком потреблении (частично в режиме хранения), имеют до- статочно большой размер (8 К х 8 и 128 К х 8). Современные КМОП СППЗУ до- стигают быстродействия биполярных ПЗУ (35 нс). Известен вариант-«одно- кратно-программируемый» (ОКП), он со- держит идентичный кристалл, но не имеет кварцевого окна для экономии и просто- ты. г) «Электрические стираемые про- граммируемые ПЗУ» (ЭСППЗУ) подобны СППЗ, но могут программироваться и стираться электрически прямо в схеме с использованием стандартных напряже- ний питания ( + 5 В). ПЗУ находят широкое использование в компьютерах и микропроцессорах, где они используются для сохранения закон- ченных программ и таблиц данных; мы увидим их снова в гл. 11. Однако вы всегда должны помнить о небольших ПЗУ, как о замене сложных вентильных матриц. Программируемая логика. ПМЛ (про- граммируемая матричная логика; PAL- товарныи знак фирмы Monolitic Memories Inc.) и ПЛМ (программируемые логиче- ские матрицы) являются двумя основны- ми видами программируемой логики. Они являются ИС со многими вентилями, связи между которыми могут програм- мироваться (подобно ПЗУ) для формиро- вания желательных логических функций. Они выполняются как в биполярном, так и в КМОП-вариантах, первые испо ьзуют прожигаемые перемычки (однократно- программируемые), вторые - плавающие вентильные КМОП схемы (ультрафиоле- тового или электрического стирания) Вы не можете запрограммировать любую связь, какую желаете-вы будете ограни- чены встроенной структурой. Рис. 8 44 по- казывает основные схемы комбинацион- ных (не регистровых) ПЛМ и ПМЛ. Для простоты на этом рисунке вентили И или ИЛИ нарисованы с одним входом, хотя в действительности они являются много- входовыми вентилями с входом для каж- дого перекрестия. Каждый выход (с 3 состояниями) ком- бинационной ПМЛ выводится от вентиля ИЛИ, а каждый вход подсоединяется к вентилю И с дюжинами входов. Напри* мер, 16L8 (рис. 8.45) имеет восемь --вхо- довых вентилей ИЛИ; каждый возмож- ныи сигнал достижим для каждого вен- тиля И, включая 10 входных контактов инверторы для них) и 8-выходных кон тактов (и инверторы к ним). РазреШеЯИ
Цифровые схемы 527 Рис. 8.44 Программируемая чогика а-ПЛМ; б-ПМЛ: «-фрагмент программируемых соединений с много- ходовым вентилем И; кружками обозначены плавкие перемычки или другие программируемые соединения. Сокращ. обозначение тРетьего состояния также устанавливает- ся от 32-входового вентиля И. |рЛМ подобна ПМЛ. но обладает боль- Шей гибкостью-выходы вентилей И мо- связываться со входами вентилей /"И в любой комбинации (т. е програм- мироваться), что предпочтительней, чем ^ткое присоединение, как в ПМЛ. Раме и что ПМЛ и ПЛМ, которые ,Sq>i описывали, являются комбинацион- ными устройствами (т. е. выполнены только на вентилях, без памяти). Другой разновидностью программируемой логи- ки является последовательностная логика, т. е. имеющая память (регистры), подроб- но мы рассмотрим их в следующем раз- деле. Для использования ПМЛ и ПЛМ вы должны иметь программатор, как часть аппаратного обеспечения, который знает, как прожигать перемычки (или другие
528 Глава 8 Рис. 8.45. Комбинационная ПМЛ 16L8 имеет 10 специальных входов, 2 специальных выхода и 6 двунаправ^еВ ных линии входа/выхода (с 3-м состоянием). «16L8» означает 16 входов (макс.), 8 выходов (макс.) (низки уровень-истинное значение) (с разрешения фирмы Advanced Micro Devices, Калифорния.).
Цифровые схемы 529 типы программируемых средств) и про- верить окончательный результат. Все про- грамматоры имеют связь через последо- вательный порт с микрокомпьютером Штандартное средство связи на IBM PC или совместимой с ней), на котором вы работаете с программным обеспечением программатора. Некоторые из современ- ных программаторов включают одно- платный компьютер, который работа- ет с собственным программным обеспе- чением. Простейшее программное обеспечение просто позволяет вам выбрать перемычки для прожигания: вы изображаете это в за- виЬимости от того, какую логику вы хоти- те получить на уровне вентилей, затем ГГеречисляете (или помечаете на графичес- кой дисплее) эти перемычки. Рис. 8.46 по- казывает простой пример для функции исключающее ИЛИ на два входа на од- ном из выходов ПМЛ. Хорошие програм- маторы позволяют вам задавать буквы выражения (если они вам известны) или таблицы истинности; программное обес- печение затем делает остальное, включая мини . цию. моделирование и програм- мирование. X пя ПЛМ ботее гибкие, фаворитом в севременном проектировании являются ПМЛ Это из-за того, что они быстрее 'та> как сигнал проходит только через Bta массив перемычек), дешевле и обыч- но удовлетворяют задаче. Как мы увидим п°зже, новые ПМЛ, использующие «мак- ••чеики и «складную архитектуру» да- V вам некоторую дополнительную гиб- к°с в проектировании на ПМЛ с фикси- рованными ИЛИ-вентилями Таким обра- Bl ПМЛ представляют собой гибкую 1к»мпактную альтернативу ИС с фикси- рованными функциями и не должны вы- падать из виду у серьезного проектиров- щика схем. Мы покажем, как (и где) применять программируемую логику с использованием полезных хитростей в разд. 8.27. ПОСЛЕДОВАТЕЛЬНОСТНАЯ ЛОГИКА 8.16. Устройства с памятью: триггеры Вся рассмотренная выше цифровая логи- ка строилась на комбинационных схемах (т. е. наборах вентилей), в которых выход полностью определяется текущим состоя- нием входов. В этих схемах отсутствует «память», отсутствует предыстория. Жизнь цифровой логики станет более ин- тересной, если устройства снабдить па- мятью. Это дает возможность конструи- ровать счетчики, арифметические регист- ры и различные «умные» схемы, которые выполнив одну интересную функцию, на- чинают делать другую. Основным узлом таких схем является триггер, колоритное имя для описания устройств, которые в простейшей форме представлены на рис. 8.47. Предположим, что оба входа А и В име- ют высокий уровень. Тогда в каком со- стоянии будут выходы X и Y? Если X бу- дет иметь высокий уровень то последний будет присутствовать на обоих входах вентиля G2, и устанавливать У в состояние низкого уровня. Это согласуется с состоя- нием выхода X (высокий уровень), следо- вательно, все правильно. Не правда ли? X = В, Y=H. Неверно! Схема симметрична, следова- тельно, правомерно будет и состояние, Рис. 8.47. 2?5-триггер.
530 Глава 8 при котором Х = Н, Y=B. Состояние, когда оба выхода X и У имеют высокий (или низкий) уровень, невозмож- но (вспомним, что А = В — высокий уро- вень). Таким образом, триггер имеет два устойчивых состояния (иногда его назы- вают «бистабильной» схемой). В каком из этих двух состояний он окажется, зависит от его предыстории, т. е. он обладает памятью. Для того чтобы в эту память что-то записать, достаточно на один из входов триггера кратковременно подать низкий уровень. Например, после кратко- временной подачи низкого уровня на вход А триггер гарантированно установится в состояние X = В, Y=H Рис. 8.49. Схема подавления дребезга. независимо от того, какое состояние он имел прежде. Подавление дребезга контактов. Рас- смотренный нами триггер со входами S (установки в «1») и R (установки в «0» или сброса) оказывается весьма полезным для многих применений. На рис. 8.48 показан типичный пример его использования. По идее эта схема должна открывать вентиль и пропускать входные импульсы, если ключ разомкнут. Ключ связан с землей (а не с шиной +5 В) из-за особенности биполярных ТТЛ-схем (в противополож- ность КМОП-элементам), состоящей в том, что вы должны обеспечить отвод тока от входа ТТЛ в состоянии низкого уровня (0 25 мА для LSTTL), в то время как в состоянии высокого уровня входной ток близок к нулю. Кроме того, обычно в устройствах имеется шина земли, удоб- ная для подсоединения к ней ключей и Рис. 8.48. «Дребезг» переключения. других органов управления. При исполь- зовании такой схемы возникает проблема, обусловленная «дребезгом» контактов ключа. За время порядка 1 мс после за- мыкания ключа его контакты входят в со- прикосновение друг с другом обычно от 10 до 100 раз. Вы получите в итоге форму сигналов, указанную на рисунке; если бы выход подключался к счетчику или ре- гистру сдвига, то они наверняка отреаги7 ровали бы на каждый дополнительный импульс, вызванный этим дребезгом кон- тактов. На рис. 8.49 показано, как разрешить эту проблему. При первом же соприкос- новении контактов триггер изменит свое состояние и в дальнейшем уже не будет реа ировать на последующий дребезг, по- скольку двухпозипионный однополюсный ключ не может совершать колебания до противоположной позиции. В результате дребезг выходного сигнала будет отсут- ствовать, как и показано на диаграмме. Такая схема подавления дребезга широко используется; так, микросхема '279 имеет четыре S^-триггера в одном корпусе. К сожалению, такая схема имеет небольшой недостаток. Дело в том, что первый 0м" пульс возникающий на выходе вентиля после того, как он откроется, может ока- заться укороченным; это можно опреДе" лить по моменту, замыкания ключа п°
Цифровые схемы 531 ^ношению к входной серии импульсов. То же самое относится и к конечному (мпульсу последовательности (разумеет- ся, что и ключи без подавления дребезга имеют те же проблемы). В тех случаях, когда этот нежелательный эффект может оказать какое-то значение, применяется схема синхронизатора, которая позволяет его (устранить. Многовходовые триггеры. На рис. 8.50 показана еще одна простая схема триг- гера. В ней использованы вентили ИЛИ-HE: высокий уровень на входе уста- навливает соответствующий выход триг- гера в состояние низкого уровня. Устанав- ливать или сбрасывать триггер различ- ными сигналами можно благодаря нали- чию нескольких входов. На этом схемном фрагменте нагрузочные резисторы не ис- пользуются, поскольку входные сигналы формируются где-нибудь в другом месте ic помощью стандартных выходов с ак- тивной нагрузкой). 8.17. Тактируемые триггеры Триггеры, выполненные на двух вентилях, как показано на рис. 8.47 и 8.50. обычно зазывают RS (от английских слов: set- 'Vtf овка» и reset- «сброс»), или асин- Ронными триггерами. Посредством по- Ь соответствующего входного сигнала °ни| могут быть установлены в то или айое состояние. AS-триггеры удобно ис- пользовать в схемах защиты от дребезга, I также во многих других случаях, однако шее широкое применение получили Филеры, схема которых несколько от- И&ется от рассмотренной. Вместо пары йхронных входов они имеют один или • информационных входа и один так- •Ующий вход. В момент подачи такти- Рис. 8.51. Синхронизированный триггер. рующего импульса выходное состояние триггера либо изменяется, либо остается прежним, в зависимости от того, какие сигналы действуют по информационным входам. Простейшая схема тактируемого триг- гера приведена на рис. 8.51. От рассмот- ренной выше схемы она отличается нали- чием двух вентилей («SET» и «RESET»). Легко проверить, что таблица истинности для этого триггера будет иметь вид S R Св + 1 0 0 Qn 0 1 0 1 0 I 1 1 Неопределенное состояние где Q„+1 - состояние выхода Q после пода- чи (п + 1)-го тактового импульса, a Qn-до его поступления. Главное отличие этой схемы от предыдущей состоит в том, что входы S и А в этом случае должны рас- сматриваться как информационные и сиг- налы, присутствующие на этих входах в момент поступления тактового импуль- са, и определяют, что произойдет с выхо- дом Q. У этого три1 гера есть один недостаток Дело в том. что изменение выходного состояния в соответствии со входными сигналами может происходить в течение всего отрезка времени, на котором такто- вый импульс имеет высокий уровень В этом смысле он еще подобен асинхрон- ному RS-триггеру. Эта схема известна также под названием «прозрачный фикса- тор». потому что выход «насквозь про- сматривает» вход в течение интервала
532 Глава 8 Ведомый Рис. 8.52. £>-триггеры с пуском по фронту. действия тактового сигнала. Всесторон- ние возможности триггерных схем рас- кроются после введения новых, несколь- ких отличных от рассмотренных конфи- гураций которые представляют собой триггер типа «ведуший-ведомый» (двух- ступенчатый) и триггер, запускаемый по фронту. Триггеры типа «ведушии-ведомыи» и триггеры, запускаемые по фронту. Эти типы триггеров наиболее распростране- ны. Информация поступившая на вход- ные линии этого триггера к момент} воз- никновения перехода или «фронта» так- тового сигнала, определяет, каким будет состояние выхода в последующий интер- вал времени. Такие триггеры выпускают- ся в виде недорогих ИМС и всегда ис- пользуются в этом виде, но для того чтобы понять, как они работают, имеет смысл рассмотреть их внутреннюю струк- туру. На рис. 8.52 показаны принципи- альные схемы так называемых D-тригге- ров. Информация, поступившая на D- вход передается на выход Q после подачи тактового импульса. Рассмотрим принци- пы действия триггера типа ведущий-ве- домый (рис. 8 52, а). Если тактовый сиг- нал имеет высокий уровень, разрешается работа вентилей 1 и 2, через которые ведущий триггер (вентили 3 и 4) устанав- ливается в состояние, соответствующее D-входу: М = D, М' = D'. Вентили 5 и 6 закрыты, поэтому ведомый триггер (вен- тили 7 и 8) сохраняет свое предыдущее состояние. Когда тактовый сигнал перей- дет в состояние низкого уровня, входы ведущего триггера отключатся о г D-вхо- да, а входы ведомого подключатся к вхо- ду ведущего, в результате последний пере- даст свое состояние ведомому триггеру После этого никакие изменения на выходе произойти не смогут, так как ведущий триггер заблокирован С приходом сле- дующего тактового сигнала ведомый три- ггер отключится от ведущего, а ведущий воспримет новое состояние входа. С точки зрения внешних сигналов триг- гер, срабатывающий по фронту, ведет се- бя точно также, однако внутри он работа- ет по-другому. Принцип его действия не- трудно разобрать самостоятельно. Схема- показанная на рис. 8 52, б, представляет собой распространенный D-триггер 4 мейства ТТЛ. срабатывающий на поло жительном перепаде В рассмотренном
Цифровые схемы 533 рлс. 8.53. D- и JK-триггеры. ранее триггере типа «ведущий-ведомый» данные на выход передавались по отрица- тельному перепаду тактового импульса. В номенклатуру стандартных ИМС, вы- пускаемых промышленностью, входят триггеры, срабатывающие как по одной, так и по другой полярности перепада. Кроме того, большинство триггеров име- ют также асинхронные входы S и R. Они могут устанавливаться или сбрасываться гак высоким, так и низким уровнем в за- висимости от типа триггера. На рис. 8.53 показано несколько популярных тригге- ров. Стрелка обозначает динамический вход (срабатывание по фронту), а кру- жок-инверсию. Таким образом, изобра- женная на рисунке схема 74 представляет гобой сдвоенный £>-триггер, который сра- батывает по положительному перепаду и имеет асинхронные входы Хи/?, актив- ные по низкому уровню. Схема 4013 пред- ставляет собой сдвоенный £)-триггер се- мейства КМОП, срабатывающий по по- чкительному перепаду и имеющий асин- ронные входы S и R, активные по высо- юму уровню Интегральная схема 112 — ’То сдвоенный JK-триггер типа ведущий- зедомый. срабатывающий по отрицатель- перепаду и имеюшии асинхронные ЧИЫ 5 и R, активные по низкому Х)ВЩр. Л -триггер. По принципу действия ер аналогичен £>-триггеру, но ‘-Мест два информационных входа Его I утица истинности имеет вид: Fc-w на входы поступают противопо- Чясные сигналы, то на очередном фронте тактового импульса выход Q воспроиз- водит значение J-входа. Если оба входа J и К имеют низкий уровень, то состояние выхода не изменится. И наконец, если на обоих входах высокий уровень, триггер будет совершать «переброс» (менять свое состояние на каждом тактовом импульсе), т. е работать в счетном режиме). Предупреждение. Некоторые старые ти- пы JK-триггеров представляют собой «ловушку для единиц». Этот термин вы не найдете ни в одной документации, он означает явление, которое может привес- ти неосведомленного человека к весьма неприятным последствиям. Дело в том, что если на интервале, когда ведомый триггер открыт тактовым сигналом, вход J и К (или оба одновременно) на какой-то момент изменит свое состояние, а затем до окончания тактового сигнала вернется в исходное, то это кратковременное со- стояние триггер запомнит и в дальнейшем он будет вести себя так, как если бы это состояние сохранилось. В результате триггер может переброситься на следую- щем тактовом перепаде, даже если сигна- лы, действующие в момент этого перепа- да на входах J и К подтверждают преды- дущее состояние. Это может привести, мягко говоря, к своеобразному поведе- нию. Проблема возникает из-за того, что эти триггеры были сконструированы в предположении что тактовый импульс имеет очень короткую длительность, в то время как в действительности тактиро- вание всегда производится сигналом ко- нечной длительности Если используется триггер типа «ведущий-ведомый», следует соблюдать меры предосторожности, либо вообще избегать их, применяя более на- дежные триггеры, работающие по фрон- ту. Две хорошие альтернативы, которые используют истинно запуск по фронту, это приборы '112 и '109. Оба представ- ляют собой сдвоенные (два в одном кор- пусе) JK-триггеры с асинхронными S'- и А-входами, активными по низкому уров- ню. Устройство 112 срабатывает по от- рицательному фронту тактового сигнала, а устройство 109 -по положительному Схема '109 имеет интересную особен- ность, а именно, К-вход у него инверсный,
534 Глава 8 Рис 8.54. Схемы деления на 2. поэтому иногда его называют JK-триггер с запретом. Таким образом, если вы со- едините входы J и К вместе, то получите D-триггер. Для перевода его в счетный режим вы заземляете вход К, а на входе J устанавливаете ВЫСОКИЙ уровень Делитель на 2. Легко построить схему делителя на 2, используя способность триггеров работать в счетном режиме. На рис. 8.54 показаны два способа построе- ния такого делителя. JK-триггер работает в счетном режиме, когда оба входа имеют ВЫСОКИЙ уровень, производя на выхо- де сигнал, показанный на рисунке. Вторая схема также будет совершать перебросы, так как ее D-вход подключен к собствен- ному выходу Q' и в момент поступления тактового импульса на D-входе всегда действует инверсия по отношению к те- кущему состоянию триггера. Частота сиг- нала на выходе в любом случае будет равна половине входной частоты Синхронизация информации и тактиро- вание. В связи с последней схемой возни- кает интересный вопрос: не получится ли так. что триггер не сможет переброситься так как состояние D-входа изменяется по- чти сразу же вслед за тактовым импуль- сом? Другими словами, не начнет ли схе- ма сбиваться, если на ее входе происходят такие странные явления? Этот вопрос можно сформулировать и следующим об- разом: в какой точно момент по отноше- нию к тактовому импульсу D-триггер (или какой-нибудь другой) анализирует состоя- ние своего входа? Ответ такой- для любо- го тактируемого устройства существует определенное «время установления» густ и «время удержания» tya. Для того чтобы схема работала правильно, информация должна поступать на вход не позднее чем за время густ до возникновения тактового перепада и оставаться неизменной по крайней мере в течение времени гуд после него Например, для триггера 74НС74 Густ = 20 нс и гуд = 3 нс (рис. 8.55). В рас- смотренной схеме счетного триггера тре- 3 нс мин. Рис. 8 55 Время установления данных и врем* удержания
Цифровые схемы 535 ^вание к времени установления будет •охраняться неизменным в течение как ^нимум 20 нс, предшествующих очеред- р0Му тактовому перепаду Может пока- яться, что при этом нарушается требова- к времени удержания, но это не так. Минимальное время распространения угнала с тактового входа на выход со- ставляет 10 нс, и D-триггер, включенный счетном режиме, будет иметь неизмен- ное состояние D-входа в течение по мень- шей мере 10 нс. Большинство современ- ных устройств вообще не представляют требований ко времени удержания (имеют : = 0). Если сигнал на D-входе изменяет- ся на интервале времени удержания, то может возникнуть любопытный эффект, носяшии название «метастабильное со- стояние», при котором триггер не может определить в какое состояние он должен перейти. Об этом явлении мы вскоре еще упомянем. Деление на число, большее чем 2. С по- мощью каскадного соединения счетных триггеров (выход Q каждого предыдущего триггера подключен к тактическому входу последующего) легко получить «делитель на 2"», или двоичный счетчик На рис 8.56 показана схема четырехразрядного асин- хронного счетчика и даны его временные диаграммы. Заметим здесь, что если вы- ход Q каждого триггера непосредственно действует на тактовый вход следующего, срабатывание триггеров должно происхо- дить по спаду (заднему фронту) сигнала на тактовом входе (показано кружком инверсии). Эта схема представляет собой счетчик-делитель на 16: на выходе послед- него триггера формируются прямоуголь- ные импульсы, следующие с частотой, равной 1/16 частоты входного тактового сигнала. Схема называется счетчиком, по- скольку информация, присутствующая на четырех входах Q, может рассматривать- ся как 4-разрядное двоичное число, ко- торое изменяется от 0 до 15, увеличиваясь на единицу с каждым входным импуль- сом. Этот факт отражает временная диа- грамма на рис. 8.56,6, на которой СЗР означает «старший значащий разряд», S.56. 4-разрядный счетчик.
536 Глава 8 МЗР-«младший значащий разряд», а изо- гнутые стрелки, облегчающие понимание, указывают, какими перепадами вызыва- ются изменения сигналов. Этот счетчик, как вы увидите в разд. 8.25, выполняет настолько важную функ- цию, что выпускается в виде большого числа модификаций, выполненных в виде однокристальных микросхем, включая та- кие форматы счета, как 4-разрядный, дво- ично-десятичный и многоцифровой. Со- единяя эти счетчики каскадно и воспроиз- водя их содержимое с помощью цифрово- го индикатора (например, светодиодного) можно легко построить схему подсчета каких-либо событий. Если разрешить про- хождение импульсов на вход счетчика в течение ровно 1 с, то получится счетчик частоты, который будет воспроизводить значение частоты путем подсчета числа периодов в секунду. В разд. 15.10 приво- дятся схемы этого простого, но очень полезного устройства. Промышлен- ностью выпускаются однокристальные счетчики частоты, в состав которых вхо- дят дополнительно генератор, схемы управления и вывода на индикацию. Триг- гер такого устройства показан на рис. 8.71. На практике простейшая схема каска- дирования счетчиков посредством соеди- нения каждого выхода Q со следующим тактовым входом имеет некоторые ин- тересные проблемы связанные с покас- кадной задержкой распространения сиг- нала по цепочке триггеров. По этой при- чине лучше использовать схему, в кото- рой один и тот же тактовый сигнал пода- ется одновременно на все входы В сле- дующем разделе мы будем рассматривать эти синхронные тактируемые системы 8.18. Последовательностная логика-объединение памяти и вентилей После того как мы изучили свойства триг- геров. посмотрим, что можно получить, если объединить их с рассмотренной ра- нее комбинационной (вентильной) логи- кой. Составленные из вентилей и тригге- ров схемы, представляют собой наиболее общую форму цифровой логики. Рис. 8.57. Классическая последовательностная схема: регистры памяти и комбинационная логика. Эту схе- му можно легко реализовать с использованием одно- кристальных регистровых ПМЛ (см. разд. 8.27). Синхронные тактируемые системы. Мы уже упомянули в предыдущем разделе, что последовательностные логические схемы, в которых для управления всеми триггерами используется обший источник тактовых импульсов, имеют ряд преиму- ществ. В таких синхронных системах все действия происходят сразу же после воз- никновения тактового импульса и опре- деляются тем состоянием, которое имеет место непосредственно перед его возни- кновением. Общая структура подобной системы показана на рис. 8.57. Все триггеры объединены в один обшии регистр, представляющий собой не что иное, как набор D-триггеров, у которых тактовые входы соединены вместе, а ин* дивидуальные D-входы и выходы Q БЬ1®6' дены вовне. Каждый тактовый импутьс вызывает передачу уровней, присутствую* щих на D-входах, на соответствуют^6 выходы О Блок, состоящий из вентилей- анализирует состояние выходов регистр3 и внешних входов, вырабатывает новую комбинацию сигнала для D-входов Р6 гистра и выходные логические УРоВ^ Эта с виду простая схема обладает оче
Цифровые схемы 537 большими возможностями. Рассмотрим пример Пример: деление на 3. Попробуем по- строить схему синхронного делителя на ] с помощью двух £)-триггеров тактируе- мых входным сигналом. В этом случае hD2 будут входами регистра. Qr и ()2-его выходами общая тактовая линия будет ^едставлять главный тактовый вход рис 8 58). 1. Выберем три состояния: 21 Qi о о О 1 10 О 0 (т е первое сос ояние) 2. Определим, что должно быть на вы- ходах комбинационной схемы для того чтобы получить последовательность этих состоянии т.е. что должно поступать на йвходы, для того чтобы получить тре- буемые состояния на выходах: 21 Q2 D2 7 о I о 1 Н 1 и о ► о | о о I Построим вентильную схему кото- зая. с помощью имеющихся выходов по- в 'Ляд бы получить требуемые состоя- I на выходах. В общем случае можно использовать карту Карно, но для данно- го простого примера можно сразу уви- деть, что Dt = Q2, D2 = (Qt + Q2)' Этому соответствует схема на рис. 8.59. Легко проверить, что эта схема рабо- тает так, как и было задумано. Так как она является синхронной, или тактируе- мой, сигналы на обоих выходах изменя- ются одновременно (если же выход пер- вого триггера непосредственно подклю- чить к тактовому входу второго, то полу- чится асинхронный счетчик). В общем случае предпочитают использовать синх- ронные (или тактируемые) системы, так как они имеют более высокую помехо- устойчивость. Это обусловлено тем, что к моменту возникновения тактового им- пульса триггеры находятся в установив- шемся состоянии а на схемы, в которых входные сигналы анализируются только по фронтам тактовых импульсов, не дей- ствуют помехи, возникающие за счет ем- костных связей от других триггеров или по каким-либо другим причинам. Еще одно преимущество состоит в том, что в тактируемых системах переходные со- стояния (вызванные задержками, в ре- зультате чего выходные сигналы изменя- ются не одновременно) не вызывают на выходах ложных сигналов, поскольку си- стема не воспринимаег никаких измене- ний, которые происходят после возникно- вения импульса. Далее мы проиллюстри- руем это на ряде примеров. Запрещенные состояния. Что произойдет со схемой деления на 3, если ее триггеры каким-то образом окажутся в состоянии (Qi> Qi) = (L 1)? Это может произойти при включении питания, так как началь- ное состояние триггеров предсказать не- возможно. Из схемы видно, что первый
538 Глава 8 Рис. 8.60. Диаграмма состояний схемы деления на 3. тактовый импульс переведет ее в состоя- ние (1, 0) и далее она будет функциони- ровать как положено. В таких случаях важно производить проверку запрещен- ных состояний, поскольку схема может случайно оказаться в одном из них. (С другой стороны, все возможные состоя- ния системы можно определить на на- чальном этапе разработки.) Диаграмма состояний, показанная для данного при- мера на рис. 8.60, может оказаться по- лезным диагностическим инструментом. Если в системе используются и другие переменные, то обычно для каждого пере- хода рядом со стрелкой записываются условия. Стрелки могут идти в обоих направлениях, а также из одного состоя- ния к нескольким другим. Упражнение 8.24. Постройте синхронную схему деления на 3 с помощью двух JK-триггеров. Это можно выполнить (16 различными способами) без использования вентилей или инверторов. Когда вы будете составлять таблицу требуемых значений для входов Ji, Ki и J2, К2, не забудьте, что для каждой точки J, К существуют две возможности. Например, Рис. 8.61. Диаграмма состояний если выход триггера переходит из 0 в 1, то J £ _ X (где X —любое значение). Наконец, проверьте будет ли схема застревать в запрещенном состояв (это наблюдается в четырех из 16 возможных пени» ? этой задачи). Упражнение 8.25. Постройте синхронный 2-разп ный реверсивный счетчик: он имеет тактовый вх и вход управления (U/D'); выходы являются выходами триггеров Q} и Q2. Если вход U/D имеет ВЫСОКИЙ уровень, то он работает как обычный двоичный счет чик, а если НИЗКИЙ, то он считает в обратно направлении-Q2Qi =00, 11, 10, 01, 00... М Диаграмма состояний как инструмент проектирования. Диаграмма состояний может оказаться полезной при разработке последовательной логики, особенно в тех случаях, когда переходы из одного со- стояния в другое могут происходить раз- личным путем. Создавая такую схему, нужно сначала выбрать совокупность единственных состояний системы и каж- дому из них присвоить собственное имя (т. е. двоичный адрес). Здесь потребуется не менее п триггеров, где «-наименьшее целое, для которого 2" больше или равно числу различных состояний системы. Да- лее устанавливают правила переходов из одного состояния в другое, т. е. все воз- можные условия для входа в каждое со- стояние и выхода из него. Таким образом, задача построения последовательной ло- гики свелась к задаче построения комби- национной логики, которую всегда можно решить с помощью известных методов, например карты Карно. Реальный пример показан на рис. 8.61. Заметим, что здесь могут иметь место состояния, из которых нет перехода к другим состояниям (на- пример, «получение диплома»). Регистровые ПЛМ. Программируемые логические ИС (ПМЛ и ПЛМ. смотрите разд. 8.15) выпускаются как с вентилями, так и с синхронно тактируемыми D-триг- герами на одном кристалле. Они известны как регистровые ПМЛ и ПЛМ и являются идеальными для построения последова- тельностных схем. Вы узнаете, как это делать в разд. 8.27. 8.19. ( инхронизатор Интересно использование триггеров в последовательных схемах в качестве сиН* ронизаторов. Предположим, что в синх
Цифровые схемы 539 Рис. 8.62. Синхронизатор импульсной последователь- ности. а ровную тактированную систему с тригге- рами поступает внешний управляющий игнал и вы хотите использовать состоя- ния'этого сигнала для управления некото- зым | действием. Например, сигнал от измерительного прибора или эксперимен- тальной установки может указывать, что занные готовы для передачи в ЭВМ. Так йк ^экспериментальная установка и ЭВМ оотаю г совершенно независимо друг от фуга. т. е. асинхронно, необходимо иметь ЧП'од, который позволил бы установить ифядок в работе двух систем. Пример: синхронизатор импульсов. Еще W рассм >трим в качестве примера схему, 8 которой триггер подавителя дребезга ^ггпает прохождение последовательно- пульсов (разд. 8.16). Эта схема от- бывает вентиль всякий раз, когда ключ f ут, независимо от фазы, подаваемой 53 него импульсной последовательности, * Результате первый или последний им- шьс могут оказаться укороченными. За- ЧчАсостоит в том, что замыкание ключа боисходит асинхронно с последователь- ною импульсов. В некоторых случаях 8а*Но чтобы все тактовые импульсы име- ли только полные периоды, и тогда нужно использовать схему синхронизации, по- добную представленной на рис. 8.62. При нажатии кнопки «пуск» на выходе вентиля 1 возникает ВЫСОКИЙ уровень, но до появления заднего фронта очередного им- пульса на выходе Q триггера сохранится НИЗКИЙ уровень. В результате на выход вентиля 3 И-НЕ будут проходить только полные импульсы. На рис. 8.62 показаны временные диаграммы. Кривые со стрел- ками показывают, какие действия вызы- ваются соответствующими перепадами. Как видно из диаграммы, изменения Q происходят сразу по заднему фронту входного сигнала. Логические состязания и «всплески». Рас- смотренный пример позволяет осве ить тонкий, но предельно важный вопрос: что же произойдет, если для переброса триг- гера будет использоваться положитель- ный перепад? Если вы тщательно про- анализируете этот случай, то увидите, что с ПУСКОМ схемы все будет в полном порядке, но если кнопку СТОП нажать в тот момент, когда на входе действует НИЗКИЙ уровень, произойдет весьма не- приятная вещь (рис. 8.63). Так как по- Рис. 8.63. Логические состязания могут вызвать появ ление коротких импульсов
540 Глава 8 следний (3) вентиль И-НЕ будет открыт до тех пор, пока на выходе триггера не установится НИЗКИЙ уровень (задержка для НС или LSTTJI составляет прибли- зительно 20 нс), на выходе схемы возни- кает короткий «выброс» или «всплеск» (глитч). Это-классический пример «логи- ческого состязания». Принимая определен- ные меры предосторожности, подобных ситуаций можно избежать, что и показы- вает рассмотренный пример. «Всплески» - это страшная вещь, если они заведутся в ваших схемах. Кроме вс$го прочего, их невозможно увидеть на осциллографе и вы можете просто не узнать об их су- ществовании. «Всплески» могут самым произвольным образом тактировать це- почки триггеров, они могут расширяться или сужаться до полного исчезновения, проходя через вентили и инверторы. Упражнение 8 26. Покажите что рассмотренная схема синхронизатора импульсов (рис. 8.62) не выра- батывает «всплески: Упражнение 8.27. Спроектируйте схему, которая позволяет пропускать один полный отрицательный импульс (из входной последовательности импульсов) на выход после нажатия кнопки. Несколько замечаний по поводу синх- ронизаторов: На £>-вход триггера сигнал может поступать не только от ключа с подавителем дребезга, но также и от других логических схем. В средствах со- пряжения с ЭВМ и других применениях часто бывает нужно передавать асинхрон- ный сигнал в тактируемое устройство. И; еальным решением в этих случаях яв- ляется использование тактируемых триг- геров или синхронизаторов. В рассмот- ренной схеме, так же как и во всех других логических схемах, необходимо соответ- ствующим образом подключить неис- пользованные входы. В данном случае входы 5 и R нужно подключить так, чтобы они не влияли на работу схемы (для '74 соединить с ВЫСОКИМ уровнем, а для 4013 заземлить) Неиспользуемые входы, не влияющие на выход (например, входы незадействованных вентилей), можно оставлять неподключенными. Это не относится к элементам КМОП, у кото- рых они должны заземля ься, чтобы не появился ток в выходном каскаде (более подробно см. гл. 9). Промышленностью выпускается сдвоенный синхронизатоп 74120, однако широкого распространен^ он не получил. МОНОСТАБИЛЬНЫЕ МУЛЬТИВИБРАТОРЫ Моностабильный мультивибратор, или одновибратор, является разновидностью триггера (триггер иногда называют би- стабильным мультивибратором), в кото- ром выход одного из вентилей имеет ем- кое: ную связь со входом другого вентиля, в результате этого схема всегда возвра- щается в одно состояние. Если с помо- щью кратковременного входного импуль- са схему принудительно установить в дру- гое состояние, то она вернется в исходное с задержкой, которая будет определяться величиной емкости и параметрами схемы (входным током и т. п.). Эта схема широ- ко используется для формирования им- пульсов заданной длительности и поляр- ности. Построение одновибраторов на вентилях и /?С-элементах представляет собой довольно сложную задачу. Здесь надо учитывать конкретное выполнение входных вентильных схем, так как напря- жение на емкости в одновибраторе может превышать напряжение питания. Чтобы не развивать у читателя дурных привычек, мы не будем давать примеры подобных схем и рассмотрим одновибратор, кото- рый выпускается в виде стандартного функционального блока. В реальных уст- ройствах лучше всего использовать одно- вибраторы в интегральном использова- нии, создавать же схемы собственной кон- струкции имеет смысл лишь в случае крайней необходимости, например если на плате имеется свободный вентиль и яе* ни одного места для дополнительной микросхемы. Однако, возможно, что эт£ не имеет смысла даже в таком случае. 8.20. Характеристики одновибраторов Входы. Одновибраторы срабатывают по положительному или отрицательному пе репаду, который подан на соответствую* щие входы. Единственное требование
Цифровые схемы 541 ^*с- 3.64 Четыре наиболее распространенных одновибратора и их таблицы истинности к0Гн^ у запуска состоит в том. что он жен обладать некоторой минималь- ₽ длительностью - обычно от 25 до W с- При этом он может быть как коро- так и длиннее выходного импульса. Я^чно в схеме предусматривается не- рько входов: это позволяет использо- для запуска схемы одновибратора Только сигналов: один по отрицатель- перепаду, другие по положительно- (помним, что отрицательный пере- пад означает переход с ВЫСОКОГО уровня на НИЗКИЙ, а не отрицательную полярность). Дополнительные входы мо- гут также использоваться для запрета за- пуска. Четыре примера одновибраторных схем показаны на рис. 8.64. Стрелками в строках таблиц показаны направления перепадов на входах, при которых происходит запуск. Например. '121 запускается, когда на одном из вхо- дов А происходит перепад с ВЫСОКОГО
542 Глава 8 уровня на НИЗКИЙ, при условии что на входе В и на другом входе А действует ВЫСОКИЙ уровень. Схема '4538 пред- ставляет собой сдвоенный одновибратор с вентилем ИЛИ на входе. Если исполь- зуется только один вход, то другой дол- жен быть заблокирован, как показано в таблице. Одновибратор 121 имеет три входа, которые связаны с комбинацией вентилей И и ИЛИ. На входе В установ- лен триггер Шмитта, что позволяет сни- зить требования к скорости нарастания входного сигнала и к уровню помех. В со став одновибратора входит также не слишком хороший внутренний времяза- дающий резистор, который вы можете использовать, если у вас нет желания подключать внешний резистор R Схема 221 представляет собой сдвоенный вари- ант схемы 121 Пользователи КМОП-вер- сий могут иметь только сдвоенные ва- рианты схем Популярная ИС '123 пред- ставляет собой сдвоенный одновибратор с вентилем И на входе; на неиспользуемые входы должны подаваться разрешающие сигналы. Заметим, в частности, что он срабатывает и по спаду сигнала на 7?-вхо- де, если в этот момент на оба входа запуска поданы соответствующие сигна- лы Это свойство не является для одно- вибраторов универсальным и может тре- боваться или не требоваться, в зависи- мости от конкретного использования (чаще всего оно не требуется) Схема 423 является той же самой схемой, что и '123, но без этой «особенности». На принципиальных схемах при изоб- ражении одновибраторов входной вен- тиль обычно не показывается Это по- зволяет сэкономить место, но создает не- которую путаницу. Перезапуск. Большинство одновибрато- ров, например упомянутые ранее '45 123 и 423, будут начинать новый отсчета временного интервала, если За время действия выходного импульса на вход поступит новый запускающий им пульс. Такие устройства называют одно вибратором с перезапуском. Выходной импульс одновибратора будет шире чем обычно, если перезапуск схемы происхо- дит во время действия выходного импуль- са и заканчивается по истечении интер- вала номинальной длительности с момс та поступления последнего запускающего сигнала. Одновибраторы '121 и '221 не имеют перезапуска; во время действия выходного импульса они не воспринима- ют перепады на входе. Большинство пе- резапускаемых одновибраторов можно включить таким образом, чтобы они не перезапускались. Простой пример подоб- ной схемы показан на рис. 8.65. Сброс. Большая часть одновибраторов имеет вход сброса, который отменяет все остальные функции Кратковременный сигнал по входу R обрывает выходной импульс. Этот вход может использовать- ся для предотвращения выработки выход- ного импульса в момент включения пи- тания логической системы однако учтите сделанные выше замечания по схеме 123 Длительность импульса. С помощью стандартных одновибраторов можно по- лучать импульсы длительностью от 40 нс до нескольких милтисекунд (и даже се- кунд) за счет подключения внешнего кон- денсатора, обычно в сочетании с резисто- ром. С помощью устройства, подобного ИС 555 (см. разд. 5.14) можно формиро- вать импульсы и большей длительности но его входные характеристики зачастую создают неудобства Интервалы времени очень большой длительности лучше <ег Рис. 8.65. Схемы одновибраторов без перезапуска.
Цифровые схемы 543 отсчитывать цифровым способом (см. разд. 8.23) В табл. 8 8 в конце главы при- ведены данные на большинство приме- няемых одновибраторов 8.21. Пример схемы одновибратора pja рис. 8.66 показана схема генератора прямоугольных импульсов с независимой регулировкой частоты и скважности; схе- ма позволяет с помощью внешнего сигна- ла закрывать выход по отрицательному перепаду выходного импульса. Токовое зеркало — Т3 формирует на Сг нарас- тающее напряжение Когда это напряже- ние достигает порога верхнего компара- тора, равного 2/3 U + , запускается одно- вибратор, который вырабатывает поло- жительный импульс длительностью 2 мкс и устанав ивает и-канальный ПТ Т* в про- водящее состояние и разряжает конден- сатор Таким образом, на формируется пилообразный сигнал, нарастающий до значения + 8 со скоростью, которая зада- ется потенциометром R2. Нижнии ком- паратор из пилообразных импульсов вы- рабатывает прямоугольные импульсы со скважностью, которую с помощью потен- циометра R5 можно линейно регулиро- вать в пределах от 0,1 до 99%. Оба компаратора имеют гистерезис порядка нескольких милливольт (Т?8 и 1?9), наличие которого позволяет предотвра- тить многократные переходы, возникаю- щие под действием помех В схеме приме- нен сдвоенный компаратор типа LH393 с малым потреблением, который имеет на выходах схемы с открытым коллектором и диапазон синфазного сигнала на входе от нуля до напряжения питания. Отли- чительное свойство этой схемы - ее спо- собность синхронизироваться (работать в старт-стопном режиме) по внешнему управляющему сигналу. При наличии сиг- нала на входе ОСТАНОВ схема останав- ливает свой генератор по очередному от- рицательному перепаду на выходе. Когда на входе ОСТАНОВ сигнал снова перей- дет в состояние НИЗКОГО уровня, гене- ратор сразу же начинает формировать полные периоды как если бы задний фронт возник в момент снятия сигнала ОСТАНОВ Дополнительный 3-й вход схемы И-НЕ, который соединен с выхо- дом компаратора, служит для того, чтобы схема не «застревала» при заряженной емкости Сг. Длительность импульса на выходе одновибратора выбирается доста-
544 Глава 8 точно большой, чтобы за это время С\ мог полностью разрядиться 8,22. Предостережения относительно одновибраторов С одновибраторами связан целый ряд проблем, которые не встречаются в дру- гих цифровых схемах. Кроме того, су- ществуют некоторые общие принципы, которыми необходимо руководствоваться при их использовании. Остановимся сна- чала на «патологии» одновибраторов. Проблемы применения одновибраторов. Длительность импульса. Для построения одновибраторов используется сочетание линейных и цифровых методов. Так как линейные схемы чувствительны к изме- нениям U&, и h2i3 от температуры и т.п., одновибраторы также реагируют на из- менения температуры и напряжения пи- тания дрейфом длительности выходного импульса. В типовых устройствах, напри- мер 4538, длительность выходного им- пульса колеблется в пределах нескольких процентов при изменении температуры в интервале 0-50 С и при отклонениях напряжения питания +5%. Кроме того, разброс параметров от устройства к уст- ройству для ИМС данного типа состав- ляет + 10%. При рассмотрении чувстви- тельности к изменениям температуры и напряжения питания важно помнить, что кристалл (чип) микросхемы может обла- дать эффектом саморазогрева, а измене- ние напряжения питания во время дей- ствия выходного импульса (например, ко- роткие «всплески» по шине питания) мо- жет существенно повлиять на его длитель- ность (или вызвать ложный запуск;. Длинные импульсы При формировании длинных импульсов емкость конденсато- ра может превышать несколько микро- фарад, что приводит к необходимости использовать электролитические конден- саторы. В этом случае возникает пробле- ма тока утечки (величина которого для конденсаторов малой емкости незначи- тельна). особенно если учесть, что в боль- шинстве одновибраторов во время дей- ствия выходного импульса к конденсато- ру прикладывается напряжение обоих по- лярностей. Для разрешения этой пробле мы можно установить диод или транзис' тор, либо перейти на цифровые методу формирования задержек с использована ем тактовых импульсов и триггерных кас- кадов, как показано в разд. 8.23. Исполь- зование внешнего диода или транзистора повышает чувствительность к температу- ре и снижает точность задания длитель- ности импульса. Это может также затруд- нить перезапуск Скважность. В некоторых схемах од- новибраторов длительность выходного импульса при высокой скважности умень- шается. Типичным примером являются элементы серии ТТЛ 9600-9602, у кото- рых при скважности 60% длительность выходного импульса постоянна, а при скважности 100° о уменьшается приблизи- тельно на 5%. Устройство Т21 (прекрас- ное в других отношениях) в этом отноше- нии еще хуже, так как при высокой скваж- ности вообще ведет себя не устойчиво. Запуск. Если для сигнала одновибрато- ров используются слишком короткие сиг- налы, то они могут вырабатывать на входе нестандартные, или «дрожащие», импульсы. Для запускающего импульса задается минимальная длительность, на- пример, для прибора LS 121 она состав- ляет 50 нс, а для 4098-140 нс при напря- жении питания +5 В и 40 нс при питании + 15 В (при повышенном напряжении пи- тания элементы КМОП имеют более вы- сокое быстродействие и повышенную на- грузочную способность). Помехоустойчивость. Так как в состав одновибраторов входят линейные элемен- ты, их помехоустойчивость обычно хуже, чем у других цифровых схем. Одновибра- торы очень чувствительны к емкостным связям вблизи внешних времязадаюши* элементов R и С. Кроме того, некоторые одновибраторы склонны к ложному за- пуску от «всплесков» на шине земли или и+." Паспортные данные Не забывайте. 410 характеристики одновибратора (точность выдержки, времени, нестабильность п° температуре и напряжению питания) М гут значительно ухудшаться на краях бочего диапазона. В паспортных даннь»
Цифровые схемы 545 ла устройство обычно задается диапазон длительностей выходного импульса, в ко- вром оно имеет хорошие характеристи- ку и это может ввести в заблуждение. gpoMe того, могут наблюдаться различия в тех же самых номерах изделий, когда ойи выпускаются различными изготови- телями. Читайте паспортные данные тща- тельно! Развязка по выходу. Вообще, в любом цифровом устройстве, содержащем триг- геры, выходы должны быть буфериро- ваны (с помощью вентиля, инвертора, возможно, интерфейсной схемы типа ли- нейного формирователя-возбудителя или драйвера) до того, как они поступят на кабель или внешний прибор. Если устрой- ство типа одновибратора подключается к кабелю непосредственно, то его работа может стать неустойчивой за счет влияния нагрузочной емкости и отражения сигна- лов в кабеле. - Общие соображения по применению од- новибраторов. При использовании одно- вибраторов для формирования импульс- ной последовательности убедитесь в том, что на «концах» не будут вырабатываться дополнительные импульсы, т. е. что сиг- налы, разрешающие запуск одновибрато- ра, сами не производят его запуск. Это легко сделать, если тщательно просмо- треть таблицу истинности. Не увлекайтесь применением одновибраторов, хотя за- манчиво ставить их везде, где только можно, и наблюдать, как импульсы от них разбегаются во все точки схемы. Схе- мы, содержащие большое число однови- браторов, свидетельствуют о неопытно- сти разработчика. Кроме указанных про- блем существуют еще и дополнительные сложности, связанные с тем, что схема, насыщенная одновибраторами, не допус- кает существенной регулировки тактовой частоты, поскольку все выдержки времени в схеме настроены на определенный поря- док возникновения событий. Если есть возможность выполнить те же функции без применения одновибраторов, ею надо воспользоваться. Пример такой схемы по- казан на рис 8.67. Предположим, что тре- буется сформировать по спаду входного сигнала импульс, а затем еще один, за- W S.67 Цифровая задержка (б) заменяет задержку на одновибраторах (а).
546 Глава 8 держанный по отношению к первому. Эти импульсы могут использоваться для предварительной установки схемы и за- пуска операций, перед которыми требо- валось выполнить некоторые предвари- тельные действия, о завершении которых сообщает спад входного сигнала Так как для управления остальной частью схемы скорее всего используются тактовые им- пульсы, будем предполагать, что сигнал на D-входе снимается синхронно с перед- ним фронтом такта. В схеме на рис. 8.67, а входной перепад запускает первый одно- вибратор, который по концу своего вы- ходного импульса запускает второй од- новибратор. В схеме на рис. 8.67,6 то же самое делается при помощи D-триггеров, кото- рые вырабатывают на выходе импульсы с длительностью, равной одному периоду тактовой частоты. В отличие от асинх- ронной схемы, в которой триггеры вклю- чаются каскадно, данная схема является синхронной. По ряду причин, в частности связанных с помехоустойчивостью, пред- почтительнее применять синхронные си- стемы Если необходимо формировать импульсы большей длительности, можно использовать ту же самую схему, но взяв при этом другую тактовую частоту, кото- рую можно получить путем деления ос- новной (высокочастотной) тактовой по- следовательности с помощью цепочки счетных триггеров. В этом случае основ- ной тактовый сигнал может использо- ваться для тактирования D-триггеров. Как правило, в синхронных системах име- ется несколько серий тактовых импуль- сов. образованных в результате деления основной частоты 8.23. Получение выдержки времени с помощью счетчиков Как мы уже подчеркивали, существует целый ряд причин, по которым следует избегать применять одновибраторы в ло- гических устройствах. На рис. 8 68 изо- бражена еще одна схема, где триггер и счетчик заменяют одновибратор для фор. мирования импульса большой длительно- сти Интегральная схема 4060 представ- ляет собой 14-разрядный двоичный счет- чик (14 каскадно включенных триггеров) типа КМОП Фронтом входного сигнала на выходе устанавливается высокий уро- вень, который разрешает работу счетчика. После отсчета 2”-1 импульсов на выходе Qn и возникает ВЫСОКИЙ уровень, в ре- зультате чего триггер и счетчик сбросятся. Данная схема позволяет с высокой точ- ностью вырабатывать импульсы большой длительности, причем последняя может изменяться с коэффициентом 2 В состав счетчика 4060 входит также вну ренний генератор, который заменяет внешний ис- точник тактовых импульсов. Наш опыт говорит, что встроенный генератор имеет бедную частотную характеристику и мо- жет (даже в НС-версиях) плохо функцио- нировать. Вы можете использовать законченные интегральные схемы для задания времен- ных интервалов с помощью счетчиков. Так. схемы фирмы Intersil ICM 7240/50 60 имеют встроенные 8-разрядный и двух- декадный счетчики и необходимую логику для формирования задержек, эквивалент- ных накопленному числу счета (1- 255 или 1-99); устанавливать число Вы можете либо устанавливая перемычки, либо с по- Рис. 8.68. Формирование длинных импульсов цифровым способом.
Цифровые схемы 547 дошью барабанных переключателей. Схе- до ICM7242 подобна им, но имеет счет- чик с установленным жестко значением для деления на 128 Фирма Ехаг выпуска- ет аналогичный прибор, именуемый XR2243, который имеет фиксированный счетчик-делитель на 1024 ПОСЛЕДОВАТЕЛЬНОСТНЫЕ ФУНКЦИИ, РЕАЛИЗУЕМЫЕ НА СТАНДАРТНЫХ ИМС различные сочетания триггеров и венти- лей, так же как и рассмотренные ранее комбинационные схемы, могут быть раз- мещены на одном кристалле ИМС В по- следующих разделах мы дадим обзор на- иболее часто используемых типов ИМС в соответствии с выполняемыми функци- ями Так же, как и в случае с небогатой комбинационной логикой, программируе- мые логические устройства (ПМЛ и GAL, в частности) создают притягательную альтернативу для использования жестких последовательных функций. Мы также об лом будем говорить после рассмотрения стандартных функций. 8.24 Фиксирующие схемы-защелки и регистры Фиксирующие схемы-защелки и регистры позволяют запоминать комбинацию дво- ичных разрядов, которая подана на их входы, и хранить ее после изменения входных сигналов Регистр можно по- строить с помощью набора £>-триггеров, по в этом случае число входов и выходов *вего будет больше чем требуется обыч- Так как в данном применении нет •сходимости использовать раздельно тактовые и установочные входы, эти цепи ч°жн > объединить для всех триггеров, W позволит сократить число выводов ВС и даст возможность разместить в 'таждартном 20-контактном корпусе 8 Phi 'еров Так, например, популярная уМа '574 представляет собой 8-разряд- ’Ыи £)-регистр с фиксацией по положи- ^иьному фронту и с выходами на три 'Стояния; схема 273 подобна ей, но име- Рис. 8.69. 4-разрядный Д-триггер типа 74LS175 ет возможность сброса вместо выходов на 3 состояния. На рис 8 69 представлен 4-разрядный D-регистр с прямым и ин- версными выходами. Термин «фиксатор» или «защелка» обычно служит для обозначения специ- ального типа регистров, которые в от- крытом состоянии отслеживают на своих выходах входные сигналы, а в закрытом хранят их последнее значение Так как термин «фиксатор» стал неоднозначным, часто для того, чтобы отличить друг от друга два очень сходных устройства, ис- пользуют термины «прозрачный фикса- тор» (собственно «защелка») и «регистр D-типа». Например, схема '573 представ- ляет собой 8-разрядный «прозрачный фиксатор», эквивалентный '574 D-регист- РУ- Сушествуют некоторые вариации фик- саторов/регистров, такие как. а) запо- минающие устройства с произвольной выборкой (ЗУПВ), которые позволяют вам как записывать, так и читать массив регистров (обычно большой), но только по раздельности. ЗУПВ имеют размеры от нескольких байт до 1 Мбайт и более и обычно используются в системах памяти микропроцессорных устройств (см- гл. 10 и 11); б) адресуемые зашелки, уст ройства многоразрядной фиксации, кото- рые позволяют осуществлять доступ к отдельным битам, не изменяя содержи- мого остальных; в) защелка или регистр, встраиваемые в большой кристалл, на- пример цифро-аналоговый преобразова-
548 Глава 8 тель; такое устройство необходимо, когда входные данные существуют не все время (в течение тактирующего фронта), по- скольку внутренний регистр может хра- нить данные В табл. 8.9 в конце главы представлены данные большинства полезных регистров и защелок. Отметим такие особенности этих устройств, как: вход разрешения, сброс, выход на 3 состояния и «противо- положное» расположение выводов (входы на одной стороне корпуса, а выходы-на другой) Последнее очень Важно, когда вы используете для размещения печатную плату. 8.25. Счетчики Как мы уже видели ранее, соединяя триг- геры друг с другом, можно построить счетчик. Существует поразительное мно- жество разнообразных устройств такого типа, выполненных в одном корпусе. По- пытаемся выяснить некоторые их харак- терные особенности. Объем или размер счетчика. Вы можете найти в популярной 4-разрядной серии как двоично-десятичные, так и двоичные (или шестнадцатеричные, делители на 16). Существуют счетчики и на большее число разрядов-до 24 (но не все доступны), а также счетчики «по модулю и», позво- ляющие производить деление на целое число п, которое задается с помощью входного слова. Для получения большего числа разрядов счетчики, в том числе и синхронные, можно соединять каскадно Тактирование. Среди выпускаемых про- мышленностью в виде стандартных ИМС счетчиков одни могут тактироваться по- ложительным перепадом, а другие отри- цательным Более существенно, здесь то, является ли счетчик синхронным или асинхронным В синхронных счетчиках тактирование всех триггеров происходит одновременно, а в асинхронных каждый последующий триггер тактируется выхо- дом предыдущего. В асинхронных счет- чиках имеют место переходные состояния, так как начальные триггерные ступени перебрасываются несколько раньше по- следующих. Например, при переходе от Рис. 8.70. Сдвоенный двоично-десятичный счетчик со сквозным переносом типа 74LS390. числа 7 (0111) к числу 8 (1000) асинхрон- ный счетчик пройдет через состояния 6, 4 и 0. Это может привести к ложной работе схемы, воспринимающей текущее состояние счетчика, если в ней не будут предусмотрены соответствующие меры. В таких случаях желательно использовать что-либо подобное D-триггеру, чтобы анализировать состояние счетчика только по тактовому перепаду. Асинхронные счетчики работают медленнее синхрон- ных, так как они накапливают задержку распространения. Для облегчения нара- щивания (путем подключения выхода Q одного счетчика к тактовому входу по- следующего) асинхронные счетчики долж- ны иметь вход, который работает по зад- нему фронту, синхронные счетчики так- тируются положительным перепадом. Мы рекомендуем семейство 160-163 4-разрядных синхронных счетчиков для большинства применений, где не требует- ся специальных особенностей. Схемы 590 и 582 являются хорошими 8-разряднЫМИ синхронными счетчиками. На рис. 8.70 показан сдвоенный двоично-десяти<^ счетчик типа 390 Суммирование/вычитание. Некоторые счетчики могут считать в обоих направле- ниях Для этого существует две возмож- ности: а) отдельный управляющий вход ( + / — ), который устанавливает направле- ние счета и б) два раздельных счетных входа для прямого и обратного счета- Например схемы '191 и '193 соответствен но. Схемы '569 и '579 являются 8-разр
Цифровые схемы 549 ис. 8 71 8-знаковый универсальный однокристальный счетчик на 10 МГц типа Intersil 7216 (с разрешения фирмы Intersil Inc.).
550 Глава 8 ными счетчиками, считающими в обоих направлениях. Предварительная установка и очистка. Большинство счетчиков имеют информа- ционные входы, которые позволяют пред- варительно заносить в них заданное чис- ло. Это, в частности, может потребовать- ся для построения счетчика по модулю п Загрузка может быть как синхронной, так и асинхронной: в устройствах '160—'163 используется синхронная загрузка. Это означает, что ввод данных в счетчик про- изводится по очередному перепаду такто- вого импульса при условии, что на линии ЗАГРУЗКА действует разрешающий сиг- нал. Счетчики '190—'193 являются асинх- ронными, или асинхронно загружаемыми это значит, что информация вводится в счетчик при наличии разрешающего сиг- нала «ЗАГРУЗКА» независимо от состоя- ния тактовой цепи. Иногда используется термин «параллельная загрузка», так как все биты загружаются одновременно. Функция СБРОС (или УСТАНОВКА в «0») является формой предустановки. В большинстве счетчиков вход СБРОС- асинхронный, хотя в некоторых типах ис- пользуется синхронный СБРОС (напри- мер, 462/163). Прочие особенности счетчиков. В неко- торых схемах на выходных линиях ис- пользуются фиксаторы, которые всегда являются «прозрачными фиксаторами», и следовательно, счетчик может использо- ваться так, как если бы защелки не было (следует помнить, что любой счетчик с па- раллельными входами может работать как защелка, однако при этом нельзя од- новременно производить счет и хранить информацию) Иногда очень удобно иметь счетчик в сочетании с фиксатором, например в том случае, когда после нача- ла нового цикла счета необходимо вос- произвести или вывести предыдущее зна- чение. В частотомере это позволяет по- лучить устойчивую интикапию с коррек- тировкой после каждого цикла отсчета и не воспроизводить на индикаторе все текущие состояния счетчика, сбрасывая его в нуль после окончания каждого цикла. Имеются счетчики с выходами на 3 со- стояния. Они незаменимы для примене- ний, где пифры (или 4-разрядные группы) мультиплексируются на одну шину дЛя отображения или передачи в другое уст- ройство. Например, устройство '779 пред, ставляет собой 8-разрядный синхронный двоичный счетчик с выходами на 3 состоя- ния, которые могут работать как парал- лельные входы. Посредством объедине- ния линий ввода/вывода счетчик разме- шается в 16-контактном корпусе. Устрой- ство '593 подобно предыдущему, но вы- полнено в 20-контактном корпусе. Если вы захотите использовать счетчик вместе с индикатором, то к вашим услу- гам несколько устройств, объединяющих в одном кристалле счетчик, регистр, 7-сег- ментный дешифратор и формирователь уровней для управления индикатором. Например, серия 4-разрядных счетчиков 74С925-74С928 Выпускается также схема TIL 306/7, представляющая собой счетчик и индикатор на одном кристалле. Стоит посмотреть на этот прибор, который счи- тает и высвечивает отдельные цифры! На рис. 8.71 показана очень удачная БИС счетчика, которая не требует большого числа дополнительных компонентов. В табл. 8.10 в конце главы представле- ны данные большинства счетчиков-крис- таллов, которые вы можете использовать. Многие из них находятся только в одном семействе (например, LS или F), так что обязательно проверьте по справочнику перед проектированием. 8.26. Регистры сдвига Если несколько триггеров соединить так. что выход Q каждого предыдущего триг- гера будет управлять D-входом последую- щего, а все тактовые входы будут воз- буждаться одновременно, то получится схема, которую называют «регистр сдви- га» По каждому тактовому импульсу комбинация «нулей» и «единиц > в регист- ре будет сдвигаться вправо, а слева через D-вход первого триггера будет вводиться новая информация. Как и во всех трИТ' герных схемах информация на левом в*0' де, присутствующая непосредственно пе ред возникновением тактового импульс3’
Цифровые схемы 551 будет введена в регистр, и на выходе будет обычная задержка распростране- ния Таким образом, регистры можно объединить каскадно, не ожидая возник- новения режима логических гонок. Ре- гистры сдвига широко используются для преобразования данных из параллельной формы (п бит поступает одновременно по п независимым линиям) в последователь- ную (биты один за другим передаются по информационной линии) и наоборот. Они также применяются в качестве запоми- нающих устройств, особенно в тех слу- чаях когда данные считываются и запи- сываются всегда одинаковым образом. Регистры сдвига, как и счетчик, и фикса- торы, представлены большим числом раз- нообразных модификаций Все наиболее важные моменты, связанные с регистром сдвига, будут рассмотрены ниже Объем. 4-разрядные и 8-разрядные ре- гистры являются стандартными. Выпус- каются также регистры и с большим объе- мом (64 бита и больше). Существуют даже регистры с переменной длиной (на- пример, схема 4557 может изменять свою длин-' от 1 до 64 бит с помощью 6-раз- рядного входа управления). •Организация. Обычно регистры сдвига являются одиночными, однако выпуска- ются также сдвоенные, счетверенные и сшестеренные регистры Большинство ре- гистров сдвига производят сдвиг только вправо, но существуют и регистры со сдвигом в обоих направлениях, такие как 194 и 323', которые имеют вход «на- правление» (рис. 8.72). Остерегайтесь хит- ростен типа «двунаправленности» у схемы у: регистр может сдвигать влево только, если соединить предварительно каждый выход с предыдущим входом, затем про- изв“ст 1 параллельную загрузку. Входы и выходы. Небольшие регистры сдвига могут производить параллельный ввод и вывод, и обычно это делают, Вапример, схема '395 является 4-разряд- регистром сдвига с параллельным Водом и выводом (PI/PO) с выходом на •состояния. Большие регистры могут ЖУШествлят только последовательный г®Д и вывод, т е только ввод в первый Триггер или вывод из последнего допуска- 0 О 1 о О 1 1 1 Запрет Сдвиг вправо Сдвиг влево Параллельное занесение Рис. 8.72. 4-разрядный реверсивный регистр сдвига типа 74LS194. ется В некоторых случаях выводятся не- сколько промежуточных выходов Един- ственный способ разместить как парал- лельный ввод, так и параллельный вывод в одном малом корпусе-это использо- вать одни и те же контакты в качестве входов и выходов Так, например, схема 299 представляет собой 8-разрядный ре- гистр параллельного ввода/вывода (PI/PO) в 20-контактном корпусе. Неко- торые сдвиговые регистры включают за- щелки (фиксаторы) на входе или выходе, так что сдвиг может происходить пока данные загружаются или выгружаются. Так же как и у счетчиков, параллельная ЗАГРУЗКА и ОЧИСТКА могут быть ли- бо синхронными, либо асинхронными на- пример схема 323 подобна схеме 299, но с синхронной очисткой. В табл. 8.11 в конце главы приводится список сдвиговых регистров. Как всегда, не все типы регистров присутствуют во всех логических семействах, проверяйте по справочникам ОЗУ в качестве сдвиговых регистров. Запоминающее устройство с произволь- ной выборкой можно всегда использовать
552 Глава 8 Рис. 8.73. Регистр сдвига большой длины на ОЗУ’ и счетчике; косая черточка ука- зывает на кратность линий, в данном случае имеется 4-разрядный канал данных . з), временная диаграмма для определения максимальной частоты синхронизации при наихудшем распределении временных параметров (б); вычисления, показывающие наихудшее распределение за- держек в одном такте синхро- низации (в). Задержка F04 3,7нс Задержка Dgux га74 8,5нс1 Время установки CYC123 5 J Задержка выхода счетч.Р269 10,5 л Время выборки CYC123 7 У Время установки DBX F574 z J Мин.период синхроимп.сдвига 33 нс 13.5- 3,7 =9Внс В.вх 19,5 -г 3,7 =23,2 нс Н.вых как сдвиговый регистр (но не наоборот), используя внешний счетчик для генерации последовательных адресов. Эта идея по- казана на рис. 8.73. 8-разрядный синхрон- ный реверсивный счетчик вырабатывает последовательность адресов для КМОП ОЗУ с организацией 256 х 4 бит. Такая комбинация ведет себя подобно четЫреМ 256-бит сдвиговым регистрам с напраВ' лением сдвига вправо/влево, выбираемым
Цифровые схемы 553 управляющей линией ВВЕРХ/ВНИЗ счет- чика Все остальные входы, как показано на рисунке, служат для разрешения счета Выбирая быстрые счетчики и память, мы можем достигнуть максимальной скорос- ти 30 МГц (см. временную диаграмму), которая такая же, как и у интегральных (не на много меньше) сдвиговых регист- ров НС-типа. Этот метод может быть использован для получения очень боль- шого регистра сдвига, если требуется. Упражнение 8.28. В схеме на рис. 8.73 кажется, что входные данные поступают в ту же ячейку, что и вы- ходные данные при чтении. Тем не менее схема ведет себя подобно классическому сдвиговому регистру на 256 слов. Объясните, почему. 8.27. Последовательностные ПМЛ Комбинационные (только на вентилях) ПМЛ, которые мы рассматривали выше в разд 8.15, входят в большое семейство, которое включает устройства с различ- ным числом регистров D-типа на крис- талле (называемые «регистровые ПМЛ»), Типичный из этих ПМЛ-16R8-показан на рис. 8.74. Программируемая-И/фикси- рованная ИЛИ матрица типовых комби- национных ПМЛ генерирует входные уровни для 8 синхронно тактируемых ре- гистра D-типа с выходами на 3 состояния; выходы регистра (прямой и инверсный) как и стандартные входные контакты доступны как входы логической матрицы. Если вы посмотрите снова на рис. 8.57, вы увидите, что ПМЛ с регистрами - это элемент последовательностной схемы об- щего назначения с определенными огра- ничениями на число регистров и вентилей, и вы можете конструировать, что хотите. Эо только в этих пределах Например, вы Можете сделать сдвиговой регистр или счетчик или сразу оба. На практике вы Можете сделать некоторый кусок логики как часть большой схемы, для которой альтернативой является дискретная логи- ка построенная на вентилях и триггерах Посмотрим некоторые примеры. Карты перемычек, создаваемые вруч- •ho. Простые проекты могут конструиро- ваться в ПМЛ посредством изображения логики, затем соответствующий образ преобразуется в массив перемычек для программатора ПМЛ Так, например предположим, что мы хотим получить 4-входовый мультиплексор с защелкива- емыми выходами Мы можем записать логическое уравнение для мультиплекс- ной части (т.е. до D-входов триггера) в виде Q.d = Io *S'q * S1 4-J1*S0*S,1 + 4- 7^2 * SQ 4- 13 * Sq * S , где входы So и Ц-адреса выбирающие входы Io-S3 и «*» и «4-» соответственно И или ИЛИ. Регистровые ПМЛ легко реализуют это с фиксацией результата. Заметим, что мы использовали ИЛИ 3-входовых И, предпочитая это первоначальному деко- дированию выбранного адреса на двух- входовых вентилях И, так как мы вы- нуждены использовать сумму произведе- ний (это также быстрее). Окончательная схема показана на рис. 8.75 (заметим, су- ществует тонкость для этой схемы, см. подразд. «Выбросы» в конце этого раз- дела). PALASM. Для схем какой-либо разум- ной сложности необходимы некоторые методы логического проектирования ПМЛ. Например, ПМЛ 16L8 имеет 2048 перемычек, а сложный проект может по- требовать, чтобы вы прожгли несколько сотен из них. и если вы не необычайно обязательны, то маловероятно, что до- стигните цели вручную, корректно опре- делив их для ПМЛ-программатора. PALASM (PAL Assembler, торговый знак фирмы Monolithic Memories Inc.), разработанный фирмой Monolithic Me- mories (впервые создавшей ПМЛ), был одним из первых инструментов. Он берет логическое выражение, подобное тому, которое мы писали выше, и преобразует его в карту перемычек. Производится это посредством программы, но без логиче- ской минимизации, поэтому вы должнь проделать эту трудную работу сами Однако PALASM позволяет вам вводить набор тестовых состоянии (называемых тестовыми векторами), дающих возмож- ность получить на выходах то. что долж-
554 Глава 8 Рис. 8.74 Регистровая ПМЛ 16R8 имеет 8 внешних входов, 8 выходов, пинии синхронизации и управления 3** состоянием. Выходы регистра можно также использовать как входы матрицы И (с разрешения фирмы Advan Micro Devices. Калифорния.).
Цифровые схемы 555 рис. 8.75. 4-входовый мультиплексор с фиксапией, выполненный на ПМЛ. но быть результатом ваших логических спецификаций. Таким образом вы можете отлаживать ваши уравнения перед изготовителем ПМЛ. PALASM широко используется. Существуют листинги исходных текстов (кодов) на языке Фортран, которые могут затем послать карты перемычек для ПМЛ-программаторов (в стандартном «JEDEO-формате) через последователь- ный порт. Многие ПМЛ-программаторы со встроенными микропроцессорами включают резидентный PALASM такие, например, как у фирм Data I/O, Digiles, Stag, и Structured Resign. Остается только подключить терминал, и вы в работе. Языки ABEL и CUPL. PALASM-по- мощник но серьезному пользователю ПМЛ необходимо большее. Языки логи- ческого программирования высокого уровня подобно языкам ABEL (фирмы Data I/O) и CUPL (фирмы Logical Devices) Делают программирование ПМЛ (и ПЛМ) легкой работой. Они позволяют вам задавать логику либо через логиче- ские булевы уравнения, либо через табли- цы истинности, хтя последовательност- ных схем вы задаете состояния и правила Перех >да. Подобно какому-либо хороше- языку высокого уровня, вы можете определять массивы (для набора сигна- лов. т.е. адресной шины), выражения и Цромежуточные значения, затем исполь- зовать их в других выражениях Эти языки достаточно «умны» для пре- °бразования таблиц истинности в логи- чески : выражения с последующей мини- мизацией их (также, как и логических Левых выражении) в логически иден- тичные, окончательный результат полу- чается в форме, которая соответствует логическим ограничениям устройства (т.е. сумма произведений для ПМЛ). Вместо записи сверху вниз явнозаданных логических выражений для ряда значений вам достаточно записать что-то вроде ADDR [10...FF], которое будет преобра- зовано в соответствующую логику Эти языки также позволяют вам определять тест-векторы, с помощью которых тести- руется ваша схема, кроме того, тест-век- торы могут также посылаться в програм- матор для проверки запрограммирован- ного кристалла. Наконец, эти языки по- зволяют получить стандартную докумен- тацию на законченный кристалл, что су- щественно. если вы захотите отлаживать приспособление с этими самодельными устройствами. С целью конкретизации этих идей, да- вайте рассмотрим оба примера проекти- рования как последовательностных, так и комбинационных схем, используя язык CUPL Пример использования языка CU PL для проектирования преобразователя из "-сег- ментного кода в шестнадцатеричный комбинационная логика/. Наступает вре- мя. когда вы захотите использовать же- лаемый БИС-кристалл, который выпол- няет определенную функцию (например, калькулятор или хронометр), как часть создаваемого вами устройства Беда в том, что эти БИС-кристаллы обычно име- ют выходы хчя прямого управления 7-сег- ментным индикатором, который пред- почтительней. чем шестнадцатеричные (или двоичные) выходы, которые вы хо-
556 Глава 8 Цифровые схемы 557 Рис. 8.76. Коды 7-сегментного индикатора /** Inputs **/ PIN 1 = а PIN 2 = Ь PIN 3 = с PIN 4 = d PIN 5 = e PIN 6 = f PIN 7 = g /** Outputs **/ PIN 19 PIN 18 PIN 17 PIN 16 = !D3 = !D2 = !D1 = !D0 /* segment a I * segment b I * segment c /* segment d / * segment e I * segment f I * segment g */ */ */ */ */ */ */ тите получить. Давайте спроектируем кристалл-шифратор, который преобразу- ет 7-сегментный код обратно в 4-битовый двоичный, такая функция не реализуется как стандартная микросхема (хотя су- ществует дешифратор из семисегментного кода в двоично-десятичный, 74С915). Входы представляют отдельные сег- ментные сигналы, которые всегда поме- чаются символами a —f (рис. 8.76). На рис. 8.76 показано, как цифры представ- ляются на 7-сегментном индикаторе. За- метьте, что возможно двоякое представ- ление «9» и «С», оба из которых должны корректно восприниматься вашей логи- кой Для ПМЛ мы выбираем X6L8, 20 контактная комбинационная часть ко- торой логически была показана на рис 8 45. Рис 8 77 представляет входное описа- Рис. 8.77. Спепификапия преобразователя 7-сегмент- ного представления в 16-ричное на языке CUPL. ; /* msb of hex encode */ ; /* */ ; /* */ ; /* Isb */ /** Declarations and Intermediate Variable Definitions **/ zero = a& b& c& d& e& f& !g ; one = 1 a & b & c & !d & !e & !f & !g ; two = a & b & !c & d & e & !f & g ; three = a& b& c& d& !e& !f & g ; four = !a & b & c & !d& !e & f & g ; f i ve = a& !b&c&d & ! e & f & g ; six=a& !b&c&d&e&f&g; seven = a & b & c & ! d & ! e & ' f & ! g ; eight = a& b& c& d& e& f& g; nine = a & b & c & !d & !e & f & g #a&b&c&d& !e & f & g ; /* two ways */ hexa = a & b & c & !d&e&f&g; next — a & Fb & c& d& e& f& g* hexc = 'a& ' b & ! c & d & e & !f&g #a& !b & !c&d&e&f& !g ; /* two ways */ hexd = !a&b&c&d&e& ! f & g ; hexe = a & ! b & Ic&d&e&f&g; hexf = a & !b& ! c & !d&e&f&g; /** Logic Equations *’/ D3 = eight # nine # hexa # hexb # hexc # hexd # hexe # hexf ; D2 = four # five # six # seven # hexc # hexd # hexe # hexf ; DI = two # three # six # seven # hexa # hexb # hexe # hexf ; DO = one # three # five # seven # nine # hexb # hexd # hexf ; рие на языке CUPL. Здесь сигналы за- пуска сегментов а — д обозначают входы (положительная логика), а шестнадцате- ричные разряды D0-D3 выходы (отри- цательная логика). Язык CUPL позволяет определить промежуточные переменные, которые могут быть использованы в вы- ражениях позднее. В этом случае удобно определить очевидные переменные от zero до next через возможные отображения цифры в терминах сегментных входов. Это просто большие термы произведений (И) от входных сегментных переменных, которые вы можете прочесть из изобра- жений цифр на рис. 8.76. Окончательно каждый двоичный выходной бит записы- вается как сумма (ИЛИ) цифровых пере- менных при которых этот бит устанавли- вается. Мы используем уровни отрица- тельной логики, потому что 16L8 пред- оставляет матрицу И-ИЛИ-НЕ. Этим за- канчивается логическая спецификация для языка Упражнение 8.29. Проверьте для себя правильность нашей работы, записав некоторые из отображаемых симв > эв. через заданные нами промежуточные пере- менны zero-next. Язык CUPL сначала использует опре- деление промежуточных переменных для записи выражений D0-D3 прямо в тер- мах входных переменных a —f. работа, которая подобно ассемблеру PALASM должна исполняться нами изначально. В этом случае логические уравнения пред- ставлены в желательной И-ИЛИ-НЕ фор- ме Однако мы не закончили на этом так как 16L8 (и все другие комбинационные ПМЛ) допускают не более 7 термов про- изведений для каждой суммы, тогда как «мы имеем 9. 8. 9 и 10 соответственно для •вых >дов DO - D3. Одним решением может быть связывание выхода через вторичный вентиль ИЛИ. для того чтобы получить кела ельное число термов произведений в сумме. I Эта обычно рассматриваемая форма Плоха, так как она удваивает задержки Распространения, хотя это не так важно в медленных устройствах, подобных это- М? Лучшее решение произвести логиче- скую минимизацию, используя логичес- кую эквивалентность по формуле Мор- гана например. Expanded Product Terms ** DO => a&b&c&d& !e&g # a & b & c & !e&f&g # a & c & d & !e&f&g # b & c & !d & !e & !f & !g # !a & Ib&c&d&e&f&g # a & !b & !c & Id&e&f&g # !a&b&c&d&e& !f & g DI => a& ! b & !c&e&f&g # Ib&c&d&e&f&g # a & b & c & !d&e&f&g # a & b & ! c & d & e & ! f & g #a&b&c&d& !e & !f & g # a & b & c & !d & !e& !f& !g D2 => a & !b & !c & d & e & f H a & Jb&c&d&f&g # a & !b & !c&e&f&g # !a & b & c & !d & !e&f&g # !a&b&c&d&e& .'f&g # ! a & ! b & !c&d&e& ! f & g # a & b & c & !d & !e & !f& !g D3 => a&b&c&f&g # a & !b & !c & d & e & f # a & !b & !c & e & f & g # ! a & ’b&c&d&e&f&g #!a&b&c&d&e& !f&g # !a & !b & !c & d & e & ! f&g DO . oe DI. oe D2. oe D3. oe => 1 => 1 => 1 => 1 Рис. 8.78. Преобразователь 7-сегментного представ- ления в 16-ричное с минимизированными термами произведения. Мы запускаем минимизатор CUPL. который выдает термы произведений (рис 8.78). Взгляните, все удовлетворяет ограничению «7 произведении» CUPL также рисует для вас карту перемычек (рис. 8.79). Конечно, вы не программируе- те ПМЛ так, а используете вместо этого прямую загрузку универсального JEDEC программирующего формата. В этом примере язык CUPL, очевидно, делает трудную задачу простой. Пример использования языка CL PL для программирования автомата-продавца (последовательная схема Произвольная машина состояний (разд. 8.18) имеет на-
558 Глава 8 ** Fuse Plot ** Pin #19 0000 ------------------------------- 0032 x-x-x-----------x--x----------- 00 64 -xx--x—x---x---x-------------- 0096 -xx—x-------x---x--x----------- 0128 -x-xx---x---x---x--x----------- 0160 x—xx----x---x---x—x------------ 0192 -x-x-x—x----x---x—x------------ 0224 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx Pin #18 0256 ------------------- 0288 -xx—x—x---x---x---- 0320 -xx-x--x------x--x—• 0352 -xx—x-----x---x--x- 0 384 x—xx--x--x—x----x— 0 416 x—xx--x--x---x—x— 0448 -x-x-x—x x x—x—• 0480 x-x-x--x--x---x-x- Pin #17 0512-------------------------------- 0544 -xx—x--------x--x---x---------- 057 6 -x—x------x x--x---x---------- 0 60 8 x-x-x----x—x--x---x---------- 0 64 0 x-x--x--x-x---x—x------------ 0 672 x-x-x--x---x x—x-------------- 07 04 x-x-x--x---x x x-------------- 0736 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx Pin #16 0768 ------------------------------------ 0800 x-x-x----x---x--------x------------ 0 832 x-x-x--------x—x------x------------ 0864 --x-x----x---x—x------x------------ 089 6 x---x----x---x---x----x------------ 0 928 -x-xx-------x x-----x x------------ 0 960 -xx—x-------x—x-----x x------------ 0992 x—xx--------x x----x--x------------ Обозначения X : перемычка не удаляется - :перемычка удаляется Рис. 8.79. Карта перемычек преобразователя 7-сег- ментного представления в 16-ричное. бор состояний и правил перехода для движения между этими состояниями по каждому фронту синхроимпульса Прави- ла перехода зависят от текущего состоя- ния и от частной комбинации входных уровней, существующих на следующем фронте Вы можете соорудить машину состояний на программируемой логике, содержащей регистры, если а) существует достаточное число битов в регистре для представления всех возможных состояний (например, с 4-разрядным регистром вы можете иметь до 16 состояний), и б) существует достаточное число входов и логических вентилей для реализации цра. вил перехода. Как пример, давайте спроектируем ре- гистровую ПМЛ для диаграммы состоя- ний на рис. 8.80. Это-торговый автомат предназначенный для выдачи бутылки сладкой шипучей жидкости, когда опу- щено 25 цент или более. Существует не- который вид монетного интерфейса, ко- торый «заглатывает», распознает монету и посылает на наш ПМЛ 2-битовый вход- ной сигнал (С1, СО), действительный для одного такта, показывающего монету, ко- торую опустили (01-5 цент, 10-10-цент монета, 11-25-цент монета, 00-нет мо- неты). Задача машины состояний добав- лять к общему вкладу и формировать выход, называемый «бутылка», когда опу- щено достаточно монет. Рис. 8.81 показывает спецификацию, выполненную в синтаксисе машины со- стояний языка CUPL. Как и прежде, мы начнем с определения входных-выходных контактов Заметим, что мы добавили вход reset (сброс) так. чтобы вы могли перейти к начальному состоянию S0 (нет монеты). Затем мы определим состояния, потом правила перехода между ними. Ес- ли какие-либо выходы, либо регистровые, либо комбинационные, необходимо гене- рировать в течение состоянии или перехо- дов между состояниями, они определяют- ся в то же самое время. В этом примере
Inputs Pin 1 = elk r' /* clock — positive edge */ Pin 3 = cO r /* coin type — low bit */ Pin 4 = cl / /* coin type — high bit */ Pin 6 = reset / /* reset input */ Outputs **/ Pin 18 Pin 17 Pin 16 Pin 15 ! QO !Q1 ! Q2 !bottle ; /★ bit 0 of state variable /* bit 1 /* bit 2 /* bottle disgorge command */ */ */ */ /* Define machine states with symbolic names; "enough" = 25 cents or more */ $define $define $define $define $define $define SO S5 S10 S15 S20 ENOUGH 'b'000 'b'001 'b'010 'b'Oil 'b'100 'b'101 /* define intermediate variables */ nocoin = nickel = dime = quarter = !c0 & !cl cO & !cl !c0 & cl cO & cl & !reset & !reset & !reset & !reset /* Define state bit variable field */ field statebit = [Q2..0] ; /* Transition rules for vending machine */ sequence statebit { present SO if nocoin next SO; if nickel next S5; if dime next S10; if quarter next ENOUGH out bottle present S5 if nocoin next S5; if nickel next S10; if dime next S15; if quarter next ENOUGH out bottle present S10 if nocoin next S10; if nickel next S15; if dime next S20; 1 X? quarter next ENOUGH out bottle present S15 if nocoin next S15; if nickel next S20; if dime next ENOUGH out bottle if quarter next ENOUGH out bottle present S20 4 -C nocoin next S20; if nickel next ENOUGH out bottle, if dime next ENOUGH out bottle if quarter next ENOUGH out bottle; present ENOUGH next SO: } 8.81. Спецификация торгового автомата на языке CUPL
560 Глава 8 ** Expanded Product Terms ** QO.d => !Q0 & !Q1 & cO & !reset # !Q0 & !Q2 & cO & ‘reset # QO & !Q2 & ‘cO & !reset # QO & !Q2 & cl & .'reset # ! QO & !Q1 & Q2 & cl & !reset Ql.d => !Q1 & !Q2 & !c0 & cl & !reset # '.QO & QI & !Q2 & !cl & ‘reset # QI & !Q2 & !c0 & !cl & !reset # QO & !Q1 & !Q2 & cO & !cl & .'reset Q2.d => !Q0 & !Q1 & Q2 & !reset # QI & !Q2 & cl & 'reset # !Q2 & cO & cl & .'reset # QO & QI & !Q2 & cO & !reset bottle.d => !Q2 & cO & cl & I reset # !Q0 & !Q1 & Q2 & cO & !reset # !Q0 & '. QI & Q2 & cl & ! reset # QO & QI & !Q2 & cl & !reset statebit => Q2 , QI , QO Рис. 8.82. Выход CUPL для торгового автомата. выход «бутылка» специфицируется как раздельный выходной регистр, т. е. вы- ходные состояния дешифрировать не нуж- но. Фактически нужен только выход, а би- ты Q0-2 машины состояний могут быть представлены во внутренних регистрах, которые не генерируют прямо выводы; некоторые программируемые логические устройства имеют также внутренние ре- гистры в дополнение к обычным выход- ным регистрам. Заметим, что вы должны определить точно переход из некоторого состояния в себя, также, как мы делали для входа «нет монеты». Неопределенное условие безусловно сбрасывает состояние во все нули. Это происходит потому, что эти условия собираются в комбинационную логику для выставления на D-входы ре- гистров и, таким образом, если условие не удовлетворяется, то соответствующий D- вход не подтверждается. Рис. 8.82 пока- зывает вывод из языка CUPL. Ничего очевидного или простого в логике, по- скольку и состояние автомата (S0-S5) и входящие переменные (СО - 1) определе- ны в виде двоичных чисел, а логика рабо- тает по отдельным битам. Таким обра- зом, результирующая логика не сильно связана с первоначальным описанием со- стояний (рис. 8.81). Фактически, конкрет- ный выбор состояний (возрастающий дво- ичный код 0-5) мог бы быть различным это полностью изменило бы результи- рующую логику. В этом случае этот пример легко укла- дывается в ограничениях ПМЛ 16R6 (8 термов произведений на регистр): если это не так, мы могли бы попытаться пере- определить состояния, что часто приво- дит к более простой логической реализа- ции. Заметим, что вход сброса действует, заменяя безусловность всех D-входов, ко- торую мы задали посредством нашего задания промежуточных переменных «нет монеты», «5 цент» и т. д. Упражнение 8.30. Проконтролируйте правильность конечных логических выражений, посредством про- верки нескольких правил перехода. Вы должны испы- тать все переходы от 00, или от «5 центов», или «10 центов» к какому-либо другому состоянию. Упражнение 8.31. Хорошие торговые автоматы имеют размен. Перерисуйте диаграмму состояний (рис. 8.80) так, чтобы были состояния (сколько?) для каждого возможного числа разменов. Модифицируй- те правила перехода соответственно. Гарантируйте, чтобы ваш усовершенствованный автомат выполнял свою основную работу-выдачу бутылок. Упражнение 8.32. Нарисуйте диаграмму состояний и правил перехода для электронного комбинационно- го замка. Он должен открываться только тогда, когда четыре цифры набраны в правильном порядке. Какая- либо ошибка должна сбрасывать его. Возможные применения программируемых логических интегральных схем (ПЛИС) Для выполнения некоторых функций ПЛИС подходит как нельзя лучше. Во самые важные области применения и преимущества ПЛИС Автоматы Как и в предыдущем при- мере, ПЛИС естественным образом впи- сывается в произвольный синхронный ав- томат Было бы неразумно испотьзовать матрицу из D-триггеров и дискретную комбинационную логику, когда ПЛИ способна выполнить те же функции в оД ном недорогом и мощном корпусе. Замена произвольной логики. Во многих
Цифровые схемы 561 Выходы Рис 8.83. Программируемое логическое устройство (а); обычная дискретная логика (б). схемах вы можете обнаружить узлы и клубки из вентилей, инверторов и тригге- ров, называемых с пренебрежением «про- извольная логика» или «клей». ПЛИС обычно сокращает число корпусов в 4 и более раз. Кроме того, при использовании ПЛИС схема становится более понятной, поскольку применение большого числа вентилей означает, что всю необходимую дентальную обработку можно сделать при вводе в регистры (при этом выходы становятся строго синхронными) вместо того, чтобы комбинировать выходы ре- гистра с вентилями (при этом выходы не будут строго синхронными): см. рис. 8.83. Гибкость. В ряде случаев вы не совсем представляете себе, как, в конце концов, должна работать ваша схема, тем не ме- нее вы должны как-то ее завершить, что- бы иметь возможность с ней «поиграть» Здесь ПЛИС как раз к месту, так как 8 отличие от дискретной логики на неко- тором этапе вы имеете возможность заме- нись одну на другую без перемонтажа Схемы на ПЛИС приобретают характер пр' грамм сколько версий. С помощью ПЛИС Иожн) спроектировать одну единствен- ную схему и затем изготавливать несколь- ко различных версий устройства, выпус- ки платы с различно запрограммирован- ными ПЛИС. Например, вы могли бы Иметь компьютер, который содержит ‘Ристаллы памяти либо на 256К, либо на причем изменения касались бы толь- ко ПЛИС. Скорость и комплектация. При исполь- зовании ПЛИС проектирование схемы в общем случае можно выполнить гораздо быстрее (если вы, разумеется, хорошо ориентируетесь в этой области). Более того, вам потребуется всего несколько типов ПЛИС, а не несколько дюжин ти- пов стандартных схем средней интегра- ции. Действительно, всего две новых ПЛИС GAL (базовая матричная логика) благодаря программируемости своей внутренней архитектуры (и связей) заме- нят целый набор ПМЛ. В частности, 20-выводная GAL16V8 и 24-выводная GAL20V8 каждая может имитировать по 21 стандартных ПМЛ. Более того, их можно запрограммировать как «помесь» ПМЛ (например, нечетное число регист- ров). Расширение функции ПМЛ. Входы'вы- ходы. Выводы выходов с 3 состояниями, подключенные внутри кристалла к вхо- дам матрицы И, можно использовать в качестве входов Например. ПМЛ 16L8. показанная на рис. 8.45, имеет 16 входов (прямых и инверсных) на матрицу И: 10 из них обозначены как входные выводы, а 6 являются цепями обратной связи с выхо- дов с 3 состояниями Эти 6 выводов можно превратить в «перманентные» вхо- ды путем блокировки соответствуюшего выхода (подключить пару прямой'инверс- ный к управлению И): с другой стороны, эти входы могут работать в двух направ- лениях за счет блокировки формирова- телей с 3 состояниями, соответствую-
562 Глава 8 Рис. 8.84. Программируемая макроячейка базовой матричной логики. щими некоторой логической переменной. «.Супер-ПМЛ». Ранее мы уже отмечали, что наиболее гибкая программируемая ло- гика соответствует оригинальным ПМЛ, среди которых самыми известными явля- ются стираемые КМОП-варианты фирм Lattice, VTI, Altera и др. ПМЛ GAL фирмы Lattice, например, использует программируемую логику с электрическим стиранием, так что вы мо- жете перепрограммировать кристалл. Бо- лее того, выходные структуры («макро- ячейки») сами программируемы,-каждый выход может быть как регистром, так и комбинационной схемой с прямым и ин- версным выходом. Похожей программи- руемостью обладают линия активации 3-го состояния и линия включения обрат- ной связи (последняя может подключать- ся до и после буфера с 3 состояниями или к соседнему выходу); см. рис. 8,84. В результате, вы можете имитировать любую обычную 20-выводную ПМЛ. ис- пользуя только один корпус GAL16V8 (и любую обычную 24-выводную ПМЛ, ис- пользуя GAL20V8). Это позволяет сохра- нять перечень комплектующих изделий в управляемых границах. Фирма Altera имеет линию прогр; мми- руемой КМОП-логики, которая допуска- ет ультрафиолетовое стирание по типу ЭППЗУ (в корпусе ИС над кристаллом имеется окно из кварцевого стекла). Такие устройства называют СПЛИС стирае- мая программируемая логическая инте- гральная схема. Самая маленькая ИС из этой серии (ЕР320) имеет выходные мак- роячейки и имитирует все 20-выводные ПМЛ, точно также, как GAL16V8. Более того, она маломощна в отличие от «про- жорливых» оригинальных ПМЛ (см. ни- же). Наконец, фирма Altera выпускает не- сколько более крупных СПЛИС. а также программируемые микросеквенсеры и т. п. Фирмы Cypress и VTI также выпуска- ют стираемую программируемую КМОП-логику с макроячейками. Другим подходом к созданию програм- мируемой логики является ОЗУ-подобная вентильная матрица фирмы Xilinx. Впе- чатляющие кристаллы этой матрицы со- держат огромные блоки конфигурируй' мой логики, причем конфигурация связен хранится во внутреннем ОЗУ’ кристалла (энергозависимая память). Эта память за- гружается от внешней памяти после bkti0'
Цифровые схемы 563 чения питания либо от микропроцессора; кроме того, она может самозагружаться с использованием памяти типа энергоне- зависимого ПЗУ. Скорость и мощность. Оригинальная ПМЛ на биполярных транзисторах, раз- работанная на фирме Monolithic Memories (и быстро скопированная National и AMD) потребляла значительный ток- около 200 мА для 16L8/16R8, и имела задержку распространения 40 нс. После- дующие биполярные ПМЛ «половинной мощности» были вполне приемлемы - 90 мА и 35 нс. Однако самые быстрые ПМЛ потребуют все еще уйму мощности; например, время распространения ПМЛ 16R8D и 16Р8-7 фирмы AMD составляет соответственно 10 и 7,5 нс, но потребляют они 180 мА. (макс.). КМОП-приборы су- щественно лучше GAL (GAL20V8-15Q) «1/4 мощности» фирмы Lattice потребля- ют 45 мА при задержке 15 нс, ЕР320-1 фирмы Altera обладают задержкой 25 нс при токе 5 мА. Но что более важно для маломощных устройств, так это то, что кристаллы фирмы Altera (а также ПМЛ серии Z фирмы AMD) могут работать в резервном режиме с «нулевой мощно- стью». Конструкторы будущих структур программируемой логики, наверняка, со- хранят эту здоровую тенденцию к повы- шению скорости и снижению мощности, современная программируемая логика, этот «пожиратель ватт», начинает ухо- дить в прошлое! Выбросы. ПЛИС, конечно, удивитель- ная вещь, но вы можете, ненароком, ока- заться в затруднительном положении, ес- ли (не будете помнить о возможности логических состязаний. На рис. 8.85 пока- зан 2-входовый мультиплексор, выпол- самым обычным способом; по- казаны ПМЛ-реализация и эквивалентная схема. Здесь все выглядит как-будто пре- красно, но схема имеет один изъян: когда °ба входа (А и В) находятся в состоянии высокого уровня, а линия ВЫБОР меняет состояние, на выходе, как показано, воз- можен выброс. Это объясняется тем, что внутренние задержки по цепям S a S Мргут быть не равны, что приведет к по- явлению переходного состояния, в кото- Рис. 8.85. Исключение гтитча в ПМЛ: а-2-входовый мультиплексор; б-для исключения глитча добавляет- ся избыточный герм произведения; в-карта Карно с избыточным термом. ром на одном из входов вентилей И будет низкий уровень. Избавиться от этого можно, использовав избыточный терм А* В. который будет гарантировать от- сутствие выбросов, в чем вы можете легко убедиться.
564 Глава 8 У пражнение 8.33. Покажите, что дополнительный терм устраняет все возможности для появления вы- бросов Упражнение 8.34. Какие логические термы следует добавить к 4-входовому мультиплексору (рис. 8.75) для устранения выбросов. С помощью карт Карно вы можете наглядно убедиться в существовании этих так называемых логических рисков; карта Карно для 2-входового мультиплексора рис. 8.85, а приведена на рис. 8.85, в. Каж- дая группа на карте представляет один терм произведения, который образует вход вентиля ИЛИ. Истинное значение на выходе ИЛИ появляется в том случае, когда любой из термов произведения име- ет истинное значение; но переход между группами произведений может приводить к выбросам, если переменные первой группы снимаются, до того, как будут назначены переменные второй группы. «Противоядие» (которое мы использова- ли и ранее) состоит в добавлении избы- точных термов, гарантирующих, что лю- бые переходы между логическими «1» бу- дут включены в один терм произведения. Другими словами, любые единицы, кото- рые лежат в соседних рядах или столбцах, будут покрыты группой произведения. Это предписание можно дать в обобщен- ной форме, связанной непосредственно с булевым логическим выражением, а не с картой Карно. Это удобно для логи- ческих функций, зависящих от более, чем 4-х переменных. В предыдущем примере представлены так называемые статические выбросы, по- скольку выход будет оставаться статиче- ским. Существуют также динамические выбросы, проявление которых заключает- ся в том. что выход, который должен сделать один-единственный переход, на- чинает многократно переходить из со- стояния в состояние При использовании программируемой логики можно избе- жать этих внутренних гоночных условий. В общем средства проектирования такие, как PALASM. ABEL и CUPL не пытаются решать эти проблемы. Если хотите, они делают все. чтобы ухудшить ситуацию поскольку их логические оптимизаторы усердно работают по исключению таких избыточных термов. 8.28. Разнообразные последовательностные схемы Появление на рынке большого числа раз нообразных БИС и СБИС (имеющих бо лее 1000 вентилей на кристалле) дает воз- можность использовать достаточно ело» ные устройства, выполненные на одном кристалле. В этом разделе мы приведем несколько выборочных примеров. Память типа «первый вошел-первый вышел» (FIFO) в некотором смысле ана- логична регистру сдвига, так как инфор- мация. вводимая на входе, в том же по- рядке появляется на выходе. Однако меж- ду ними есть существенное отличие, кото- рое состоит в том, что в регистре сдвига информация «проталкивается вдоль него» по мере ввода и тактирования дополни- тельных бит, а в запоминающем устрой- стве типа «первый вошел-первый вышел» данные «проваливаются насквозь» и вы- страиваются в выходную очередь с очень малой задержкой. Управление вводом и выводом производится раздельными так- товыми последовательностями, а устрой- ство помнит, какие данные введены и ка- кие выведены. Можно провести полезную аналогию с кегельбаном, где белые и чер- ные игровые шары (биты) возвращаются на исходную позицию. Эти биты вводятся с помощью игральной машины, а время, которое требуется шару для того, чтобы прокатиться по своему лотку, соответст- вует «задержке при сквозной передаче» в ЗУ типа «первый вошел-первый вы- шел» (обычно 1-25 мкс). После этого биты приходят на выход и могут заби- раться пользователем по мере надобности (т.е. асинхронно). Память типа «первый вошел-первый вышел» полезно исполь- зовать для буферизации асинхронных данных. Классическим примером являет- ся буферирование клавиатуры (или ДрУ' того входного устройства, такого, как магнитная лента) ЭВМ или другого ус7' ройства обработки данных. При исполь- зовании этого метода данные не будУ1 теряться в том случае, когда ЭВМ 06 готова принять очередное выработанн°е слово-при условии, конечно, что ЗУ 06
Цифровые схемы 565 заполнено целиком. Примерами типич- 0Ь1Х устройств памяти являются 74F433 (ТТЛ, 64 слова по 4 бит каждое, 10 МГц, время «пролета» 4 мкс) и IDT7202 (КМОП, 4096 х 9, 15 МГц, нулевое время «пролета»). . Память типа «первый вошел-первый вышел» не применяется, если устройство, которому вы посылаете данные, может всегда получить их до поступления сле- дующих данных. На компьютерном язы- ке - вы должны гарантировать, что макси- кйльная латентность меньше, чем мини- мальное время между словами данных (время скрытости данных). Заметим, что память типа «первый вошел первый вы- шел> не будет полезна, если получатель (данны не готов (не способен) в среднем воспринять приходящие данные. Умножитель частоты используется для генерации последовательности выходных импульсов с частотой, значение которой связано с тактовой частотой через рацио- •нальную дробь. Например, 3-декадный двоично-десятичный умножитель позво- ляет получать на выходе частоты, состав- ляющие или/1000 от входной частоты; тг трехзарядное десятичное число, за- данн е тремя входными двоично-десятич- ными знаками. Это не то же, что счетчик по модулю п, так как с его помощью на выходе нельзя получить частоту, равную 3/10 входной. Отметим один важный мо- мент импульсы на выходе умножителя в общем случае не будут следовать рав- номерно. Они совпадают с входными так- товыми импульсами и образуют чудные комбинации, средняя частота которых за- дается предварительно. Примерами уст- ройств являются '97 (6-битовый, двоич- ®>тй । и 167 (двоично-десятичный). Счетчик частоты. Фирма Iniersil имеет Хороший набор интегральных счетчиков частоты. Они включают средства блоки- ровки входного сигнала для точного опре- снения интервалов, до восьми цифр дво- ‘-десятичного счетчика, дисплейные Формирователи и т. д. Эти кристаллы Юычно требуют очень мало внешней схе- мотехники. Цифровые вольтметры. Вы можете по- ЧУчить цифровые вольтметры на одном кристалле. Они включают цепи аналого- цифрового преобразователя и необходи- мой синхронизации, схемы счета и управ- ления дисплеем. Примерами таких уст- ройств являются маломощный 3,5-раз- рядный АЦП ICL7136 и 4.5-разрядный АЦП ICL7129; оба используют жидко- кристаллический семисегментный индика- тор и работают от одной батареи 9 В. Схемы специального назначения. Су- ществуют прекрасные наборы БИС крис- таллов для областей, подобных радио- связи (например, синтезаторы частоты), для цифровой обработки сигналов (ум- ножители, накопители, цифровые фильт- ры), корреляторы, арифметические уст- ройства), передачи данных (универсаль- ных), асинхронные приемопередатчики, модемы, сетевые интерфейсы, ИС крис- таллографирования (декристаллографи- рования данных, преобразователи после- довательных форматов). Часто эти крис- таллы используются совместно с устрой- ствами на базе микропроцессоров и мно- гие из них не могут работать в одиночку. Кристаллы для бытового применения. Полупроводниковая промышленность любит разрабатывать ИС для использо- вания их в изделиях большого рынка. Вы можете получить однокристальные схемы для изготовления цифровых (или «анало- говых») часов, таймеров, замков, кальку- ляторов, детекторов дыма, телефонных аппаратов, синтезаторов музыки, генера- торов ритма и аккомпанемента и т. д. Что касается радиоприемников, телевизоров, компактдисков, то сейчас в этом отноше- нии дело обстоит хуже из-за большой степени интеграции. Синтез речи (и осо- бенно распознание речи) в последнее вре- мя получил некоторое развитие: вот по- чему лифты, автомобили и даже кухонные аппараты обращаются теперь к нам теми голосами, которые мы любим. Судя по всему, следующим большим шагом будет разработка эффективных автомобильных схем (хтя выполнения функций двигателя, систем предотвращения столкновений и т.п.). Микропроцессоры. Самым выдающим- ся примером «чуда» БИС является микро- процессор (компьютер на кристалле). На
566 Глава 8 NMI P80/INTO Контроллер прерываний Сторожевой таймер Р50-Р55/ AN0-AN5 VREF AGNO P30/RXO P3I/RXD P32/HTS/SCLK/TXO РЗЗ/ТХО P34/CTS АЦП, 8вит/6 ка- налов ПоследовалН* ввод/вывод, I канал ПортО для P60/T0I /ноо P6I/H0I —Нуправления Р62/Н02 - — •пкигят Р63/Н03 - —► шаг двигат. шаг двигат. P8I INTI/TI4 Р82 INT2/TI5 Р83/Т03/Т04 Р 0/T03/MI0 P7I/MII P72/MI2 P73/MI3 Порт 1 для управления шаг. двигат. Таймер, 8вит/2кан. (таймер2/3) Таймер, 8вит/2кан. (таймер 2/3) _Г1роцессор 1Б.уд>. регист~ АЛУ I Защелка Защелка Внутр, шина данных Внутр, шина адреса ПЗУ ВКБ ОЗУ 256 1 Дешифратор , команд Системный контроллер Гене- ратор Порт = О Порт 1 Порт 2 Порт 3 икк хг CLK ₽00 —PQ7 '00-07 /А0-А7 Р20Р2? /А8~ AI5 P35/KU рзб/wS Р37/ЙА1Т Таймер, счетч.событ, 16вит/1канал (таймер 4) й Порт 4 Р40-Р43 /А16-А19 ТМР90С840Р Рис. 8.86. Однокристальный микропроцессор со схемами ввода/вывода. одной вершине находятся мощные цифро- вые приборы, подобные 68020/30 и 80386/486 (32-разрядные быстрые процес- соры с предвыборкой команд, виртуаль- ной памятью, мощнейшие арифметичес- кие сопроцессоры) и кристаллы, подобные MicroVAX которые эмулируют сущест- вующие большие компьютеры. На другой вершине - однокристальные процессоры с различными функциями ввода, вывода и О OOOl|- -|20 — О 1970 1975 1980 1985 Рис. 8.87. Закон Кремниевой Долины: кривая обучения.
Цифровые схемы 567 памяти, работающие самостоятельно. Цапример, один из последних образцов, эТо TLCS-90 фирмы Toshiba (рис. 8.86). Представляющий маломощный КМОП микроконтроллер с 6-канальным 8-раз- рядным АЦП, встроенными таймерами, ОЗУ и ПЗУ, 20-двунаправленными циф- ровыми линиями ввода/вывода, последо- вательным портом и двумя портами для управления шаговыми двигателями. Этот прибор больше предназначен для задач управления, чем для проведения вычисле- нии Революция в микропроцессорах не про- ходила в одиночестве, и мы видим удво- ение компьютерной мощности и размера памяти (в настоящее время 1 Мбит, срав- ните с 16 Кбит на кристалле на время написания первого издания этой книги) каждый год, в то же время цены развива- ются драматически (рис. 8.87). Наряду с укрупнением и улучшением процессоров и памяти последние работы сверхско- ростных приборов и больших параллель- ных архитектур обещают более волную- щие события в последующие годы. НЕКОТОРЫЕ ТИПОВЫЕ ЦИФРОВЫЕ СХЕМЫ Благодаря усилиям полупроводниковой промышленности цифровые схемы удиви- тельно легки и приятны. Почти нет случа- ев когда приходится класть цифровую схем1 на «хлебную доску», как это часто происходит с линейными схемами. Вооб- ще говоря, единственными серьезными проблемами являются синхронизация и шумь Мы в последующем расскажем об этом. Здесь уместно проиллюстрировать синх- °°нкзацию на нескольких примерах по- следовательностных схем. Некоторые из этих функций могут быть выполнены с Помощью БИС. однако рассматриваемые Реализации сделаны на хорошем уровне й Позволяют проиллюстрировать, каког Г® схемы можно строить с помощью веющихся средств. 8.29. Счетчик по модулю п Изображенная на рис. 8.88 схема на каж- дые п входных тактовых импульсов выра- батывает один выходной импульс. Значе- ние п есть 8-разрядное число, которое вы задаете с помощью двух барабанных шестнадцатеричных переключателей. Схе- мы '163 являются 4-разрядными синхрон- ными суммирующими счетчиками с син- хронной загрузкой (когда вход LD'- низ- кий) через £>-входы. Идея состоит в за- грузке дополнительного кода, счете вверх до FFnu перезагрузке по следующему так- товому импульсу. Поскольку мы сфор- мировали значение перезагрузки с помо- щью источника +5 (с общим заземлен- ным выводом переключателя), то эти уровни являются отрицательно-истинны- ми для отображения набора переключа- телей, это означает, что загружаемые зна- чения интерпретируются как истинные по- ложительные, равные дополнительному до 1 значению, установленному на пере- ключателях. Упражнение 8.35. Путем вычисления истинного положительного значения, которое будет установлено на переключателях рис. 8.88, докажите истинность последнего утверждения. Работа схемы совершенно очевидна. Для каскадирования синхронных счетчиков вы соединяете все тактовые входы вместе, затем соединяете выход «максимальный счет» каждого счетчика с разрешением сле- дующего счетчика. Для схемы '163 выход RCO (npple-clock output-выход перепол- нения ПП) выставляет ВЫСОКИЙ уро- вень при максимальном счете, разрешая второму счетчику посредством установ- ления разрешения (ВБ1СОКОГО уровня) на входах ENT и ENP (Разр) /аким образом. ИС1 повышает свое значение на каждый тактовый импульс, а ИС2 повы- шает свой счет на каждый тактовый им- пульс после того, как ИС1 насчитает зна- чение Fn. Таким образом, два счетчика считают пока не достигнут состояния FFn, в этой точке входы загрузки LD устанавливаются в истинное значение. Это приводит к синхронной предзагруз- ке на следующем такте. Здесь мы выбрали счетчики с синхронной загрузкой для то- го, чтобы избежать логических состязании
568 Глава 8 Шестнадцатеричные дисковые переключатели с инверсными выходами ЕЕСО 1776-12 G Рис. 8.88. Счетчик по модулю п. (и короткого импульса RCO), которые могут возникнуть в счетчике с асинхрон- ной загрузкой. К сожалению, счетчик при этом делит на п + 1, а не на и Упражнение 8.36. Объясните, что произойдет, если счетчик с асинхронной загрузкой (например, T91) заменить на счетчик с синхронной загрузкой 163. Покажите, в частности, как могут образовываться короткие импульсы. Покажите также, что предыду- щая схема делит на п + 1, в то время как при асинх- ронной загрузке будет осуществляться деление на п (если схема вообще будет работать). Временная диаграмма. До какой макси- мальной частоты может считать наш счетчик? Схема 74НС163 имеет гаранти- рованную максимальную частоту счета /мажс У МГн. Однако в нашей схеме су- ществуют дополнительные временные за- держки. связанные с каскадным соедине- нием (ИС2 должна «узнавать», что ИС1 уже достигла максимального счета за вре- мя до следующего тактового импульса), и с соединением «загрузка при переполне- нии». Для изображения максимальной частоты, при которой гарантируется ра- бота схемы, мы должны добавить задерж- ку для наихудшего случая и быть уверен- ным, что остается достаточно времени на переустановку. Посмотрите на рис. 8.89. где мы изобразили временную диаграм- му , показывающую последовательность загрузки, которая выполняется при мак- симальном счете Изменение сигнала с НИЗКОГО на ВЫ- СОКИЙ уровень на каком-либо выходе Q следует за положительным фронтом тактового сигнала максимум через 34 нс. Это интересно (но не относится к делу), что загружаемая последовательность ис- пользует выход RCO (переноса); сигнал RCO следует за положительным фронтом тактового импульса, что при максималь- ной частоте счета составляет максимум 35 нс Сигнал переноса RCO ИС2 появля- ется после наличия входного разрешения (при условии, конечно, что это происхо- дит при максимальном счете) максимум через 32 нс. Схема 74НС04 добавляет за- держку максимум в 19 нс для генерации сигнала ЗАГРУЗКА' (LD), которая долж- на предшествовать сигналу такта (/setup? как минимум на 30 нс. Что приводит нас к следующему тактовому импульсу; таким образом lJMai:c = (35 32 -•- 19 -г 30) 11С- или умакс = 8.6 МГц. Что значительно меньше, чем максимально гарантируемая частота счета одного 74НС163.
Цифровые схемы 569 Рис. 8.89. Временная диаграмма счетчика по модулю п и расчет максимальной скорости работы. К от CLK до Q 2 от CLK до RCO (3 от ENT до R.C0 @ от А ДО V('O4) (5 от установки ld'ao Г CLK 34 35 32 19 ЗО(МИН) Упражнение 8.37. Покажите, проведя подобное вы- числени , что два синхронных каскадно соединенных летчик 74НС163 (без загрузки при переполнении) имеют максимальную частоту счета 15.4 МГц. Конечно, если вам необходима более высокая скорость, вы можете использо- вать более быструю логику. Проделав те же самые вычисления для логики 74F (для которой максимальная частота счета од- ного счетчика 74F163 составляет 100 МГц), мы находим/макс = 29 МГц. Нужно отметить устройство 'НС40103 при рассмотрении счетчиков по модулю и, которое представляет собой 8-разрядный синхронный вычитающий счетчик с па- раллельной загрузкой (синхронной или асинхронной), с дешифрацией нулевого Стояния и входом сброса в максималь- аое. состояние. Этот счетчик имеет близ- ью родственника НС40102. идентично- г°> за исключением его «организации», сДвоенному двоично-десятичному рв. Мультиплексируемый цифровой на светодиодах ^ОТ пример иллюстрирует метод муль- ^Плексного отображения, который за- ^Дочается в том, что п цифр каждого числа последовательно и быстро воспро- изводится на 7-сегментных светодиодных индикаторах. (Могут, конечно, использо- ваться не только цифровые символы, и конструкция индикаторов может отли- чаться от распространенной 7-сегментной организации). Коммутация индикаторов применяется для экономии и упрощения: непрерывное воспроизведение каждого знака требует установки для каждой циф- ры индивидуальных дешифраторов, фор- мирователей и токоограничиваюших ре- зисторов, а также индивидуальных связей между каждым регистром и соответ- ствующим дешифратором (4 линии) и между каждым формирователем и соот- ветствующим индикатором (7 проводов); жуткая путаница! В методе мультиплексирования требу- ется лишь один дешифратор/формирова- тель и один набор токоограничивающих резисторов Кроме того, так как светоди- одные цифровые индикаторы выпускают- ся в виде «-символьных галет, причем соответствующие сегменты всех символов объединены, количество взаимных соеди- нений сокрашается довольно существен- но. Так, 8-знаковый индикатор требует 15 соединений при использовании этого ме- тода (7 сегментных входов, общие для всех цифр, плюс один катод или анод в цепи возврата каждой цифры), при не- прерывном же воспроизведении их потре- буется 57. Еще одно любопытное пре- имущество этого метода состоит в том, что субъективно воспринимаемая глазом
570 Глава 8 4 - знаковая галета Сид бее резисторы по 330 Ом цифрового индикатора (средний ток 5мА) Рис. 8.90. Коммутируемый цифровой индикатор для четырех знаков. Числа с внешней стороны графических обозначений соответствуют номерам контактов ИС яркость будет в этом случае выше, чем при непрерывном свечении всех цифр при той же средней яркости. На рис. 8.90 изображена принципиаль- ная схема индикации Цифры, которые должны быть воспроизведены на индика- торе. хранятся в регистрах ИС1-ИС4. Вместо регистров можно использовать счетчики, если устройство представляет собой счетный частотомер или набор за- щелок (триггеров), получающих данные от компьютера или выхода АЦП и т.п. В этом случае при данном методе каждая цифра последовательно вводится на внут- реннюю 4-разрядную шину (в рассматри- ваемом примере через КМОП-буферы с тремя состояниями 4503), дешифрируется и отображается на индикаторе (схема 4511 представляет дешифратор двоично-деся- тичного кода в 7-сегментный с формиро- вателем сигналов управления цифровым индикатором). В этой схеме два инвертора использу- ются хтя получения классического КМО генератора, работающего на частоте 1 кГц и подающего сигналы на 8-разрЯД ный счетчик-дешифратор 4022 КажДЬ ~ выход счетчика последовательно устанав ливается в состояние ВЫСОКОГО и выводит на шину очередную ЦИФР-’
Цифровые схемы 571 Одновременно он запитывает катод соот- ветствующего индикатора, подавая на не- г0 НИЗКИЙ уровень через мощный бу- фер с открытым коллектором 40107. Счет- ах 4022 циклически проходит состояние от 0 до 3, а при достижении числа 4 каж- дый раз сбрасывается. Мультиплексируе- мая индикация может работать и при большем количестве цифр. Она повсюду используется в многоцифровых индикато- рах на светодиодах. Попытайтесь посмот- реть вокруг-перед вашими глазами море цифр и знаков. Многие БИС, ориентированные на вос- произведение информации, как, напри- мер счетчики, реле времени и часы, содер- жат встроенную схему коммутации инди- катора и даже формирователи. Более то- го, существуют БИС управления индика- цией (например, 74С922 и 74Ц912); они проделывают всю ту работу, которая раньше выполнялась с помощью ИМС средней степени интеграции. 8.31. Привод звездного телескопа Схема, изображенная на рис. 8.91, была спроектирована для управления приводом Гарвардского 62-дюймового оптического телескопа. Для питания экваториального привода двигателя (совершающего 1 обо- рот в день) требуется источник электро- энерги переменного тока, частота кото- рого должна устанавливаться равной лю- бом' значению около 60 Гц (скажем, от 5 до 65 Гц). Эта частота не может точно равняться 60 Гц по следующим причи- нам а) звезды и Солнце движутся с раз- ной скоростью, поэтому потребуется час- тотс порядка 60.1643 Гц: б) проходя на- WOfij • через атмосферу звездный свет нрегерпевает рефракцию; это преломле- ние зависит от зенитного узла и, следова- ^льно, видимое движение будет проис- ходи ,ь с неравномерной скоростью; в) Иногда может возникнуть желание взгля- нуть на Луну, планеты или кометы, кото- РЫ движутся с неодинаковыми скорос- THVi Было решено использовать 5-знач- ВВ дискретный умножитель частоты для Получения выходных импульсов с часто- Т°Й следования /BX«'1O5, где «-пятизнач- ное десятичное число, которое устанавли- вается на передней панели с помощью двоично-десятичных барабанных пере- ключателей. Выходная частота умножителя будет порядка 600 кГц, поскольку входная час- тота fBX формируется стабильным квар- цевым генератором и равна точно 1 МГц. На выходе умножителя частота делится на 104 посредством четырех декадных счетчиков, причем последний счетчик вы- полнен в виде делителя на 5, а после него устрановлен делитель на 2, служащий для получения симметричных импульсов с частотой 60 Гц. Для стабилизации ампли- туды прямоугольной формы выходной сигнал поступает на ограничитель, вы- полненный на стабилитроне, а затем с помощью 6-звенного НЧ-фильтра Бат- терворта с частотой среза /0, равной 90 Гц, преобразуется в хороший синусо- идальный сигнал (Можно считать, что фильтр «вычищает» из прямоугольного сигнала высшие гармонические состав- ляющие, или «обертоны»). Далее, с по- мощью усилителя с «перекомпенсацией», рассмотренного в разд. 4.35. вырабатыва- ется переменное напряжение 115 В. Вы- ходной сигнал фильтра на экране осцил- лографа выглядит идеальным, так как 6-звенный фильтр Баттерворта позволяет в данной схеме снизить наибольшую гар- монику до 1,5% от значения амплитуды нефильтрованного сигнала что означает затухание более чем на 35 дБ. Заметим, что данный метод формирования синусо- идальных колебаний удобен лишь тогда, когда частота входного сигнала ограни- чена узким диапазоном. Входы управления д 10% позволяют изменять частоту выходного синусои- дального сигнала на 10% за счет того, что коэффициент деления третьего делителя устанавливается равным 9 или 11. Эта ступень представляет собой делитель по модулю л. изображенный на рис 8.88. 8.32. Генератор последовательности из п импульсов Генератор последовательности из п им- пульсов представляет собой широко ис-
572 Глава 8 Рис, 8.91, Прецизионный формирователь ситнала неременною юка частотой 60 1ц Выходная часто(а равна хх.ххх. Для задания звездной скорости ключи устанавливаются на значение Ы) 165.
Ген. 10МГц -с > -НС390 10МГц, < > 2 'НС390 < > j' НС390 <> 2'НСЗЭО 1МГц 1ООкГц Частота импульсов ЮкГц 1кГн МЗР СЭР СЭР СЗР ВХ ПЕР Такты Все резисторы по Ю кОм Двоично-десятичные переключатели типа ЕЕСО 1776-02 G + 12----- Все резисторы _ по Ю кОм Запуск 5,6 кОм 5 + 12 Все .резисторы по 10 кОм '°"',’ВД1О2 74" ^ЫХ.ПЕРД (2-декадный счетчик) SPE АЗ сзр III Jr,--- - J* Ja'" J-. ВХ.ПЕР 'HC40102 ВЫХ.ПЕР (2-декадный счетчик) SPE АЗ _____________________ 4,7 кОм Непрерывный Режим Последователь- _L ность из п импуль- сов Работа Останов. 4.7 кОм •12 Ручной запуск L (кратковременный контакт, Св К Р8121 НС 04 ° JUUL 8.92. Генератор последовательности из п импульсов. 40109 14504 LTC1O45 Лог выходы от+Здо+15В Лог выходы+5 В
574 Глава 8 пользуемый небольшой прибор для тес- тирования. По внешнему сигналу запуска (или используя ручной запуск) он выра- батывает на выходе пачку из п импульсов с заданной частотой следования, которая может иметь ряд дискретных значений. На рис. 8.92 показана принципиальная схема генератора. Интегральные схемы НС40102 представляют собой КМОП высокоскоростные 2-декадные вычитаю- щие счетчики, тактируемые непосредст- венно частотой, выбираемой десятичным делителем, подключенным к 10-МГц кварцевому генератору. Счетчики дели- теля могут блокироваться либо активным уровнем на выходе АЗ (асинхронная заг- рузка), либо пассивным уровнем на входе переноса (Вх. пер.). Когда запускающий импульс появляется (заметим, что ис- пользуется 'НСТ-серия на входе для сов- местимости с биполярной ТТЛ), триггер-1 выдает разрешение счетчику, а триггер-2 обеспечивает синхронизацию счета после следующего положительного фронта так- тового импульса. Тактовые импульсы проходят через вентиль И-НЕ-3 до тех пор, пока счетчики не достигнут нуля, в это время оба триггера сбрасываются в исходное состояние: осуществляется параллельная загрузка в счетчик числа и, задаваемого двоично-десятичными пере- ключателями, запрещается счет и схема готова для другого запуска. Заметим, что использование резисторов, подключенных к общему проводу, в этой схеме означает, что должны быть использованы двоич- но-десятичные переключатели в прямом коде (предпочтительнее, чем в дополни- тельном). Отметим также, что вход руч- ного запуска должен иметь зашит} от дребезга, так как он тактирует триггер Защита от дребезга не требуется для переключателя режимов, который просто разрешает формирование на выходе не- прерывной последовательности импуль- сов Выходной каскад обеспечивает две па- ры прямых и инвертированных сигналов. Запараллеленные инверторы 'НС04 вы- дают обычный логический размах + 5В благодаря использованию технологии КМОП. Мы запараллелили инверторы для увеличения нагрузочной способности Схема может обеспечивать по крайней мере ток нагрузки + 10 мА при логине ских уровнях, отличающихся на 0,3 В от границ напряжения питания. Если вам требуется больший выходной ток, вы можете заменить инверторы на схемы АС04. запараллеленная пара которых будет давать выходной ток до + 50 мд при таких же значениях логических уров- ней. Мы добавим пару усилителей, изобра- женных в прямоугольнике, которые могут управлять логикой, способной работать при напряжении, отличном о г +5 В например, маломощные часто используе- мые при проектировании КМОП серии 4000В и 74С работают непосредственно от батарей +9 В (они нормально работают при напряжении от 3 до 15 В); НС-ло- гические элементы работают при напря- жении питания от 2 до 6 В Было выд- винуто предложение, чтобы КМОП серия 'АС оперировала при напряжении +3,3 В (JEDEC стандарт NO8). Интегральные схемы 40109, 14504 и LTC1045 являются преобразователями уровней, это кристал- лы с активным выходным каскадом, ко- торый запитывается от второго источни- ка питания который может быть выше или ниже напряжения +5 В Таким образом, мы получаем чистые логические уровни КМОП-схем. ПАТОЛОГИЯ В ЛОГИЧЕСКИХ СХЕМАХ Существуют интересные, а иногда и прос- то забавные ловушки, подстерегающие ничего не подозревающего разработчика цифровых схем. Некоторые из них. такие как логические гонки и тупиковые ситуа- ции. могут возникать независимо от типа используемых логических схем. ДрУГие (как например, эффект тиристорно включения в кристаллах КМОП) пред- ставляют собой «генетические аномалии» того или иного семейства. Ниже ЫЫ попытаемся обобщить наш печальный опыт и надеемся, что приведенные ане доты помогут избежать другим тех *е ошибок.
Цифровые схемы 575 gJ3. Проблемы статических режимов «Тупиковое состояние». Легко попасть в ловушку, построив схему, имеющую «мертвое» состояние. Допустим, имеется какое-то устройство с рядом триггеров, которые в процессе работы проходят через заданные состояния. Кажется, что схема действует превосходно, но в один прекрасный момент она намертво оста- навливается. Единственный способ зас- тавить ее опять работать-это выключить питание, затем снова его включить. Такая ситуация из-за того, что схема имела «мертвое» состояние (запрещенное сос- тояние системы, которого не удалось избежать), в которое она и угодила под воздействием каких-то переходных помех по цепи питания. При разработке циф- ровых схем очень важно выявить подоб- ные состояния и строить логику таким образ м, чтобы схема могла автомати- чески восстанавливаться. Как минимум, должен быть предусмотрен сигнал на- чальной установки (вырабатываемый от кнопки, при включении питания и т. д.), который мог бы возвращать систему в нормальное состояние. При наличии та- кого сигнала никаких других мер может ине потребоваться (см. упражнение 8.24). Начальная установка. Этот вопрос во- зникает при определении состояния си- стемы в начальный момент. В любом случае полезно иметь какой-либо сигнал, который обеспечивал бы начальную ус- тановку. Иначе при включении питания в системе могут происходить непонятные вещи. На рис. 8.93 показана подходящая схема. Последовательно включенный со входом вентиля резистор необходим при использовании КМОП-схем, что позволя- ет избежать повреждения схемы при от- ключении питания, так как в противном случае электролитический конденсатор будет пытаться запитать систему через защитный диод входного вентиля КМОП. Хорошей идеей является использование триггера Шмитта (4093, '14), благодаря которому снятие сигнала СБРОС проис- ходит чисто. Символ гистерезиса на ри- сунке означает, что на входе инвертора установлен триггер Шмитта, собранный, например, на ТТЛ 74LS14 (6 инверторов), либо КМОП 40106, либо 74С14. 8.34. Проблемы при переключениях Логические гонки. Здесь скрываются мно- гие коварные ловушки. Классический слу- чай логических гонок был описан в разд. 8.19 на примере синхронизатора импуль- сов. В любом случае, когда вентили уп- равляются сигналами от триггеров, не- обходимо убедиться в том, что в схеме не может возникнуть ситуация, в которой к моменту тактирования триггера вентиль открывается, а по истечении задержки на триггере закрывается. Сигналы, возника- ющие на входах триггеров, не должны быть задержанными по отношению к тактовым импульсам (еще одно преиму- щество синхронной системы!). В общем случае задерживайте такты, но не инфор- мацию. Необычно легко проглядеть воз- можность возникновения логических го- нок. Метастабильные состояния Как уже отмечалось, триггер и любое raKTnpveMoe устройство могут сбиться, если измене- ние сигналов на информационных входах произойдет менее чем за время /уст до возникновения тактового импульса. В худшем случае выход триггера будет буквально совершать колебания в окрест- ности логического порога в течение не- скольких микросекунд (для сравнения: нормальная величина задержки распрост- ранения элементов ТТЛ составляет 20 нс). Разработчики логических схем это обычно
576 Глава 8 Рис. 8.94. Временной сдвиг из-за завала тактовых импульсов. не принимают во внимание, но подобная проблема может возникнуть в быстродей- ствующих системах, когда потребуется синхронизовать асинхронные сигналы. Такую ситуацию считают виновной во многих таинственных сбоях ЭВМ, но мы смотрим на это предположение скепти- чески. В этом случае требуется лишь установить цепочку синхронизаторов или «детектор метастабильных состояний», который будет сбрасывать триггер. Скос фронтов тактовых импульсов. Скос фронтов тактовых импульсов оказывает большее влияние на схемы КМОП, чем на ТТЛ. Такая проблема возникает в том случае, когда для тактирования несколь- ких соединенных между собой устройств используется сигнал с большим временем нарастания (рис. 8.94). В рассматривае- мом примере два регистра сдвига так- тируются фронтом с большим временем нарастания. Это время обусловлено ем- костной нагрузкой выхода КМОП, кото- рый имеет относительно высокий импе- данс (порядка 500 Ом при работе от источника +зВ| Проблема возникает из-за того, что порог срабатывания у первого регистра может оказаться ниже, чем у второго, в результате чего его сдвит произойдет раньше и последний бит пер- вого регистра будет потерян. Дело еще осложняется тем. что значения пороговых напряжений для устройств на КМОП колеблются в очень широком диапазоне (фактически они могут принимать любое значение в пределах от 1'3 до 2/3 LCI и они принимают!). В подобной ситуации самое лучшее-это располагать корпуса микросхем рядом, тем самым избегать большой емкостной нагрузки по такто- вым входам. Говоря в общем, тактовые входы ка- ких-либо цифровых микросхем должны всегда тщательно обрабатываться. На- пример. тактовые линии с шумом или «звоном» должны всегда очищаться с помощью вентиля (возможно, с входным гистерезисом) до подачи на синхронизи- руемый кристалл. У вас. вероятно, возни- кали проблемы с линиями синхрониза- ции. которые поступали с другой платы или с другого логического семейства. Например, медленная логика 4000 В или 74С, питающая быстрые семейства НС или АС. наверняка вызовет проблемы пе- рекоса импульсов или кратных переходов. Укороченные импульсы. В разд. 8.29 при рассмотрении счет- чиков по модулю п мы отмечали, что в том случае, когда счетчики должны сбрасываться собственным входным сиг- налом, необходимо ввести задержку для того, чтобы предотвратить появление укороченного импульса. То же самое относится и к импульсам записи в счет- чики или регистры сдвига. Укороченные импульсы часто доставляют неприятно- сти. приводят схему к работе на Гранине устойчивости и вызывают периодические сбои. При разработке схемы слеДУет исходить из наихудшего значения задержки Неопределенные правила. Когда полу проводниковая промышленность наШУ пывала свой путь, начиная с простейших схем резне горно-транзисторной логики
Цифровые схемы 577 i960 г., затем ТТЛ- и ТТЛШ-семейства, до высокопроизводительных современных КМОП-семейств, было недопонято значе- дяе стандартизации выводов, специфика- ции и функциональности. Как пример, схема 7400 (И-НЕ) имела свои выводы центилей, а схема 7401 (ИЛИ-НЕ с откры- тым коллектором) имела отличные от нее расположения выводов вентилей. Это создавало такую путаницу, что побудило выпустить «мутант» - схему 7403, которая представляет собой схему 7401, но с раз- водкой вентилей, как у 7400. Подобное недоразумение случилось со схемой 7490 (двоично-десятичный счетчик) с располо- жением контактов питания по середине Юрпуса. а не в углах. (Как ни смешно, но юнтакты питания, расположенные посре- дине корпуса, «возвратились» в быстро- действующих схемах КМОП, для умень- шения индуктивности и улучшения изо- ляции » Важны наследством этой ранней анар- хии является «всякая всячина» неопреде- ленных правил, которых мы придержи- ваемся. Например, популярный 747)-триг- гер существует в каждом логическом се- мействе; подача одновременно сигналов установки и сброса приводит к высокому уровню на обоих выходах в каждом се- мействе, кроме 74С, где это приводит I низкому уровню на выходах! Это не совсем неопределенное правило, посколь- ку если вы внимательно посмотрите в хорошую документацию, то найдете не- последовательность. На техническом жар- гоне это называют «Попался!» (gotcha). Другим любимым нашим примером этого ®опалс » является схема 96: 5-разрядный -Дв )выи регистр с хитрыми входами Грузки они могут устанавливаться, но ее 'Сбрасываться. Подлинным неопреде- Пвы । правилом, а фактически очень Чйсным является «время перемены». Это Woe количество времени, которое вы должны ожидать после снятия асинхрон- 9°гс> входного сигнала для полной га- ЙНтии синхронизации тактируемого эле- 'хент Проек ировщиков криста ллов не беспо- коило это обстоятельство (хотя проекти- ровщики схем всегда хотели это знать), пока логические семейства, выпускавшие- ся ранее 1980 г., не были обойдены улуч- шенными ТТЛШ и быстрыми КМОП-се- мействами. Если вы проектируете с при- менением более ранней логики (например. 74С), наш совет-быть консервативным, например, предполагайте, что время пере- мены такое же, как время установки дан- ных хотя обычно оно меньше. Так на- пример, у D-триггера 74НС74 минималь- ное время распространения определяется в 5 нс, в то время как минимальное время установки данных составляет 20 нс. 8.35. Прирожденные недостатки ТТЛ и КМОП В этом разделе в одной его части мы рассмотрим проблемы, причиняющие неудобства разработчику, а в другой - по настоящему ненормальное поведение ло- гических элементов. Проблемы, причиняющие неудобства. Биполярные ТТЛ-элементы. Не следует забывать, что при низком уровне входы ТТЛ действуют как источник тока (на- пример, 0,25 мА для LS, 0,5 мА для F). Это затрудняет использование RC-цепо- чек в качестве элементов задержки и подобных элементов, так как они в этом случае должны иметь низкое сопротив ление и вам необходимо серьезно поду- мать, когда вы сопрягаете сигналы ли- нейных устройств с ТТЛ-входами. Значение порога у элементов ТТЛ (и серий, которые их имитируют - НСТ и ACT) близко к уровню земли, вследствие чего все это логическое семейство в из- вестной степени подвержено влиянию помех (более подробно см. гл 9). Так как эти логические семейства являются быст- родействующими, они воспринимают ко- роткие всплески по шине земли. Такие всплески часто возникают при быстрой смене состояний на выходах, что еще более осложняет проблему. Биполярные ТТЛ-элементы предъяв- ляют высокие требования к источнику
578 Глава 8 питания: +5 В ± 5% при относительно высокой мощности рассеяния. Наличие токовых всплесков в шинах питания, которые вырабатываются схемами с ак- тивной нагрузкой, как правило, требует шунтирования источника питания, в идеальном случае-один конденсатор ем- костью 0,1 мкФ на каждый корпус ИМС (рис. 8.96). КМОП-элементы. Выходы КМОП-эле- ментов подвержены пробою под дейст- вием статического электричества. «Смерт- ность» у КМОП действительно подскаки- вает в зимнее время! Новые семейства с поликремниевыми затворами [НС(Т), АС(Т)] значительно больше страдают, чем их предки с металлическими затво- рами. Входы КМОП имеют очень боль- шой разброс по значениям порогового уровня; в сочетании с высоким выходным импедансом (200-500 Ом) это приводит к возникновению проблемы скоса фрон- тов тактовых импульсов (см. разд. 8.34). Когда на выходе сигнал медленно нарас- тает, могут возникать двойные переклю- чения на выходах. В устройствах на КМОП все неиспользованные входы, включая входы незадействованных венти- лей, должны быть обязательно соединены с шиной высокого или низкого уровня Интересная врожденная проблема но- Выходы “выс -> низ." - 2 Время, нс в состоянии "низ" Рис. 8.95. Буфер 74АС244 па 4 выходов, запускающий " нагрузок 50 пФ из «выс.> в «низк •. и удерживающий 8-й выход в состоянии «низк ». «Земля» медная плата унния.'кв. фу1 . (По рис. 1,. 4 руководства по проектированию >.л пленной КМОП- югики., выводами Керамическ конденсатор 0,01 ~ 0,1 мкФ Короткое соединение Низкоин- дуктивн. земляная / шина Рис. 8.96. Всегда целесообразно использовать устой- чивую низкоиндук гивную разводку земли и не ску- питься на развязывающие конденсаторы. вейших быстродействующих КМОП-се- мейств в особенности АС и ACT состоит в наличии «подскока» уровня земли. Быстродействующий КМОП-элемент, ра- ботающий на емкостную нагрузку, гене- рирует громадные кратковременные токи по шине земли, приводящие к тому, что потенциал на линии земли, подходящий к корпусу микросхемы, моментально под- прыгивает! В результате этого подпры- гивает и низкий уровень на выходах на том же самом кристалле. На рис. 8.95 показан этот случай. В особенности за- метьте. что амплитуда этого эффекта от 1 до 2 В не является редкостью. Давайте рассмотрим случай, когда время переключения составляет 3 нс. а перепад в 5 В прикладывается к емкости 50 пФ. В результате получаем мгновенное значение тока I = CdU dt = 83 мА. а так как 8-разрядный буфер может нагружать- ся непосредственно на такие же восемь нагрузок (при обшем токе 2 3 А!), такое поведение схемы не является неожидан- ным. Эта задача оказывается тяжелее, чем кому-либо представляется, и приводит к спорному новому набору АС АСТ-схеМ с «центральным» расположением выводов питания и земли (для снижения индуктив- ности). На момент написания книги раз- работчики логики встали по разные сто- роны: по одну фирма TI. борюшаяся за новую разводку выводов, а по Другу10' фирмы RCA и Fairchild, зашишаюи^16 традиционную разводку по углам. предостаточно поспорили с обеими сто
Цифровые схемы 579 ронами и выявили слабости как противо- положных аргументов, так и сопернича- ющих кристаллов. По крайней мере пользователи должны сознавать серьезность этой проблемы и Принять меры для сохранения индуктив- ности шины земли на низком уровне, насколько это возможно, когда исполь- зуется семейство АС/АСТ. Самым луч- шим является использование печатных плаз с распределенной шиной земли и множеством шунтирующих низкоиндук- тивных конденсаторов. Лучший способ, если вам не нужна высокая скорость переключения, отказаться от семейства ДС/АСТ, а использовать взамен серию НС/НСТ. Аномальное поведение. Элементы ТТЛ. С элементами ТТЛ не связано такое большое число таинственных явлений, как с КМОП. Однако некоторые одновибра- торы ТТЛ срабатывают от «глитчей» в цепи питания (или земли) и вообще, как правило, ведут себя в известной степени «нервно». Кроме того, схема, которая прекрасно работает на LS ТТЛ, может давать сбои, когда заменяется AS ТТЛ. из-за более быстрого переключения и увеличения токов потребления по цепям земли и окружающим ее (на этот взгляд серия 74F ТТЛ лучше). В большинстве случаев неправильную работу ТТЛ-эле- ментов можно связать с проблемой по- мех I Элементы КМОП. КМОП-элементы способны свести с ума кого угодно! На- пример схема может войти в режим «тиристорного защелкивания», если сиг- нал на входе (или выходе) возникает сразу после включения питания Результирую- ПВ' ток (50 мА или более) через диоды входной защиты включит пару паразит- Ны перекрестно связанных транзисторов, которые являются побочным эффектом МОП-технологии с изолированными Переходами (см рис. 3.50 и разд. 14.16). Пои этом напряжение питания Ссс зако- рачивается на землю, кристалл начинает эетьс и для того чтобы схема снова Начала правильно работать, вам потре- буется отключить питание. Если это не сделать в течение нескольких секунд, то придется заменить микросхему. Некото- рые из новейших КМОП-схем (серия НС с поликремниевыми затворами фирм GE/RCA и National) невосприимчивы к «защелкиванию» даже с входным разма- хом 5 В выше уровня питания и работают при входном размахе 1,5 В выше уровня питания. Схема КМОП имеет весьма коварные и странные режимы ложной работы. Один из выходных полевых транзисторов мо- жет открыться, что приводит к кодоза- висимым ложным срабатываниям, кото- рые очень трудно обнаружить. Вход мо- жет начать работать как источник тока или токовая нагрузка. Весь корпус может начать потреблять от источника питания существенный ток. Чтобы легче было обнаружить неисправную микросхему, потребляющую большой ток в статиче- ском режиме, рекомендуется последова- тельно с контактом Ucv каждой микро- схемы включить резистор 10 Ом (для микросхем, нагруженных на большое чис- ло входов, или мощные драйверы, такие, как в серии АС, используйте резистор 1 Ом). Кроме того, кристаллы КМОП дают большой разброс по входному порогу, а один и тот же кристалл может иметь неодинаковые значения порогового уров- ня для различных функций, которые вы- полняются по одному и тому же входу Так. например, в устройстве 4013 выход Q при управлении по 7?-входу переходит в состояние высокого уровня раньше, чем выход Q устанавливается в состояние низкого уровня. А это значит, что сигнал установки нельзя обрывать по изменению Q поскольку возникающий в этом случае импульс может не сбросить триггег Нельзя оставлять входы корпуса КМОП неподключенными. В этом случае схема время от времени может вести себя неправильно. Для того чтобы выявить неисправность, вы поставили шуп осцил- лографа в какую-то точку схемы и оо- наружили там уровень 0 В. как и должно было быть. После этого в течение не- скольких минут схема работает прекрас- 19*
580 Глава 8 но, а затем снова сбивается! А произошло вот что: осциллограф разрядил непод- ключенный вход и потребовалось доста- точно большое время, чтобы он смог снова зарядиться до порогового уровня. Дальше идет уже чистая фантастика: вы забыли подключить контактный вывод Ucc корпуса КМОП, но все-таки схема работает просто идеально! А дело все в том, что она получает питание по одному из своих логических входов (от входа через защитный диод к цепи Ucc корпуса). Вы можете не замечать этого в течение довольно длительного времени, пока не возникает ситуация, когда одновременно на всех входах кор- пуса будет действовать низкий уровень: кристалл потеряет питание и «забудет» свое состояние. В любом случае такой режим не может считаться нормальным, так как выходной каскад не запитан нужным образом и не в состоянии обес- печить номинальный ток. Сложность состоит в том. что подобная ситуация может давать о себе знать лишь эпи- зодически, поэтому вам придется пробе- жать не один круг, пока вы, наконец додумаетесь, что же в действительности происходит. СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ 8.36. Удачные схемы Рис. 8.97 иллюстрирует ряд полезных применений цифровых схем. 8.37. Негодные схемы На рис. 8.98 показаны примеры класси- ческих ошибок, совершаемых разработ- чиками при построении цифровых схем. Рис. 8.97. а-формирователь импульса по переднему фронту; б-формирователь импульса по спаду сигнала; в-формирователь импульсов по обоим фронтам; г-синхронный генератор последовательности из 2" импульсов;
Цифровые схемы 581 ^ис <97 Продолжение. ^Ьрегу ируемый формирователь импульса по переднему фронту -асинхронный генератор последователь- •вости из 2” импульсов, .ж -преобразование квадратурного кода в код реверсивного счетчика; используется дл °преде ения положения вращения по выходу преобразователя утла. -квадратурный генерал, г синхре- Импу пьсов.
582 Глава 8 НЕГОДНЫЕ СХЕМЫ __^р-r- Прозрачный । зегист \3с I ХРАНЕНИЕМ" ВЫСОКИЙ ^оз=“ь Входная частота пппп OLinnn г шший ВВОД ВЫВОД - ±-НИЗКИМ уровень Рис 8.98. а -формирователь короткой импульса; хронометр одиночной кнопкой ПУСК ОСТАН схема для исключения каждого второго импульса из входной последовательности импульсов < длитель ностыо 1 мкс (тонкий случай). . ключ с подавителем дребезга; г) счетный частотомер с буферным регистр
Цифровые схемы 583 ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ 1(1) Покажите, как построить JK-триггер с помощью D-триггера и коммутатора-мультиплексора на 4 входа. Подсказка: используйте адресные входы коммутатора в качестве J и К (2) Разработайте схему, которая на 7-сегментном индикаторе будет показывать время (в миллисекун- дах), в течение которого была нажата кнопка. После каждого измерения устройство должно возвращаться в исходное положение. Воспользуйтесь генератором 1,0 МГц. L (3) Разработайте измеритель реакции. После того как «А» нажимает кнопку, загорается светодиод и счетчик начинает отсчет. Когда свою кнопку на- жимает «В», светодиод гаснет, а на цифровом ин- дикаторе воспроизводится время в миллисекундах. Позаботьтесь о том, чтобы схема работала нормаль- но даже в том случае, когда «А» успевает отпустить свою кнопку до того, как «В» нажмет свою. (4) Спроектируйте измеритель периода - устройст- во, измеряющее число микросекунд в одном периоде гармонического входного сигнала. Установите на входе компаратор на триггере Шмитта для форми- рования /ровня ТТЛ; используйте тактовую частоту К МГц. Каждое очередное измерение должно начи- наться после нажатия кнопки. I (5) Если вы еще не успели поставить буферный регистр, добавьте его к счетчику периода. к- (6) Сделайте так, чтобы схема измеряла время вдесяти периодов. Кроме того, во время счета должен загоре я светодиод. (7) Сконструируйте электронный секундомер. Кнопка «А» начинает и останавливает счет, кнопка «В» производит сброс. Выход должен иметь вид хх.х (секунды и десятые доли). Считайте, что в схеме «имеются прямоугольные импульсы, следующие с частотой 1 МГц. L (8) В некоторых секундомерах используется только одна кнопка (при каждом нажатии выполняется одна из операций цикла пуск, останов, сброс, затем снова пуск и т. д.). к (9) Спроектируйте высокочувствительный цифро- вой частотомер для измерения числа периодов входного сигнала в 1 с. Число значащих цифр должно быть достаточно большим. За время каждого цикла счет число, отсчитанное на предыдущем интервале, запоминайте в буферном регистре. Интервалы счета возьмите равными 1, 0,1 и 0.01 с. Полезно добавить устройство хорошую входную схему, она позволит работат при различных значениях чувствительности Эта схема представляет собой триггер Шмитта с Регулируемыми гистерезисом и точкой запуска (ис- пользуйте быстродействующий компаратор). Можно Добави также сигнальный вход для уровней ТТЛ. •Подумаит над тем. как организовать двоично-де- <*гичный выход: с помощью коммутации или путем параллельного вывода каждой цифры? Задумайтесь на неко: орое время (10) Сконструируйте схему для регистрации про- дета снаряда или пули, используя НС-логику Ле- ЧИшг снаряд разрывает тонкий провод, пересекаю- Щиг путь его пролета, затем, преодолев по ходу через некоторое расстояние, он разрывает второй провод. Не забывайте о проблеме дребезга контактов. Счи- тайте, что в вашем распоряжении есть последователь- ность прямоугольных импульсов с частотой 10 МГц с уровнями ТТЛ, и постройте схему для воспроиз- ведения на индикаторе интервала времени между моментами разрыва двух проводов в микросекундах (4 цифры). Схема должна быть готова к очередному выстрелу путем нажатия кнопки. (11) С помощью двух схем 74HC42-S («1 из 10») сконструируйте дешифратор «1 из 16». Входной сигнал представляет собой 4-разрядное двоичное число. На выходе должны действовать сигналы с отрицательной логикой (как у схемы 74НС42). Подсказка: в качестве входа старшего разряда используйте вход «Разрешение». (12) Представьте, что у вас есть четыре ПЗУ на 256 бит семейства ТТЛ, каждое из которых имеет 8-раз- рядный параллельный адресный вход, выходные схемы с тремя состояниями (в положительной логике) и вход для их отпирания, который использует от- рицательную логику (т. е. ПЗУ выдает на выход выбранный информационный бит. когда разрешаю- щий сигнал имеет низкий уровень). Покажите, как с помощью этих устройств, используя любые необ- ходимые средства, построить ПЗУ на 1024 бита. (Возможно, окажется удачным применить схему 74НС138, а может это проще сделать с помощью вентилей.) Воспользуйтесь этими способами. (13) Придумайте схему, которая хранила бы те- кущую сумму последовательно вводимых в нее 4-разрядных двоичных чисел. Сохраняйте только 4 разряда результата (т. е. производите суммирование по модулю 16). Аналогичные схемы используются для получения контрольных сумм, которые записываются на носитель информации, чтобы выявить ошибки, например при записи на перфоленту. Считайте, что каждое новое число сопровождается положительным импульсом готовности, которое имеет длительность 1 мкс и уровень ТТЛ. Преду- смотрите вход сброса. Таким образом, ваша схема будет иметь общий вид. показанный на рис. 8.99. Сумма (ПО модулю 16) . ЗСЕХ ЧИСЕЛ, | аведенных с > момента 1 последней гановки Входное иисг? — правильно ОТР06 Рис. 8.99.
584 Глава 8 К этой схеме добавьте еще выходной бит, который будет равен 1, если общее число «единиц» всех чисел, поступающих на вход с момента последней установки, нечетно, и 0, если оно четно. Подсказка: с помощью «паритетного дерева» Исключающего ИЛИ можно определить, когда сумма «единиц» в каждом числе будет нечетной: на основе этого постройте схему. (14) В упражнении 8.14 вы построили схему умножения 2x2. используя карты Карно для каж- дого выходного бита. А теперь решите ту же задачу, используя операции сдвига и сложения. Для начала запишите произведение тем способом, который из- вестен вам из начальной школы. Этот процесс имеет простую повторяющуюся схему (рис. 8.100) и требует для реализации несколько 2-входовых вынтилей (ка- кого типа?), которые будут вырабатывать промежу- точные члены (а0Ь0 и т.п), и 1-разрядных полусум- маторов (сумматоры, которые имеют выход пере- носа, но не имеют входа переноса) для сложения промежуточных членов. (15) Теперь по тому же принципу сконструируйте умножитель 4 х 4 с помощью 4-разрядных по иных сумматоров (74НС83) и 16 2-входовых вентилей. Таблица 8.4. Буферы Тип Разряд- Число Выходная нагрузка3 Входы Выход3 Противоп. Примечания прямой инверс- ный ность контак- тов отток. мА сток. мА семей- ство разре- шения 6) распол. выво- дов г) ' 125 - 4 14 24 2-6 LS 4H ЗС - Разрешение каждого разряда 126 4 14 24 2-6 LS 4B ЗС То же '365 ' 366 6 16 24 2-6 LS 2H ЗС — '367 '368 6 16 24 2-6 LS 2H ЗС — Разрешение 2,4 разрядов 1034 •1004 6 14 24 15 LS 2С 74 AS имеет 48/48 мА '230 8 20 64 15 AS 2H ЗС 4 прямых, 4 инверсных с разреш. 231 8 20 64 15 AS H,B ЗС Разрешение 4 разрядов 241 20 24 15 LS H,B ЗС Разрешение 4 разрядов 244 240 8 20 24 LS 2H ЗС 465 166 20 24 2-6 LS 2H ЗС Разрешение 4 разрядов 46” 468 S 20 24 LS 2H ЗС Разрешение 4 разрядов '541 540 й 20 24 15 LS 2H ЗС '656 655 24 64 15 F 3H ЗС Г енерания бита паритета 2966 2965 20 12 ”H ЗС R = 250 м, раз- реш. 4 разряд0® S2” 828 10 24 6ч- 15 F 2H ЗС Am 29827'8 ' нагрузочная способность для указанного семейства; б* входы РАЗРЕШЕНИЯ. ВЫСОКИЙ или НИЗКИ активный уровень. з) 2 С 2 состояния; ЗС 3 состояния: г| противоположное расположение контактов вхоДа и выходов
Таблица 8.5. Приемопередатчики —— Тип Разряд- Число Число Выходная Разреше- Располо- - ность контак- регис- нагрузкаа* ния 6* жен. вы- Примечанияг* Пря- инвер- тов стров водов мой сный отток, сток. уровень режим противо- мФ мФ положное ” 24 '242 4 14 24 15 Н.В ЛП '245 — 8 20 24 15 Н HP '543 '544 8 24 2 Н ЛП '545 — 8 20 Н HP '550 '551 8 28 2 Н ЛП Аш2950/51 '552 - 8 28 2 Н ЛП + генерация паритета '588 - 8 20 Н HP Резисторная нагрузка шины 488 '623 '620 8 20 24 15 Н.В ЛП '621/2 имеют ОК '639 '638 8 20 24 15 Н HP ЗС в одном направлении. ОК в другом '643 — 8 20 24 15 Н HP Q и Q' выходы. '644 входы '64 '640 8 20 24 15 Н HP 641/2 имеют выход ОК '646 '648 8 24 2 24 15 Н HP '647/3 имеют выход ОК '652 '651 8 24 2 24 15 Н.В ЛП 654/3 имеют ЗС и ОК-выходы '657 - 8 24 Н.В HP + генерация паритета '262 '2620 8 20 12 2 Н.В ЛП 25 Ом выходи. сопротивление '2645 '2640 8 20 12 2 Н HP 25 Ом выходи. сопротивление '2952 '2953 8 24 2 24 6.5 Н ЛП '2950/1 имеет подтверждение ” для LS семейства; 6 HP-направление и разрешение входов; ЛП-отдельные входы разрешения передачи влево и вправо; ” расположение контактов ввода вывода противоположное; '1 все выходы на 3 состояния, кроме оговоренных. Таблица 8.6. Дешифраторы Тип Разряд- ностьа) Число контак- тов Число актив- ных уров- ней разреше- ния. ВТ!6* Выходы Разре- шение выходаа Примечания К во Активн. уровень ” '42 4 16 0/0 10 Н 156 имеет выход - '131 з 16 1 8 Н - ОК '137 3 16 1 1 8 Н .О-тригтег на входе |’138 т 16 1 2 & Н '139 7 — 16 0-1 4-4 Н К '155 — 16 0-12- 4-4 Н Защелка на входе '538 3 20 2/2 8 В 7 537 Т из 10” '539 20 01-1 4-4 В - 1 '54 20 2 1 8 н Защелка на входе 54 АСК-вых< д при разрешении 20 2 '2 н АСК-выход при разрешении jj многосекпионность обозначается как “X — Y" где X и Y число разрядов в каждой секции; ч показывает число активных уровней разрешения, для многосекционных см. замечание (а); ^активный ВЫСОКИЙ уровень. Н- НИЗКИЙ уровень
586 Глава 8 Таблица 8.7. Компараторы Тип Разряд- ность Число контак- тов Необходи- мость вход- ного нагру- зочного ре- зистора Разре- ше- ние Защел- ка Выходы Примечания Q Q' < > '85 '518 4 8 16 20 Да ОК, '519 не требует входного резистора '520 8 20 Да '521 не требует вход- ного резистора, ' 522-с ОК '524 8 20 — Защелка с посл/парал. загрузкой и с выхо- дом на 3 состояния '682 8 20 Да — — — • '683 имеет ОК-выход '684 8 20 - — — — — '685 имеет ОК-выход '686 '688 866 '885 8 8 8 8 24 20 28 24 р - - - '687 имеет ОК-выход '689 имеет ОК-выход Защелки на выходах Р и Q, сброс Q; логи- ческое или арифме- тическое сравнение Защелка на входе Р; логич. или арифм. сравнение Таблица 8.8. Одновибраторы Тип Число Число кон- Логический Сброс Переза- Наличие Блоки- пуск встроенно- ровка го резисто- сброса 6) раа) Примечание секций тактов запуск 121 14 (Н - Н) х В - Прецизионный '121 2 16 В х Н н Есть Прецизионный 122 1 14 (Н - Н) х н Есть X В X В 422 1 14 (Н -Г Н) X н Нет '122 без запуска х В х В 123 *> 16 Н х В н Есть '123 без запуска 42 • Л 16 Н х В н Нет 4098 *> 16 Н - В н Нет -53 4 ](! н — В н Нет 4528 9601 14 (Н - Н) • В В 9б((2 Н - В н Нет ‘ Внутренний резистор синхронизации; " Генерирует импульс на выводе СБРОС при наличии логического запуске
Цифровые схемы 587 Таблица 8.9. Регистры D-типа и защелки а) Примечания Расположе- 0!0т> Выход™ Тип Р-типа Защелка £)-типа Защелка Разрядное Iь Число коп гак к О ние выводов прогивопо- ложное Разрешен С Ь '173 — — 4 16 А 3S Г '175 '375 '175 375 4 16 А 2S Ь '298 - 4 16 2S 1 '379 - '379 4 16 2S L '398 - '398 4 20 2S '399 - 4 16 2S | '174 6 16 А 2S F '378 6 16 2S 1 '273 - 8 20 А 2S '374 '373 '534 '533 8 20 3S 1 '377 - 8 20 2S Г — '412 '432 8 24 А 3S 1 '574 '573 '564 '563 8 20 3S 1 '575 '57" - 8 24 S 3S ► '825 '845 '826 846 8 24 А 3S I '823 '843 '824 '844 9 24 А 3S В '821 '841 '822 842 10 24 3S ► '396 4-4 16 2S 1 '874 '873 '876 '880 4-4 24 А 3S 1 '878 '879 4-4 24 S 3S к - '604 ' < 8 2S 2S р — '606 8 — 8 28 2S М ультиплексированн ы й вход Аналогичен '175, но CLR - ЕМ Мультиплексированный вход Мультиплексированный вход Аналогичен Т74. но CLR - EN Аналогичен '374, но 3S -»ЕМ Intel 82/2: бит сосюяния Расположение выводов '374/3; также '576,"580 '574 с синхронным входом CLR Агп29825 Ат29823 Ат29821; 10-разрядный '374 Каскадированный 4-разрядный peiiicrp: 8-разрядный выход '874 с синхронным входом CLR Мультиплекс, вход. '605-ОК 604 без выбросов, 607 -ОК «Приемопередатчики»' некс горые и нии содержа, защелки. ' А Прямой Г а| См. такж; ные в‘ соответственное расположение входных и выходных выводов: Двухтактный выход с 2-я состояниями. выход '-я ос яниями -асинхронный. и инверсный вхс зь синхрон- 2S ‘-Я
Таблица 8.10. Счетчики Тип Разряд- Число Такта* Загруз- Сброс а> Сложе- Вывод Выходг| Примечания контак- ние/Вы- прямой/ Н Ос Т ъ двоичн. дв.-дес. тов чита- с защел- ние 6> койв) '93 '90 4 14 А А D 2S Нестанд. Скк, зем. ля; '92 по мо_ дулю 12 '161 '160 4 16 S S А D 2S 163 '162 4 16 S S S D 2S '169 168 4 16 S S D 2S '191 '190 4 16 S А — D 2S 193 192 4 16 S А D 2S Отдельные входы синхронизации для счета в пря- мом и обр. на- правлении '197 '196 4 14 А А А D 2S '293 '290 4 14 А А D 2S 93 со станд. 1/кк земля '561 '560 4 20 S В В D 3S '569 '568 4 20 S S В D 3S 25LS2569/8 '669 '668 4 16 S S - D 2S Улучшенный '169 '691 '690 4 20 S S А В 3S '693 '692 4 20 S S S В 3S 697 '696 4 20 S S А В 3S 699 '698 4 20 S S S В 3S 4516 '4510 4 16 S А А D 2S Выходы с дешифрацией «1 из 10» — '4017 5 16 S А D 2S '4024 7 14 А А D 2S '69 '68 8 16 А - А D 2S '269 8 24 S S - D 2S Узкий DIP '393 '390 8 14 16 А А D 2S Сдвоенный '93/'90 '461 8 24 S S S D 3S ПМЛ '469 8 24 S S D 3S ПМЛ '579 8 20 S S В D 3S 8 двунаправлен- ных линий вво- да вывода '590 8 16 S А L 3S '591 8 16 S А L ОКД> '592 8 16 S А А L 2S 8 входов. 1 выход (МАХ CNT) 593 8 16 S А А L 3S 8 двунаправлен- ных линий вво- да/вывода ~^9 8 16 S S D 3S 8 двунаправлен- ных линий вво- да вывода 86" 24 S S А D 2S Узкий DIP .869 24 S S S D 2S Узкий DIP 4520 4- • 16 S А D 2S Полож и отр. фронты такто- вых импульсов 40103 40102 8 16 S в А D D 2S 4040 - 12 16 А А D 2S 4020 14 16 А А D 2S 4060 - ic А А D 2S \ асинхронный, все тактовые входы типа А считают по отрицательному фронту. S-синхронный. все тактовые входы 1ипа S считаю: по положительному фронту: В-и по положительному, и по отрицательному фронту. 61 D только на вычитание: "'Ив прямом, и в отрицательном направлении счета; " -S с состояниями (составной выход); 3S с 3-я состояниями; л| ОК-с открытым коллектором.
Цифровые схемы 589 Таблица 8.11. Сдвиговые регистры Тип Разряд- ность Число контак- тов Послед. (S) Парал. (Р) Направ- ление Защел- ка al Сброс * Выход ” Примечания ‘ ’ вход ВЫХОД '95 4 14 P/S p R 2S I '194 4 16 P/S p R/L А 2S [ '195 4 16 P/JK p R А 2S '295 4 14 P/S p R — 3S '395 4 16 P/S P/S R - А 3S , '671 4 20 P/S p R/L о А 3S Мультиплексир. выход. PC или защелка, сброс только PC '672 4 20 P/S p R/L о S 3S 671 с синхронным CLR '96 5 16 P/S p R А 2S Только для боль- шой нагрузки '91 8 14 2S 2S R 2S ► '164 8 14 2S P R А 2S '165 8 16 P/S 2S R — 2S Г '198 8 24 P/S P R/L А 2S ’ '299 8 20 P'S P'S R/L А 3S Общие выводы ввода'вывода '322 8 20 P'S P/S R - А 3S Общий парал. ввод/вывод '323 8 20 P/S P/S R/L S 3S '299 с синхронным сбросом '589 8 16 P/S s R I 3S Очистка при включении (только PC) м '594 8 16 s P/S R о 2А 2S '599 с открытым колектором; отдельный сброс '595 8 16 s P/S R о А 3S 596 с открытым коллектором: сброс только PC ’ '597 8 16 P/S s R I А 2S Сброс только PC к'598 8 20 P/2S P/S R I А 3S Общий парал. 1 '673 ввод/вывод; сброс только PC 16 24 s P'S R о А 2S Общий после- дов. ввод/вывод с 3-я состояния- ми; ВК, ЧТ'ЗП < '674 16 24 P,S S R 3S Общий послед. ввод, вывод ^"'6^5 16 24 s P S R о 2S 3 состояниями: ВК. ЧТЗП '676 16 24 p s R 2S ВК t * ° на выходе; 1-на входе; A - -асинхронный, S синхронный; 31 2S-c 2- -я состояниями (составной) КГ 3-я состояниями; ВК вход выбора кристалла, ЧТ/ЗП-вход чтение, запись.
ГЛАВА 9 СОПРЯЖЕНИЕ ЦИФРОВЫХ И АНАЛОГОВЫХ СИГНАЛОВ «Перемалывание чисел» само по себе является, несомненно, чрезвычайно важ- ным применением цифровой электроники, по ее действительные возможности от- крываются при использовании цифровых методов для обработки аналоговых (ли- нейных) сигналов и процессов. Эту главу мы начнем с краткой хронологии «взле- тов и падений» семейств цифровой логики и рассмотрим входные и выходные ха- рактеристики «выживших» семейств ТТЛ- КМОП-логики для того, чтобы понять, как осуществить сопряжение логических семейств друг с другом и с устройствами цифрового ввода (переключателями, кла- виатурой, компараторами и т. п.) и вы- вода (индикаторами, реле и т. п.). Мы рассмотрим также л-канапьные логиче- ские элементы на МОП-транзисторах. поскольку они находят широкое приме- нение при реализации функциональных БИС. Затем коснемся важной темы ввода и вывода цифровых сигналов на платы и внешние приборы, а также передачи цифровых сигналов по кабелям, после чего обсудим методы взаимного преобра- зования цифровых и аналоговых сигна- лов. Наконец, после того как читатель усвоит эги методы, мы рассмотрим несколько примеров применения, в ко- торых сочетание аналоговых и цифровых средств обеспечивает эффективное реше- ние разнообразных задач. СОПРЯЖЕНИЕ ЛОГИЧЕСКИХ КМОП- И ТТЛ-ЭЛЕМЕНТОВ 9.01. Хронология логических семейств В начале 1960-х гг. во времена, которые можно назвать доисторическими, пред- приимчивые люди, не пожелавшие созда- вать свои логические схемы на дискрет- ных транзисторах, самоотверженно би- лись над резисторно-транзисторной логи- кой (РТЛ), простым семейством логиче- ских элементов, разработанным на фирме Fairchild и характеризующимся неболь- шим коэффициентом разветвления по выходу и низкой помехоустойчивостью. Рис. 9.1 иллюстрирует возникшие в то время проблемы, в частности, логический порог, превышающий уровень земли на одно напряжение 176э, и крайне маленький коэффициент разветвления по выходу (в некоторых случаях один выход мог пи- тать только один вход!) были обуслов- лены пассивной выходной схемой и низ- коомной токоотводящей нагрузкой. Это были времена малой интеграции и наибо- лее сложным элементом, который можно было реализовать, был сдвоенный триг- гер, работающий на частоте 4 МГц. Но мы смело строили свои схемы на РТЛ, иногда они сбивались особенно, когда в той же комнате включали паяльник. Похоронный звон по РТЛ прозвучал несколькими годами позже, когда появи- лась диодно-транзисторная логика (ДТЛ) фирмы Signetics и вскоре вслед за ней универсальная быстродействующая логи- ка SUHL фирмы Sylvania, которая теперь называется транзисторно-транзисторной логикой (ТТЛ). Фирма Signetics выпуска- ла распространенную смесь из двух серий, названную DCL Utilogic серии 8000 («Ло- гические схемы по выбору проектиров- щика»), ТТЛ быстро прижилась особенно в «системе счисления» «74хх». автором которой была фирма Texas Instruments. В этих семействах были применены входы, поставляющие ток. с логическим порогом в 2 напряжения С5эи и (как правило) двухтактные каскадные выходы (рис. 9.0- Семейства ДТЛ и ТТЛ открыли эру поло- жительной 5-вольтовой логики (РТЛ была
+5 В Упрощенные схемы элементов различных логических семейств. 9.1.
592 Глава 9 Рис. 9.1. Продолжение. логикой +3,6 В) и предлагали скорость, соответствующую 25 МГц, а коэффициент разветвления по выходу 10, т.е. один вы- ход мог работать на 10 входов. Разработ- чики не могли нарадоваться скорости, надежности и сложным функциям (напри- мер счетчику по модулю 10) этих семей- ств. Казалось, что больше и мечтать не о чем: ТТЛ-это на веки вечные. Однако людям свойственно стремление к совершенствованию. Им потребовалась большая скорость, меньшая мощность потребления. Казалось бы. вскоре они получили и то и другое. В области вы- сокого быстродействия скоростные ТТЛ- схемы (серии 74Н) позволили увеличить скорость почти вдвое, правда, за удвоен- ную мощность! (это выдающееся дости- жение было сделано путем уменьшения вдвое величин всех резисторов). Другое семейство - эмиттерно-связанная логика (ЭСЛ)-представило настоящую скорость (30 МГц в первоначальной версии) за счет использования отрицательного источника питания и более близких друг к другу логических уровней ( — 0.98 и —1,75 В); элементы семейства потребляли уйму мощности и едва втиснулись в малый уровень интеграции. В области низкой мощности появились маломощные ТТЛ- элементы (серия 74L) с 1/4 скорости 1/10 мощности, соответствующих При дартной» ТТЛ серии 7400. ан' При поддержке фирмы RCA было п работано первое семейство логичес элементов на МОП-транзистор КМОП-логика серии 4000. Эти элемен * обладали нулевой мощностью потребле* ния в состоянии покоя и широким дца пазоном напряжения питания (от +з +12 В). Выходы имели размах, равный напряжению питания, а входы не «оття- гивали» ток. Это были хорошие новости но были и плохие-скорость (1 МГц при питании 10 В) и цена (20 долл, за корпус с четырьмя вентилями). Несмотря на цену на микромошных КМОП-элементах вы- росло целое поколение разработчиков устройств с батарейным питанием, просто не было другого выбора. Работая с легко «ранимыми» входами, разработчики по- няли истинное значение статического электричества. Такова была ситуация на начало 1970-х гг.,-две главные линии биполяр- ной логики (ТТЛ и ЭСЛ) и необычная КМОП-логика. Варианты ТТЛ были по природе своей совместимы друг с другом, за исключением того, что ТТЛ-элементы серии 74L имели слабый выходной узел (отвод тока 3,6 мА) и могли питать толь- ко две стандартных (серии 74) нагрузки ТТЛ (чьи входы требовали 1,6 мА на низком уровне). Среди большинства се- мейств почти не было совместимости (хотя погруженные ТТЛ-элементы могли питать КМОП-элементы, а 5-вольтовые КМОП- - только одну ТТЛ-нагрузку се- рии 74L). В течение 1970-х гг. ситуация постоянно’ улучшалась практически на всех направ лениях. От ТТЛ отпачковались нснасЫи щенные «фиксируемые диодами Шоттки* семейства (см. разд. 13.23): сначала сер 74S, которая благодаря утроенной ск^ роста при удвоенной мощности нила серию 74Н. и затем 74LS (+< S-Schottky, маломощная Шоттки), к0^ . рая слегка улучшив скорость при мощности вытеснила ТТЛ ое^И Жизнь с 74LS и 74S была приятной;^ подоспела фирма Fairchild со своей
Сопряжение цифровых и аналоговых сигналов 593 Тпблиуа 9.1. Логические семейства и пор тип., В - нпит, в Год внед- рения В Семей в fpd (CH = (’00) 50 пф) /тажЛ’74) макс., МГц р I I 2 рас 1вых.Няз вх.Няз (С„ = 0) при 0,5 В макс.. МИН НОМ. макс. при 1МГц, мВт/вент. макс., мА мА тип., НС макс., нс КМОП АС 3 5,1 125 0,5 24 0 17+/2 2 5 или 3,3 6 1985 act » » » » » » 1,4 4.5 5 5,5 нс 9 18 30 0,5 8 0 <7+/2 2 5 6 1982 ист » » » » » » 1,4 4,5 5 5,5 [при 10 В 30 60 5 1,2 1,3 0 С+/2 3 515 18 1970 4000В 74С( 'при 5 В 50 90 2 0,3 0,5 0 » » » » ТТЛ AS 2 4,5 105 8 20 0,5 1.5 4.5 5 5,5 1980 F 3,5 5 100 5,4 20 0.6 1.6 4,75 5 5,25 1979 ALS 4 11 34 1,3 8 0.1 1,4 4,5 5 5,5 1980 LS 10 15 25 2 8 0,4 1,1 4,75 5 5,25 1976 ЭСЛ ECL III 1.0 1.5 500 60 -1,3 -5,19 -5,2 -5,21 1968 ECL 100K 0,75 1,0 350 40 — — -1,32 -4,2 -4,5 -5,2 1981 ECL 100KH 1,0 1.5 250 25 — — -1,29 -4,9 -5,2 -5,5 1981 ECL 10K 2,0 2,9 125 25 - - -1,29 -5,19 -5,2 -5,21 1971 GaAS 10G 0,3 0,32 2700 125 — — -1,3 -з,з -3,4 -3,5 1986 -5,1 -5,2 -5,5 74F(F - FAST: Fairchild Advanced Schottky TTL-усовершенствованная ТТЛ с дио- дами Шоттки фирмы Fairchild), которая была быстрее на 50%, чем 74S, при 1/3 мощности; кроме того, были и другие улучшения, так что проектирование схем на этих элементах стало сплошным удо- вольствием. Фирма Texas Instruments (ав- тор многих линий 74хх) выпустила пару улучшенных семейств Шоттки-логики 74AS (улучшенная Шоттки-логика) и 74ALS («улучшенная маломощная Шот- 1*и» • Предполагалось, что первое семей- ство заменит 74S. а второе - 74LS. Все эти ^Л-семейства имели одинаковые логи- *СКИе уровни и добротную схему форми- Р°ванив выхода, так что их можно было ^етать в одной схеме. Используя табл. 1 и рис. 9.2, можно сравнить скорости Мощности этих семейств. Меллч тем серия 4000 КМОП эволю- ^и°нировал в улучшенную серию 4000 В °°Лее широким диапазоном напряжения (от 3 до 18 В), лучшей зашитой > Д°в и более высокой скоростью Н при 5 В). По существу, это та же серия 74S с функциями и выводами се- мейства 74, которая воспользовалась потрясающим успехом биполярной логи- ки семейства 74. ЭСЛ пустила ростки в виде ECLII, ECLIII, ЕС L 10,000 и ECL 100,000, обладающие скоростью до 500 МГц. Обозначения • LS О НС О FACT • ALS О АС О 74С/4000В • С О КМОП • виполяр. TTL ЭСЛ □ прочие □ RTL • первая ТТЛ (74хх) • Н • S F ЮК •AS "шпк 10 G юкн" V""1 (gaAS) 0,1 1 10 100 Мощность, мВт/вентиль при f =1 МГц Рис. 9.2. Зависимость скорости от мощности для раз- личных логических семейств.
594 Глава 9 Итак, ситуация в 1980 г. была следую- щей. Большинство схем было выполнено на серии 74LS в сочетании с 74F (или 74AS), если требовалась более высокая скорость. Та же самая ТТЛ использова- лась как своего рода клей для связи микропроцессорных л-МОП-схем, чьи входы и выходы были совместимы с ТТЛ. Микромощные устройства всегда были сделаны с использованием КМОП-серий 4000 В или 74С, эквивалентными и со- вместимыми друг с другом Для уст- ройств с самой высокой скоростью (100 500 МГц) использовалась ЭСЛ. Совмест- ное использование семейств было не столь уж частым явлением, исключение составляли редкие сочетания КМОП и ТТЛ или сопряжение ТТЛ с быстродейст- вующими ЭСЛ-схемами. В 1980-е гг. произошло замечательное событие - разработка КМОП-логики со скоростью и выходными параметрами, соответствующими ТТЛ Сначала появи- лись элементы серии 74НС («высокоско- ростная КМОП-логика») с такой же ско- ростью как 74LS и, разумеется, с нулевым током покоя и затем серия 74АС («улуч- шенная КМОП-логика») с такой же ско- ростью как 74F или 74AS. Обладая раз- махом выходного сигнала, равным на- пряжению питания, и входным порогом, равным половине напряжения источника питания, эта логика сочетает лучшие свойства предшествующих ТТЛ- и КМОП-логики и постепенно должна вы- теснить биполярную ТТЛ. Вместе с тем имеется некоторая несовместимость - ло- гический «высокий» уровень выходного сигнала ТТЛ- и и-МОП-логики (мин. 2,4 В) не достаточен для запуска входа НС и АС. Поскольку по-видимому, су- ществует такой период времени, когда вам необходимо использовать некоторые из старых семейств биполярной ТТЛ- или и-МОП-логики, каждое семейство КМОП- логики имеет вариант с более низким входным порогом. Такие семейства име- ют наименование 74НСТ и 74АСТ («быст- родействующая КМОП-логика с ТТЛ-по- рогом»). Однако не пытайтесь использо- вать их везде, где только можно, ведь элементы с КМОП-порогом обладают более высокой помехоустойчивостью представляют собой семейства по выб И проектировщика. К тому же в 80-е РУ БИС и СБИС постепенно переключали^ с и-МОП-технологии на КМОП (с ВСЬ текаюшими отсюда низкой мощностью и КМОП-совместимостью), одновремен но увеличивая скорость и сложность И наконец, на вершине быстродействия элементы на GaAs (арсенида галлия) обеспечивающие скорость в несколько гигагерц. Заметьте, что все КМОП-семейства (4000 В, 74 С, НС, НСТ, АС и ACT обладают довольно привлекательным свойством - нулевой «статической» (т. е когда ничего не происходит) мощностью рассеивания с типовым током покоя ме- нее микроампера. Но при переключениях логических уровней КМОП-элементы потребляют «динамический» ток, обус- ловленный двумя эффектами: а) переход- ной проводимостью между шинами пи- тания внутренних двухтактных пар в середине логического перепада и б) ди- намическим током, необходимым для заряда и разряда внутренних емкостей и емкости нагрузки Динамический ток пропорционален частоте переключения и может соперничать с током биполярной логики при достижении максимальной частоты работы. Для более детального анализа загляните в разд. 8.10 (рис. 8.18) и разд. 14.16 (рис. 14.38). Завершим нашу краткую историческую справку следующей рекомендацией. Ис- пользуйте во всех ваших новых устройст- вах логику 74НС в сочетании с (а) 74НСТ для обеспечения совместимости с сущест- вующими устройствами на л-МОП- и ТТЛ и (б) 74АС(Т) для обеспечения скорости. Можно использовать бипоЛ1Р| ную ТТЛ (74LS/ALS и 74F S), но пред- почтительнее. по-видимому, КМОГЬ-л гика. Если требуется широкий диапа^20 напряжения питания, а к быстродейств особых требований не предъявляется ( пример, портативные устройства с нием от нерегулируемой батареи 9 Ь, используйте старую серию 4000 В
Сопряжение цифровых и аналоговых сигналов 595 9 02- Входные и выходные ^рактеристики Семейства цифровой логики проектируют- ся таким образом, чтобы выход кристал- ла был способен работать на большое лаоло входов элементов того же семейст- ла Типовой коэффициент разветвления по выходу равен 10; это означает, что к выходу вентиля или триггера можно под- ялючить до 10 входов и элемент будет правильно работать. Другими словами, в обычной практике проектирования циф- ровых схем можно обойтись без каких- либо сведений об электрических парамет- рах используемого вами кристалла при условии, что ваша схема состоит только из элементов цифровой логики, работаю- щих также на элементы цифровой логики того же типа. Практически это означает, что вы можете особенно не думать о реальных процессах, происходящих на логических входах и выходах. Однако если вы пытаетесь подключить цифровую схему к внешним источникам сигналов (цифровых или аналоговых) или используете цифровые схемы для запуска других приборов, вы должны иметь пред- ставление о том, что необходимо для управления логическим входом и чем может управлять логический выход. Бо- лее того, при смешивании семейств ло- гических элементов важно знать схемные особенно ти логических входов и выхо- дов. Сопряжение логических семейств Вредстав яет отнюдь не чисто теорети- ческий интерес Для того чтобы восполь- зоваться преимуществами современных вристаллов БИС или специальными функ- которыми обладает только одно семейство логических элементов, вы дол- знать, как сочетать логические эле- менты различных типов В последующих Разделах мы рассмотрим детально схем- 11Ые особенности логических входов и 8,4X0 Дов и приведем примеры сопряжения Логических семейств между собой и ло- элементов с внешним миром. Годные характеристики. Графики, при- ^Девные на рис. 9.3, демонстрируют ос- новные свойства КМОП- и ТТЛ-входов- х°Дной ток и выходное напряжение (для КМОП-диод ТТЛ-диод U > 10В провоя х КМОП, ток утечки «1 мкА Вх. напряжение -0,4 мА, макс. - 0,1 мА, макс. -0,5мА, макс. - 0,6 мА, макс. Вх. напряжение 6 Рис. 9.3. Характеристики логических вентилей а-входной ток; б -передаточная характеристика. инвертора) как функции входного напря- жения. На графиках мы несколько рас- ширили диапазон входных напряжений по сравнению с принятым в цифровых схе- мах, поскольку при сопряжении легко могут возникнуть ситуации, когда вход- ные сигналы будут превышать напряже- ние источника питания Как следует из графиков, и КМОП-логика и ТТЛ нор- мально работают при подключении вы- вода питания отрицательной полярности к земле. При подаче на ТТЛ-вход низкого уров- ня он работает как источник тока за- метной величины, а при подаче высокого уровня-как нагрузка, потребляющая не- большой ток (типовой-несколько мкА; никогда не превышает 20 мкА). Для управления ТТЛ-входом вы должны обес- печить отвод тока порядка 1 мА (точные значения приведены в табл. 9.1), поддер-
596 Глава 9 живая напряжение на входе на уровне менее 0.4 В Несоблюдение этого условия может привести к неправильной работе схемы при сопряжении! Для входных напряжений ниже уровня земли ТТЛ-вход ведет себя как фиксирующий диод, вклю- ченный на землю; при напряжениях выше + 5 В ток определяется напряжением про- боя диода (LS, F) или перехода база-эмит- тер (ALS, AS) с напряжением пробоя около 10 В. Типичное значение входного порога ТТЛ составляет примерно +1,3 В, хотя по техническим условиям он может на- ходиться между +0,8 и +2,0 В. ТТЛ- вентили с триггерами Шмитта на входе (’13, ’14, Т32) имеют гистерезис ±0,4 В; при графическом изображении они поме- чаются символом гистерезиса (см. напри- мер, рис. 9.9). Напряжение питания 17пит (обычно его обозначают UKK) составляет + 5,0 В +5%. Входы КМОП-элементов при входных напряжениях от уровня земли до напря- жения питания не потребляют ток (за исключением тока утечки, типовое зна- чение которого составляет 10“5 мкА) Для напряжений выше диапазона напря- жений питания входы ведут себя как два фиксирующих диода, подключенных к положительному полюсу источника пита- ния и к земле (рис. 9.1). Кратковременный ток через эти диоды, превышающий при- мерно 10 мА, переводит многие КМОП- приборы в состояние тиристорного «за- щелкивания» (см разд. 8.35; новейшие схемы противостоят более высоким то- кам и обладают иммунитетом к этой «болезни»; например на входы семейств НС и НСТ можно подавать на 1,5 В выше напряжения питания без нарушения функ- ционирования ити разрушения прибора) Это-те знаменитые диоды для защиты входов, без которых КМОП-элементы были бы чрезвычайно подвержены раз- рушениям от статического электричества при ручных манипуляциях (они и так все еще довольно нежны). Типовое значение порога для семейств 4000В. 74С, 74НС и 74АС составляет половину напряжения питания, но он может колебаться от 1/3 до 2/3 U + (U ± обычно называют Ucc); для 74НСТ и 74ACT типовой порог примерно 1,5 В для обеспечения совм^ тимости с ТТЛ Как и в ТТЛ, сущеСТв КМОП-вентили с триггерами Шмитта входе. Напряжение питания КМОП-д0 ки составляет от + 2 до + 6 В для НС Ас + 5 В ±10% для НСТ и ACT, и от + з + 18 В для 4000В и 74С J Выходные характеристики. Выходной узел ТТЛ представляет собой я/»?-гран- зистор, подключенный к земле, и прп-пов- торитель (или схема Дарлингтона), под- ключенный к U+ с резистором, ограни- чивающим ток. в коллекторе. Один тран- зистор насыщен, другой выключен. В результате ТТЛ-элемент может отводить большой ток на землю (8 мА для 74 S 24 мА для 74F) при небольшом падении напряжения и способен отдавать по мень- шей мере несколько миллиампер при вы- соком выходном уровне (около +3,5 В Выходная схема проектируется таким образом, чтобы можно было подключить до 10 ТТЛ-входов. Выходная схема КМОП-логики пред- ставляет собой двухтактную пару ком- плементарных МОП-транзисторов;«юдин включен, другой выключен (рис. 9.1). Выход ведет себя как готкр МОП-тран- зистора. подключенное к земле или к U+, если напряжение на нем находится в пределах 1 В относительно шины пита- ния, или как источник тока, если вы отбираете такой большой ток, что на- пряжение на выходе отличается на 1 - 2 В от напряжения на шинах питания. Ти- повое значение готгр составляет от 200 Ом до 1 КОм для 4000В/74С, 50 Ом-для 74НС(Т) и 10 Ом для 74АС(Т). Выходные характеристики КМОП и ТТЛ показаны на рис. 9.4. На рисунке мы изобразили типаДИ выходное напряжение для обоих состав ний выхода-ВЫСОКОГО и НИЗ! . в зависимости от входного тока. Д’* упрощения графиков входной ток в показан положительным Заметьте, выходы КМОП-элементов, если оШ*-0 сильно нагружены подключаются к U + . либо к земле, обеспечивая по размах выходного напряжения: при ключении только КМОП-нагрузок (н.
Сопряжение цифровых и аналоговых сигналов 597 Рис 9 4 Выходная характеристика логического вентиля. вой статический ток) размах составляет полное напряжение на шинах питания. Для сравнения отметим, что типовое значение ТТЛ-уровней составляет 50 — 200 мВ (НИЗКИЙ) или +3,5 В (ВЫСО- КИЙ) при условии подключения в ка- честве нагрузки других ТТЛ-элементов. Подключение нагрузочного резистора (рассматривается ниже) доводит высокий ТТЛ-уровень до +5 В ^•03. Сопряжение логических семейств Поскольку существуют ситуации, когда приходится смешивать различные ти- логических семейств, важно знать, ка- й образом можно обеспечить «обше- *®е" различных семейств друг с другом, ‘«пример, многие представляющие инте- рес кристаллы БИС созданы на основе ЖЮП-технологии с ТТЛ-совместимыми Годными уровнями (ВЫСОКИЙ-око- ОР+3 В), но их нельзя сразу же под- У°Чать к 74НС. Другой пример, вам Уселось использовать превосходную Ж®10 счетчиков 74С9хх в существующей У46» построенной на 74LS. Или вам «добилась 5-вольтовая логика по пе- риферии 12-вольтовой КМОП-системы для того, чтобы обеспечить соединение с внешними ТТЛ-совместимыми сигна- лами, или для питания кабелей. Воспрепятствовать сочетанию какой- либо логической пары кристаллов могут только 3 вещи: а) несовместимость вход- ных логических уровней; б) возможности выходного формирователя и в) напряже- ние питания. Чтобы не утомлять вас страницами объяснений, что работает а что - нет, мы свели проблему сопряже- ния к табл. 9 2 Предпримем по ней краткое путешествие. ТТЛ использует напряжение питания + 5 В и обычно выдает высокий уровень всего около -г 3,5 В; она обладает хоро- шим низким уровнем-почти до земли. Таким образом, ее можно подключить к логике с низким значением порога, т. е. к ТТЛ, НСТ, ACT и л-МОП (в которых заранее закладывается совместимость) Для того чтобы управлять НС, АС и 4000В 74С, работающие при 5 В, вам понадобится полный перепад до +5 В Это вы можете сделать с помощью ре- зисторной подвески к +5 В или вставляя буфер НСТ (напомним, что НСТ и ACT имеют выходы с полным перепадом).
598 Глава 9 Таблица 9.2. Сопряжение логических семейств От ТТЛ НСТ ACT НС АС НС, АС приЗ.ЗВ П-МОП БИС 4000В 74С при 5 В 4000В 74С при 10В ТТЛ ОК ок А ОК ОК А В НСТ ACT ок ок ок NO ОК ОК в НС АС ок ок ок NO ок ОК в НС, АС при 3,3 В ок ок NO ОК ок В в п-МОП БИС ок ок А ОК ок А в 4000В, 74С при 5 В ока ок ОК NO ок ОК в 4000В 74С приЮВ с с С С с С ок а> С ограниченным коэффициентом разветвления по выходу. ( +^рабо- тает; ( —)-не работает; А используйте открытый коллектор с резистором, подключенным к +5 В, или промежуточный вентиль НСТ: Б-используйте: 1) резистор, подключенный к + 10 В; 2) элементы 40109, 14504 или преобра- зователь уровней LTC1045; В используйте элементы 74С901'2. 4049'50, 14504 или преобразователь уровней LTC1045. Если вы используете подвеску, учтите, что значение резистора определяется компро- миссом - чем меньше, тем быстрее, но при большей мощности. Обычно выбирают 4,7 КОм. Резистор подвески подтягивает высокий выходной уровень ТТЛ к -*-5 В хотя последняя часть волны подъема (во время которой резистор и делает всю работу) довольно медленная. Для того чтобы управлять высоковольтной КМОП-логикой, используйте преобразо- ватель уровней типа 40109. 14504 или LCT1045; они очень медленные, ну и пусть, ведь вы же все равно пытаетесь управлять медленной КМОП-логикой. и-МОП-выходы похожи на ТТЛ. но в общем случае обладают меньшей нагру- зочной способностью. Можете использо- вать. таким образом, те же средства сопряжения Выходы всех КМОП-семейств облада- ют перепадом, равным 1 аггояжению пи- тания. Это означает, что вы можете непосредственно подключать 5-вотьто- вую КМОП-логику к ТТЛ. л-МОП- и 5-вольтовой КМОП-логике. Учтите, одна- ко. что КМОП старого типа 4000В 40 имеют слабый выход при работе от 5 В (ток отвода 0.5 мА) и полностью теряют свою способность управлять ТТЛ- Я* этих семейств используйте транслятор уровней для управления высоковотьтно КМОП-логикой Превосходным решением задачи сопр
Сопряжение цифровых и аналоговых сигналов 599 Е» ция КМОП-ТТЛ/и-МОП является ис- ользование КМОП при уменьшенном на- пряжении питания; по стандарту JEDEC Standard № 8 напряжение питания сос- тавляет + 3,3 В, при этом входной порог располагается вблизи обычного ТТЛ-по- ^га 1,4 В. Таким образом, ТТЛ может непосредственно управлять НС/АС при питании 3,3 В и наоборот. В качестве дополнительного вознаграждения работа при 3,3 В снижает динамическую мощ- ность потребления (см. разд. 8.10, 14.16 и рис. 8.18 и рис. 14.38) на 55% отно- сительно мощности при 5 В при увели- чении задержек распространения почти на 40%. Учтите, однако, что вы не можете подключать (и в том и в другом на- правлении) 3,3-вольтовую КМОП к дру- гим КМОП, работающим при 5 В Упражнение 9.1. Объясните, почему последнее утверждени истинно. Наконец, высоковольтная КМОП-логи- ка может управлять 5-вольтовой логикой, если для формирования 5-вольтового выходного перепада вы поставите преоб- разователь уровней (74С901/2. 14504. LTC1045 или 4049/4050) Можно управлять LS ТТЛ-элементами непосредственно от высоковольтной КМОП-логики посколь- ку там нет диодов, защищающих входы, и входное напряжение пробоя обычно превышае 10 В; однако в соответствии с техническими условиями на LS (абсо- лютное максимальное входное напряже- ние ' В) необходимо использовать преоб- разователь уровней. Предостережение. Хотя статические Югические уровни могут не вызывать °еспокойства иногда возникает занима- Тельная динамическая несовместимость. вы пытаетесь управлять фронтовыми “Кодами (например, входы с инхронизации ^ников) НС или АС от выходов более ^ДЛенной логики ипа 4000В и и 74С. На 9.5 изображены многократные перс - которые вы могли часто наблю- иногда кристалл НС совсем отка- в+тся считать до тех пор, пока вы не «Г®Коснетесь щупом осциллографа (или Р^ольщои емкое ью)! По-видимзму, ви В11Иком этого является комбинация Рис. 9.5. Быструю фронтовую логику нельзя запус- кать медленными сигналами (например, от узлов с медленной логикой). большого времени перехода и относи- тельно высокого выходного импеданса медленной КМОП На рис. 9.6 приведены несколько со- четаний семейств, с которыми вам, ве- роятно, доводилось встречаться. 9.04. Управление КМОП- и ТТЛ-входами Механические ключи в качестве уст- ройств ввода. Если вам известны входные характеристики используемой логики то управление цифровыми входами от пе- реключателей, клавиатуры, компараторов и т.п не доставит вам особых ослож- нений Самый простой способ-это ис- пользовать резистор, подключенный к шине питания (рис. 9.7). Для элементов ТТЛ, учитывая их входные характерис- тики лучше всего, когда резистор ком- мутируется ключом на землю Ключ легко отбирает входной ток на низком уровне, а резистор поднимает высокий уровень до —5 В, обеспечивая высокую помехоустойчивость: кроме того, это удобно, когда ключ возвращается в со- стояние, соответствующее земле Альтернативный способ, когда резис- тор подключается к земле, а ключ обес- печивает коммутацию к +5 В, нежела- телен поскольку при этом необходима маленькая величина сопротивления ре зистора (220 Ом), гарантирующая низкий ТТЛ-уровень в несколько десятых вольта,
600 Глава 9 ТТЛ п- МОП нет ACT НС АС 74С/4000В (5 В) НССГ) п-МОП АС(Т) 74С/4000В (5В) + исс 5-В логика г-----Л 74С/4000В НУсоткр. коллектором (>5Ь) 74С/4000В LTC1045 (>5В) + Lfcc НС(Т) АС(Т) ТТЛ 74С/4000В (.5 В) НС(Т) АС(Т) 74С/4000В (5В) п-МОП ТТЛ (ALS,возможно LS) 14504 4049/50 74С901/2 5-В логика +5 Рис. 9.6 Соединение логических семейств друг с другом. а б 6 + 5 ТТЛ Рис 9 7. Управление логическими элементами от механических ключей (без защиты от дребезга). а это означает, что при замкнутом ключе будет протекать большой ток. В схеме с подвеской к шине питания помехоус- тойчивость при разомкнутом ключе (худ- ший случаи с точки зрения чувствитель ности к помехе) будет составлять по крайней мере 3 В, в то время как в схеме КМОП тТ П с подвеской к земле всего 0,6 В (для, FAST нижний порог +0,8 В. входной равен-0,6 мА). Для КМОП-логики и та и дрУгаЯ работает превосходно, поскольку в не потребляют ток, а типовое на порога составляет половину Ucc ^
Сопряжение цифровых и аналоговых сигналов 601 удобно заземлять одну из сторон ключа, но если схема становится проще при нали- вал высокого уровня, когда ключ замкнут, т0 вполне пригоден способ с подключе- дием резистора на землю. На рис. 9.7 показаны все три описанных способа. Дребезг ключей. Как было отмечено в гл. 8, после замыкания контактов ме- ханических переключателей дребезг кон- тактов продолжается в течение примерно । мс. Дребезг крупногабаритных пере- ключателей может продолжаться до 50 мс Это может приводить к беспоря- дочным переключениям в схемах, реаги- рующих на смену состояний или фронт (например, если триггер или счетчик так- тируются прямо от ключа, то возможно многократное их переключение). В подоб- ных ситуациях следует подавить дребезг ключа электронными средствами Рас- смотрим несколько способов подавления. 1. Соберите из двух вентилей асинхрон- ный 7?S-триггер, не забыв, разумеется, о резисторах подвески к шине питания (рис 9.8). Можно использовать готовый триггер с входами СБРОС и УСТАНОВ- КА »(например. ’74), заземлив вход син- хронизации. 2 Используйте интегральный вариант предыдущей схемы. Элементы ’279, 4043 и 4044 представляют собой счетверенные ^-триггеры 3. Используйте КМОП-триггер Шмитта замедляющей 7?С-пепочкой на входе Рис 9.9). Фильтр нижних частот R2C сглаживает дребезг, поэтому триггер Шмитта переключится только один раз В общем случае вполне достаточно иметь постоянную времени /?С-цепочки, равную 10 — 25 мс. Для ТТЛ этот способ не подходит из-за низкого импеданса, необ- ходимого для запуска ТТЛ-входов. 4 Воспользуйтесь кристаллом типа 4490, «сшестеренным подавителем дре- безга». В этой превосходной схеме ис- пользована цифровая задержка (5-разряд- ный сдвиговый регистр на каждый ключ) как своего рода цифровой фильтр нижних частот. Схема содержит внутренние ре- зисторы подвески и схему синхронизации. Пользователь добавляет времязадающий конденсатор, устанавливая частоту гене- ратора и определяя тем самым время задержки. 5 Примените схему, показанную на рис. 9 10, используя либо неинвертирую- щий вентиль, либо буфер. Логический выход всегда можно заблокировать, за- мыкая его на U+ или землю, но при условии что эта блокировка кратковре- менна Приведенная схема удовлетворяет этому условию, поскольку принудитель- ная установка действует тотько на ин- Рис. 9.10. Схема защиты от дребезга (неинвертирую- щий вентиль с обратной связью)
602 Глава 9 тервале времени, равном задержке вен- тиля, после чего вентиль поддерживает сам себя в новом состоянии. 6. Применяйте компоненты с встроен- ным подавителем дребезга. Например, шифраторы клавиатуры проектируются с учетом того, что в качестве устройств ввода будут использованы механические ключи, поэтому они обычно содержат схему подавления дребезга. 7. Можно использовать ключи, пост- роенные на основе эффекта Холла. Они представляют собой твердотельные клю- чи, управляемые магнитным полем, и используются в качестве панельных или клавиатурных ключей. Для их работы требуется напряжение +5В; вырабаты- ваемые ими бездребезговые логические выходные сигналы можно использовать для управления ТТЛ или КМОП-логикой, работающей от +5 В. Поскольку ключи на эффекте Холла не имеют изнашивае- мых механических контактов, они прак- тически вечны (хотя однажды у нас слу- чилась эпидемия прогрессирующей маг- нитной анемии клавиатуры на эффекте Холла; мы надеемся, что эта болезнь теперь побеждена). Несколько общих замечаний о ключах как устройствах ввода. Следует иметь в виду, что для однополюсных ключей на одно направление (иногда называемых «тип А») можно использовать 3-й и 4-й способы (и как правило, 6-й), в то время как для однополюсных ключей на 2 направления (тип «В») следует применять остальные способы. Помните также, что во многих случаях нет необходимости подавлять дребезг ключей, ведь ключи не всегда управляют схемами, чувствитель- ными к фронту. Еше один важный мо- мент: хорошие ключи обладают обычно свойством «самоочистки», позволяющим сохранять чистоту контактных поверх- ностей (разберите один из ключей и вы поймете, что это означает), тем не менее для очистки контактов желательно вы- брать параметры схемы таким образом, чтобы через контакты протекал ток не менее нескольких миллиампер. Выбор подходящего материалы для контактов (например, золото), а также специальная конструкция позволяют избежать - проблемы «сухого переключения», j^01* будет хорошо работать даже при нулево ** токе. м 9.05. Управление цифровой логикой от компараторов и операционных усилителей Компараторы и операционные усилители наряду с аналого-цифровыми преобразо- вателями (АЦП), являются обычными устройствами ввода, с помощью которых аналоговые сигналы могут управлять цифровыми схемами. На рис. 9.11 пока- зано несколько примеров. В первой схеме компаратор управляет ТТЛ непосредст- венно. Большинство компараторов содер- жат выходной ири-транзистор с откры- тым коллектором и заземленным эмит- тером, поэтому остается только добавить нагрузочный резистор, подключенный к + 5 В. Аналогичную схему можно исполь- зовать и для КМОП, подключая резистор к + Ucc. Использование двуполярного источника для питания компаратора сов- сем не обязательно: многие из них пред- назначены для работы с одним источ- ником (U _ заземлено), а некоторые будут работать даже с одним источником пи- тания 5 В (например, элементы 311, 339, 393 или 372/4). На второй схеме показан способ уп- равления КМОП-логикой от операцион- ного усилителя с использованием только последовательно включенного токоогра- ничивающего резистора. Диоды зашиты входов элементов КМОП образуют эф- фективные фиксаторы уровней Ucc и зеМ" ти, благодаря чему входной ток не пре- вышает 10 мА В третьей схеме опера ционный усилитель переводит зистор в насыщение, обеспечивая воз можность управления нагрузкой # диод служит для предотвращения про перехода база-эмиттер в обратном правлении (- 6 В). В этой схеме Ri можно исключить, заменяя одновре^^^_ нрп-транзистор на н-канальныи транзистор. Последнюю схему ^поЛйе бенно не рекомендуем, но она работоспособна. Фиксирующий Дйо
Сопряжение цифровых и аналоговых сигналов 603 Управление логикой от компараторов и И^ЧИонн ; усилителей. входе ТТЛ-элемента ограничивает отри- цательный перепад до величины падения на диоде ниже земли, а внешний диод ограничивает положительный перепад. Последовательно включенный резистор предотвращает повреждение схемы, когда на входном транзисторе ТТЛ появляется напряжение обратного пробоя база-эмит- тер. Величина резистора выбирается дос- таточно малой для того, чтобы отвести входной ток на низком уровне ТТЛ, когда на выходе операционного усилителя поя- вится отрицательное напряжение в не- сколько вольт. Тактовые входы. Гистерезис. Общее замечание относительно управления циф- ровой логикой от операционных усили- телей. Не пытайтесь управлять такто- выми входами с помощью приведенных выше схем; длительность перехода до- вольно большая и, когда входной сигнал проходит через напряжение логического порога, в вашей схеме могут появиться выбросы. Если возникает необходимость управлять тактирующими входами (триг- геров, сдвиговых регистров, счетчиков, одновибраторов и т. п.), лучше всего использовать компаратор с гистерезисом или поставить на входе вентиль с триг- гером Шмитта (или любой другой эле- мент такого типа). Аналогичное замеча- ние относится и к сигналам от транзис- торных аналоговых схем. Обратимся к рис. 9 12. Величину резистора R2 выби- рают таким образом, чтобы гистерезис составлял 50 мВ. Параллельно резистору обратной связи включен небольшой кон- Рис. 9.12. Пороговый детектор с гистерезисом.
604 Глава 9 денсатор С2, который обеспечивает необ- ходимую скорость переходов и предотвра- щает появление многократных импульсов при пересечении порога (элемент 311 особенно склонен к этому). Для предотв- ращения выбросов на входе опорного напряжения важную роль играет конден- сатор развязки Cv Во многих случаях опорное напряжение равно нулю и тогда можно не ставить. 9.06. Некоторые замечания, касающиеся логических входов При разработке ТТЛ-элементов имеется тенденция использовать низкий уровень в качестве активного входного сигнала (как и для их аналогов НС и АС) Например, от низкого уровня срабаты- вают входы УСТАНОВКА и СБРОС триггеров. Поэтому цепи внешних циф- ровых входных сигналов почти всегда содержат нагрузочный резистор и, будучи активными, находятся в состоянии низ- кого уровня (отвод тока); это удобно, поскольку механические ключи и т. п. могут работать с возвратом на землю. Кроме этого, возрастает помехоустойчи- вость, так как цепь с напряжением около -1-5 В имеет помехоустойчивость 3 В, а цепь с напряжением около 0 В помехо- устойчивость 0,8 В Эта присущая ТТЛ слабость (низкая помехоустойчивость на низком уровне) станет очевидной, если вы представите себе что кристалл может интерпретировать отрицательный выброс 0,5 В на своей земляной шине как входной сигнал высокого уровня. Такие выбросы не являются чем-то необычным: их могут порождать короткие импульсы тока в индуктивности шины земли Дальнейшее обсуждение этого животрепещущего воп- роса отложим до разд. 9 11. Помехоустойчивость КМОП-логики одинакова в любом состоянии, поэтому при управлении от приборов, имеющих открытое состояние, в качестве входных цепей вы можете использовать резисторы, подключенные к питанию или к земле. Чаще используются резисторы, подклю- ченные к земле, хотя подключение к питанию можно увидеть в схемах, в которых управляющий элемент аналог чен ключу с возвратом на землю И Открытый ТТЛ-вход-это «едва ВЫ СОКИЙ». Он располагается на логиче ском пороге (1,3 В), но, поскольку Ток отсутствует, он не открывает входной транзистор. Вам, возможно, довелось видеть «схемы», в которых вход, который должен быть подключен к высок м ТТЛ-уровню, остается незадействован- ным. Никогда не делайте этог о! Это столь же не разумно, сколь и опасно, незадейст- вованный вход имеет нулевую помехо- устойчивость, поэтому емкостная связь с любым близлежащим сигналом может привести к коротким всплескам к ниж- нему уровню на входе. В результате на выходе комбинационных элементов вен- тилей) появляются выбросы, что само по себе уже плохо, но в случае триггеров или регистров будет просто недопустимо, поскольку незадействованныи вход СБРОС может сработать в непредсказуе- мые моменты времени. Выбросы, нару- шающие работу, иногда невозможно уви- деть на осциллографе, они могут иметь характер одиночных импульсов длитель- ностью около 20 нс. В большинстве случаев вам, возможно, и удастся «выйти сухим из воды», особенно при небольшой емкости между незадействованным выво- дом и соседними выводами, тем не менее это не выход из положения; ести вы попытаетесь найти причину неработоспо- собности с помощью логического анали- затора или тестовой клипсы, у вас по- лучится новая схема, поскольку допол- нительные емкости тестового оборудова- ния почти наверняка приведут к импульс- ным переходам к нижнему уровню на незадействованных выводах. Кроме того, зачем создавать заведомо ненадежную схему, если вы знаете, как с помощью простых соединений сделать ее надежной. (Конец тирады.) Jf Неиспользуемые входы. Неисполь У^ мые входы, которые влияют на лоГИ ское состояние ИС (например, вход тр гера СБРОС), должны быть подключе^ соответствующим образом к высо или низкому уровням. Входы, не вающие влияния (например, входы
Сопряжение цифровых и аналоговых сигналов 605 црльзуемых функциональных частей в г0М же корпусе), в ТТЛ можно оставить додключенными но не в КМОП. От- Kffbie входы незадействованного КМОП-вентиля могут, например, смес- иться к логическому порогу, выходы при эТоМ займут положение на половине напряжения питания т. е. оба выходных МОП-транзистора будут открыты, пот- ребляя значительный ток класса А. Это приведет к чрезмерному потреблению тока и может даже вызвать отказ эле- ментов с большим числом выходных каскадов. Лучше заземлить все входы неиспользуемых функциональных частей в каждом КМОП-кристалле. В ТТЛ можно не обращать внимания на неиспользуемые функциональные части кристал а, как и на несущественные входы используемых схем. Например, можно оставить неподключенными входы параллельной загрузки счетчика, если вы никогда не активизируете линию ЗАГ- РУЗКА 9.07. Компараторы Мы вкратце уже упоминали о компара- торах в разд. 4.23 для того, чтобы проил- люстрировать применение положитель- ной обратной связи (триггер Шмитта) и показать, что специализированные ИС компараторов обладают существенно лучшими характеристиками, чем универ- сальные операционные усилители, исполь- зуемые в качестве компараторов Эти преимущества (малые задержки, высокая скорост нарастания выходного напряже- ®я и сравнительно высокая устойчивость 1 большим перегрузкам) достигаются полезных для операционных уси- зигедеи свойств (в частности, пеной точ- ®Го управления фазовым сдвигом по .^тоте). Компараторы не имеют частот- ой компенсации (разд. 4.33) и не могут ^Пользоваться в качестве линейных уси- лите 1ей Компараторы играют важную роль при стяжении аналоговых (линейных) вход- сигнале с миром цифровой техники, генном разделе мы подробно рассмот- компараторы, уделяя основное вни- мание их выходным характеристикам, некритичности в отношении к напряже- нию источника питания и способам по- дачи сигналов и защиты входов. Напряжение питания и выходы. Боль- шинство компараторов имеют выход с открытым коллектором, предназначен- ный для запуска логических входов (ра- зумеется, с резистором подвески на шину питания) и сильноточных/высоковольт- ных нагрузок. Элемент 311, например, может управлять нагрузкой, подключен- ной к источнику питания до 40 В и потребляющей ток до 50 мА. а элемент 306 может работать с еще большим током. Эти компараторы имеют вывод земли в дополнение к выводам отрица- тельного и положительного питания, поэ- тому напряжение на нагрузке достигает уровня земли независимо от напряжения питания. Компараторы повышенного быстродействия (521, 527, 529, 360. 361, Am686, СМР-05, LT1016 и VC7695/7) в большинстве случаев имеют выходные каскады с активной нагрузкой. Они пред- назначены для управления 5-вольтовой цифровой логикой и обычно имеют 4 вывода питания-17+ , 67 _, 67кк ( + 5) и земля Следует обратить внимание на то, что для работы большинства компараторов необходимо использовать источники и положительного и отрицательного напря- жения даже в том случае, если на входе никогда не появляется отрицательный сигнал. Примерами могут служить эле- менты 306, 710 и 711. а также компа- раторы с активной подгрузкой, перечис- ленные выше Необходимость иметь ис- точник отрицательного напряжения для обеспечения работы компаратора в ап- паратуре. использующей только положи- тельное напряжение, доставляет опреде- ленные неудобства В связи с этим по- лезно знать характеристики компарато- ров, которые могут питаться лишь от положительного напряжения (например. 311. 319, 339. 393, 365, СА3290. НА4905. СМР-01. СМР-02, LT1016. AD790 и TLC372/4). Действительно, они могут работать с одним источником питания 5 В. это существенное достоинство для
606 Глава 9 цифровых систем. При работе от одного источника +5 В компараторы 339, 393, 365, СА3290, НА4905, LT1017/18, AD790 и TLC372/4 имеют входной диапазон в режиме синфазного сигнала, достигаю- щий уровня земли. Они созданы спе- циально для работы с одним источником питания и за исключением элементов 4905 и 790 имеют всего два вывода питания (U + и земля); при работе от расщеплен- ного питания выход будет опускаться до U_. Кроме того, некоторые из них об- ладают довольно необычным свойством- они способны работать от одного источ- ника питания с напряжением лишь 4-2 В. Говоря об источниках питания, следует упомянуть, что некоторые компараторы спроектированы для работы при малом токе питания в общем случае, менее 0,5 мА; примерами могут служить ком- параторы LP311, LP339, TLC373/4, TLC339/393, TLC3702/4, СМР-04, LT1017/8, МС14574 и LP365. Последние два элемента представляют собой счет- веренные компараторы с программируе- мым рабочим током. Малая мощность достигается ценой низкого быстродейст- вия с временем реакции порядка несколь- ких микросекунд. Для полного знакомст- ва с маломощной электроникой обрати- тесь к гл. 14; в табл. 14.8 перечислены маломощные компараторы. Входы. Входные цепи компараторов требуют некоторых мер предосторожно- сти общего характера. Везде, где это возможно, следует использовать гистере- зис (разд. 4.24), в противном случае возможны ошибочные переключения. Для того чтобы понять причины, вообразите себе компаратор без гистерезиса, в ко- тором дифференциальное входное напря- жение проходит через уровень 0 В, мед- ленно изменяясь будучи аналоговым ко- лебанием. Разница на входах всего в 2 мВ приведет к изменению состояния выхода с временем переключения менее 50 нс. Неожиданно в вашей схеме возникают быстрые логические перепады амплиту- дой 3000 мВ сопровождаемые импуль- сами тока в цепях питания и т. п. Можно просто чудом избежать наложения этих быстрых колебаний на входной сигнал ведь достаточно всего нескольких v ливольт для того, чтобы разность входе превысила 2 мВ и возникли гократные переходы и колебания Имен ° по этой причине для создания хорощ0 работающей чувствительной схемы с ком° паратором необходим соответствующй' гистерезис (с небольшим конденсаторе * параллельно резистору обратной связи) в сочетании с тщательно продуманной трассировкой и развязками по питанию Старайтесь вообще избегать управления входами компаратора высокоимпеданс- ными сигналами; используйте выход опе- рационного усилителя. Если быстродей- ствие не требуется, старайтесь также избегать применения быстродействующих компараторов, которые обостряют все эти проблемы. Некоторые компараторы доставляют в этом отношении больше беспокойств, чем другие; мы столкну- листь с массой трудностей, применяя превосходный во всех других отношениях компаратор 311. Еще одно предостережение относитель- но входов Некоторые компараторы об- ладают весьма ограниченным диапазо- ном напряжений на дифференциальных входах, некоторые типы всего 5 В (на- пример, СМР-05. 685-7 и VT969/7). В этих случаях для зашиты входов возможно понадобятся фиксирующие диоды, по- скольку избыточное напряжение на диф- ференциальных входах приведет к умень- шению й21э и вызовет постоянные ошибки смещения входа, а в ряде случаев выход из строя перехода база - эмиттер входного каскада Универсальные компараторы в этом отношении лучше: типовое значени диапазона напряжений на д фференпиаль ных входах составляет ±30 В (например» 311, 393, LT1011 и т.п.). < < Одной из важных особенностей вхоДР компараторов является входной то^сМ^ щения и его зависимость от диффоР^ циального входного напряжения. Во вх ных каскадах большинства компаратор используются биполярные тРаНЗИС\.0В с входными токами смешения от деС _.е-? наноампер до десятков микрон Входной каскад представляет собой ференциальный усилитель с о
Сопряжение цифровых и аналоговых сигналов 607 Рис 9.13. Зависимость входного тока смешения от [диференциа го входного напряжения для компа- ратора СМР-0,2. (С разрешения фирмы Precision Monoliths, Inc.) = + 15 В; Тогр = 25 °C. усилением, поэтому при переходе компа- ратора через порог ток смещения изме- няется. Кроме того, внутренние схемы защиты могут вызвать еще большие из- менения тока смещения в нескольких вольтах от порога. На рис. 9.13 показана типовая зависимость тока смещения (для СМ Р-02). Небольшая ступенька тока при О В (дифференциальное напряжение пред- ставляет собой в действительности плав- ный переход примерно при 100 мВ: это соответствует изменению напряжения, которое необходимо для полного пере- ключения входного дифференциального усилит льного каскада из одного состоя- ния в другое. Для тех применений, где необходимо обеспечить работу при крайне низком входном токе, используются компарато- ры с полевыми транзисторами на входе. Примерами могут служить сдвоенные ^мпараторы с полевыми МОП-транзис- на входе СА3290. TLC372. •С3702 и TLC393, а также LF311 с п°левым транзистором с р и-переходом дРиант известного компаратора 311. г°следнии имеет максимальный вх двои 1°к 50 пА (311 - 250 нА) при почти пол- сохранении напряжения смещения L °Ыстродейс I вия Там. где необходимы Р^ктерисгики какого-то конкретного ^^наратора, но при более низком вход- ном токе, на входе целесообразно доба- вить повторитель с согласованной парой полевых транзисторов. И последнее замечание относительно входных характеристик температурные градиенты на кристалле, обусловленные рассеиванием мощности на выходных каскадах, могут ухудшить указанное в спецификации напряжение смещения вхо- дов. В частности, в связи с тем что тепло, генерируемое на выходном каскаде и зависящее от состояния, может привести к переключению входа, для входных сиг- налов вблизи 0 В (дифференциальное на- пряжение) возможен эффект «урчания двигателя» (медленные колебания на вы- ходном каскаде). Общее быстродействие Обычно пола- гают, что компаратор представляет собой идеальную переключательную схему, в которой любые сколь угодно малые из- менения полярности дифференциального входного напряжения приводят к мгно- венному изменению на выходе. В дейст- вительности же для малых входных сиг- налов компаратор ведет себя как усили- тель, а его переключательные характерис- тики зависят от усилительных свойств на высоких частотах. В результате незначи- тельные перегрузки по входу (т. е. при сигналах, больших, чем это необходимо для насыщения на постоянном токе) при- водят к увеличению времени распростра- нения и, как правило, к затягиванию фронта и спада на выходе. В технических данных на компараторы обычно имеется графа «время отклика для различных перегрузок по входу». Некоторые значе- ния этого параметра для компаратора 311 приведены на рис 9.14. Обратите вни- мание на снижение параметра в конфи- гурации. когда выходной транзистор ис- пользуется как повторитель, т. е без усиления. Увеличение входного напряже- ния ускоряет процессы, поскольку сниже- ние коэффициента усиления на высоких частотах компенсируется большим сигна- лом. Кроме того, увеличение внутренних токов усилителя позволяет ускорить за- ряд внутренных емкостей. В табл. 9.3 приведены характеристики большинства современных компараторов.
608 Глава 9 National Semiconductor Corp.) UB = ±15 В: Т>жр = 25 °C. 9.08. Управление внешней цифровой нагрузкой от КМОП- и ТТЛ-элементов Управление с помощью ТТЛ- и КМОП- элементов устройствами релейного типа, такими, как лампы (светодиоды. СИД), реле, устройства отображения и даже нагрузки с переменным током, не дос- тавит вам особых трудностей На рис. 9.15 представлены некоторые способы управления На схеме а показан стандарт- ный способ управления СИД-индикато- ром от 5-вольтовой логики ТТЛ-элемен- ты лучше работают на отводе тока, чем на отдаче, поэтому СИД подключается к + 5 В; для КМОП-элементов СИД мож- но подключать либо к U + , либо к земле СИД ведет себя как диод с прямым падением напряжения от 1,5 до 2,5 В при типовых рабочих токах от 5 до 20 мА; используя некоторые самые современные высокоэффективные СИД, вы получите хорошую светоотдачу всего при несколь- ких миллиамперах (фирма Stanley вы- пускает ослепительно яркие приборы). Вместо дискретных СИД и резистора можно использовать СИД с интеграль ным токоограничивающим резистором (или регулятором тока), которые выпус каются многими фирмами; посмотри каталоги фирм Dialight, General Ins ment Siemens и Hewlett-Packard. На схеме б показано, как ynpaR< 5-вольтовым слаботочным реле с мощью логических элементов, отвод* по типу схемы а; диод шунтирует дуктивные выбросы. Реле, показанн
Сопряжение цифровых и аналоговых сигналов 609 НС(Т) АС(Т) ТТЛ +5 сид 2 20 Ом о а 1N914 НС(Т) АС(Т) 5-В рег.е Magnecraft W107DIP I J-74LS26 6 4 От +5 до+ЗОВ Асей о 9.15. Управление нагрузками от логических уровней Р-626
Таблица 9.3. Компараторы Тип Изготовитель Количество в корпусе Время переключенияS) (тип.). нс С\.лв(макс.), мВ /См(макс.), мкА СД для и. СМР01 РМ 1 НО 2.8 0.9 — СМР02 РМ 1 190 0.8 0.003 — СМР04 РМ 4 1300 1 0,1 + СМР05 РМ 1 40 0,6 1,2 — LM306 NS 1 28 6,5 5 — LM311 NS 1/2 200 3 0,1 — LF311 NS 1 200 4 0,00005 — LP311 NS 1 2000 7,5 0,1 — LM319 NS 2 80 4 0,5 — LM339 NS 4/2 1300 5 0.25 + LP339 NS 4 10000 5 0,025 + TLC339 TI 4/2 2500 5 0.005 п* TLC3702 TI 2/4 2500 5 0,005 п) + LM360 NS 1 14 5 20 — LM361 NS 1 14 5 30 — LP365 NS 4 2000 6 0.075 + TLC372 TI 2/4 650 10 1 пкАп) + СМР404 РМ 4 3500 1 0,05 4- TL510C TI 1/2 50 3,5 20 — NE521 SN 2 11 7,5 20 — NE522 SN 2 14 7,5 20 — NE527 SN 1 33 6 2 — NE529 SN 1 20 6 20 — Ат685 AM 1 6 2 10 — Ат686 AM 1 9 2 10 — Ат687 AM о 7 2 10 — Bt687 ВТ 2 1.8 — AD790K AD 1 35 0.3 3 - TL810C TI 1 n 30 3.5 20 LT1011 LT 1 150 0.5 0,025 — LT1016 LT 1 10 3 10 — LT1017 LT 2 20000 0.015 ♦ LT1018 LT 7 6000 1 0.075 — LT1040 LT 1 80000 0.5 0.0003 SP1650В PL 2 3.5 л' 20 10 — EL2018C EL 20 3 0.3 — EL2019C EL 1 6 м’ 5 0.3 — Синфазные вход- ные напряжения, В1’ Абс. макс. диф. входпо напряжение С/диф, В” Источники питания, В Положитель- ный Отрицатель- ный МИН. макс. МИН. N такс. мин. макс С_ с. 11 5 30 0 -30 и_ СД 11 5 30 0 -30 -0.3 30 36 3 36 0 -30 и _ сд 5 5И) 6 -5,2 й’ -18 -7 7 5 12*” — -3 -12 СД -30 СД +30 30 5 30 0 -30 СД -30 Е_+30 30 5 30 0 -30 СД F-+30 30 3 30 0 -30 СД 1Д 5 5 30 0 -30 -0,3 36 36 2 36 - - -0.3 36 36 2 36 — -0,3 сд 18 3 16 - - -0,3 сд 18 3 16 - - и. сд 5 4.5 6.5 -4,5 -6.5 -6 6 5 5 15*” -6 -15 -0.3 36 36 2 36 0 -36 -0,3 18 18 2 18 - - -0.3 СД сд 5 30 - - — 7 7 5 10 14 -5 -7 -5 5 6 5Н| -5"’ - -5 5 6 5Я| -5"’ - -6 6 5 5 10 -6 -10 -6 6 5 5 10 -6 -10 -4 4 6 6 й’ -5,2"> —4 4 6 5*” -6"’ —4 4 6 5 и) -5.2 я’ - -3.3 3.3 5Я) -5^"* — -18 18 15 3.5 18 0 -18 — ? 7 5 10 р -5 - С _—0,6 и СД ->-0,6 сд 36 5 3 4,5 36 0 0 -36 -7 -0.3 40 40 1,1 40 - - -0.3 40 40 1.1 40 — С -0,3 СД-0.3 2.8 16 -5,2В) — 4.5 - -3 и 2.5 С 5 36 5 и> 4.5 18 -18 С СД 36 Д5 18 -4.5 -18
Выходные параметры Общий Тип. коэфф, уси- ления, 10’ Вывод земли? Один источник + 5 В? Совместим с "ПЛ? 1 4 Открытый коллек- тор? Активная на! руз- ка? Инверсный вы- ход? Стробирование? Память? Низкий уровень выхода *’ Макс, внешняя нагрузка’' Примечания мин макс 5 36 500 — + + — 4- — — — Н 32 5 36 500 — + + 4- — 4- — — — Н 32 Прецизионный 3 36 200 + + + — + — — — — 3 30 Прецизионный вариант 339 9,5 24 16 + — + 4- — + — — + 3 — Быстрый прецизионный ' - 30 40 + — + + — — + — 3 24 Большой выходной ток 4,5 36 200 + + + + + — — — — н 40 Склонен к генерации; широко распространен; сдвоенный-2311 4,5 36 200 — + + 4- + — — — — н 40 311 на МОП-транзисторах 3 36 200 — + + + + — — — — н 40 Маломощный 311 4,5 36 40 — + + 4- 4- — — — — н 36 36 200 + + + — + — — — — 3 30 Самый распространенный: маломощный; сдвоенный- 393 2 36 500 4- + 4- —- + — — — — 3 30 Маломощный вариант 339 3 16 — 4- + + — + __ — — — 3 18 На МОП-транзисторах; сдвоенный-393 3 16 — 4- 4- 4- —- — 4- — — — 3 П + На МОП-транзисторах; счетверенный-3704 9 13 3 4- — + + — + + — — 3 — Аналогичен 760 11 30 3 + — + 4- — + + + — 3 7 Аналогичен 529 4 36 300 — + + + 4~ — — — — н 36 Программируемый; техни- ческие данные при 7уст = 10 мкА 2 18 200 4- + 4- — + — — — — 3 18 На МОП-транзисторах; счетверенный-374 5 30 400 -t- + + — + — — — — 3 Маломощный 15 21 33 + — 4- 4- — + — — — 3 — TL514С-сдвоенный 9,5 10,5 — + — + 4- — + — + — 3 — 9,5 10,5 — + — + + — + — + — 3 — 10 20 — + — -4- -4- — -L- + + — 3 15 529 со схемой Дарлингтона 10 20 — + — -г + — + + — 3 15 9,7 14 1600 4- — — 4- — — -L Д- 4- э ЭСЛ; как СМР-07 9.7 14 - 4- — + 4- — + — + — 3 Самый быстрый из ТТЛ-ком- параторов 9,7 14 12 100 + — - 4- - - 4- -1- -4- -4- *г э э ЭСЛ ЭСЛ; самый быстрый 687 типа 3,5 36 10 4- -L 4- -Г — -г — — 3 Быстрый с одним источником 4-5 В h 21 33 -г — -т — т — — 3 510 без стробирования; 820 С-сдвоенный 36 500 — U- + + — — т — н 50 Улучшенный 311 14 3 + 4- — 4- + — + 3 Самый быстрый с одним источником +5 В 1,1 40 500 т -г — — -Т- — — — 3 Маломощный 1,1 41' 2000 — 3 Маломощный -.8 9 16 36 40 Т -4- -4- - -4- -L -4- J. + + + 3 э 3 3 Микромощный. ЭСЛ; 1651 быстрее Быстрый, точный, высоко- вольтный Быстрый, высоковольтный, тактируемый
612 Глава 9 Таблица 9.3. Компараторы Тип Из! отопитель” Количество в корпусе Время переключения61 (тип.), нс 0И ‘('ЭЯВИ)"''3/) 7см(макс.), мкА СД для и. ” CA3290A RC 2 1000 10 0,00004 + RC4805A RA 1 22 0,3 1,2 — НА4905 НА 4 150 7,5 0,15 4- VC7695 VT 1 1,5 5 5"’ — VC7697 VT 7 2 5 5 я1 — SP9685 PL 1 2,3 5 20 — SP9687 PL 2 2,8 5 20 - МС14574 МО 4 20000 30 0,00005 + SP93808 PL 8 1,0 3,5 9 — Синфазные вход- X Чч ffi - •ё § s Дэ <3 s Источники питания, В В'1 Положитель- ный Отрицатель- мин макс. ный ьс 9? мин. макс. мин. макс. < X U..-5 П++5 36 4 36 — 4 4 3 4,5 5,5 -4,5 -16 и_ 15 5 30 0 -30 -5 5 3,5 — 6 -6 -5 5 3,5 — 6 -6 -5 3 5 и) 5 и) - -5,2 й' - -5 3 5 и) 5 и» - -5,2 я1 - -0,5 С,+0,5 и+ 3 15 - и_ 3,8 1,5 7,3 -4,9 -5,5 а> см. сноску к табл 4.1;61 на ступеньке 100 мВ с перегрузкой 5 мВ; рабочий входной диапазон в синфазном режиме включает отрицательное напряжение; г) максимальный диапазон без пробоя входов; вне диапазона работоспособность не гарантируется; ” максимально допустимое напряжение между входными выводами; с| способность принимать сигналы обеих полярностей и управлять униполярной логикой ж| Э-выход предназ- начен для управления ЭСЛ (прл-транзистор с открытым эмиттером); 3-на выходе земля, Н-низкий уровень от схеме, выполнено в стандартном корпусе DIP с сопротивлением обмотки 500 Ом (потребляемый ток составляет 10 мА, что соответствует возможностям большинст- ва элементов 5-вольтовой логики). Схемы в, г и д предназначены для управления высоковольтной нагрузкой. На схеме в вентиль 74LS26 с открытым коллектором, работающий от источника 15 В, управ- ляется 12-вольтовым реле, а на схеме г «сдвоенный периферийный формирова- тель» 75451 управляет некой неопреде- ленной нагрузкой в диапазоне напряже- ний до 30 В и токов до 300 мА. Выпус- каются также аналогичные приборы с открытым коллектором, предназначенные для работы с напряжением 80 В и даже с большими, чем в предыдущем случае, токами; познакомтесь с серией DS3600. выпускаемой фирмой National, и с серией мощных формирователей фирмы Sprague (UCN/UDN/ULN), включающей превос- ходные октальные формирователи в кор- пусе DIP. В схеме д мы использовали низкопороговый и-канальный мошный полевой транзистор; благодаря высокому входному импедансу транзистора такой способ управления особенно удобен. При управлении ТТЛ-уровнями для обеспе- чения нормальных условий работы лучше использовать резисторную подвеску к питанию, поскольку минимальный гаран~ тированный в ТТЛ-элементах высокий уровень (2,4 В) является слишком низким. Рассмотренные выше способы могут оказаться неприемлимыми для элементе НС, LS или 74С из-за ограничении по выходу (отводящий ток составляет с ветственно 5, 8 и 3,5 мА). Для управле большими СИД можно воспользоват^ элементами типа 74AS1004 (сшестерен инвертор с током отвода или
Сопряжение цифровых и аналоговых сигналов 613 Продолжение табл. 9.3 Выходные параметры Примечания 4 36 22 150 20 + + 4- 4- 4- + 4* + - + 3 3 36 На МОП-транзисторах Самый быстрый из преци- зионных 5 33 400 — 4- 4- + — 4- — — — н Гибкий выходной каскад 12 R — + — — + - + - + э Сверхбыстрый 12 — + — — + — - + - + э Самый быстрый из сдвоенных 12 300 + — — -t- — - + + + э ЭСЛ: быстрый вариант Ат685 12 300 + — — + - + J- + э ЭСЛ; быстрый вариант Ат687 3 18 100 + 4- 4- — — + - - — 3 V * КМОП, программируемый; технические данные при 100 мкА 6.5 13 20 + — + — + + — + э” Сверхбыстрый октальный насыщенного лгрл-транзистора, который можно подключать к любому отличному от нуля напряжению; ” максимальное напряжение, к которому можно подключить выход с нешним резистором; и) номинальное значение и дополнительный источник +5 В для питания логики; я| перегрузка 100 мВ; время установки; ” ток смещения; °' для выхода ЭСЛ используйте источники — 5,2-и —10 В; °’ типовое значение 48 мА). При управлении сильноточной нагрузкой от логических элементов сле- дует позаботиться о массивной земляной шине для подвода земли к кристаллу, поскольку ток нагрузки возвращается на землю источника питания через кристалл. В некоторых случаях целесообразно ис- пользовать отдельный путь возврата земти. ВНа схеме е показано применение прп- П’анзистора для переключения сильно- точной нагрузки с помощью 5-вольтовой -тогик! Для коммутации больших токов ^пользуйте второй транзистор, как по- юзано на схеме ж. На схемах з, и уЗДставлен способ управления нагрузка- Ц подключенными к отрицательному И^Шос источника питания Высокий вы- *°ДНой уровень открывает рпр-транзистор и ^Пряжение насыщения на коллекторе ®Новится выше потенциала земли на величину падения напряжения на диоде. Ток эмиттера, а, следовательно, и макси- мальный ток коллектора (нагрузки), в схеме з определяется резистором (или положительным предельным током вен- тиля). В улучшенной схеме и в качестве буфера используется ири-повторитель; диод, включенный последовательно с вы- ходом. удерживает нагрузку от перепадов выше земли. В обоих случаях максималь- ный ток нагрузки равен току эмиттера рлр-транзистора. Аналогичные схемы вы- пускаются в интегральном исполнении; они имеют КМОП ТТЛ-совместимые входы и высоковольтные выходы с на- грузочной способностью по току до не- сольких сотен миллиампер. Попробуйте применить элементы DS3687 (300 мА. — 56 В) фирмы National и распространен- ную серию UDN фирмы Sprague В том случае когда вы используете
614 Глава 9 слаботочную логику 4000В/74С с выход- ным током едва достигающим миллиам- пера, следует предусмотреть специальный мощный формирователь, даже для све- тодиода На схеме к показан надежный сшестеренный буфер, управляющий све- тодиодом. Этот элемент может работать с отводом тока от 5 до 50 мА при напряжении питания от 5 до 15 В соответственно (нагрузочная способность выхода увеличивается с увеличением на- пряжения питания). В схемах л, м ис- пользуются еще более мощные форми- рователи-40107, содержащий мощный «-канальный МОП-транзистор на выходе с открытым стоком (отводящий ток сос- тавляет от 16 до 50 мА при напряжении питания от 5 до 15 В, соответственно), и DS3632 с мощным «р«-формирователем по схеме Дарлингтона, рассчитанным на ток 300 мА Можно, разумеется, всегда использовать и дискретные внешние тран- зисторы, как в схемах ж, и. но их применение ограничено базовым током менее миллиампера Дискретный «-ка- нальный МОП-транзистор в схеме д осо- бенно хорошо работает со «слабенькими» КМОП-элементами. Для управления удаленной нагрузкой или нагрузкой с независимой системой заземления лучше всего использовать оптрон. Этот прибор содержит светодиод (на стороне формирователя), который освещает фотоприемник (на стороне на- грузки). Оптроны выпускаются на раз- личные скорости с различными конфигу- рациями входов/выходов (логический вход или просто светодиод; логический выход, выход с насыщенным транзисто- ром (или схема Дарлингтона), выход с МОП-транзистором или выход с ти- ристором или симистором; см. рис. 9.26). Типичным примером является распрост- раненный элемент 4N36, показанный на рис. 9.15, н: этот элемент содержит прос- той светодиод на входе, «р«-транзистор на выходе и может работать при напря- жении 2500 В с временем переключения 4 мкс. Минимальный коэффициент пере- дачи по току составляет 1,0, поэтому ос- тается только пропустить через светодиод ток, равный максимальному выходному току. Существует ряд оптронов, которые используют логические уровни на вхо и на выходе Примером может служить оптрон 740L6000 фирмы General Instru- ment; уровни на входе и выходе соот- ветствуют уровням LS, время распрост- ранения составляет 60 нс (15 МГц) На пряжение изоляции - 2500 В. В больших количествах его можно приобрести за 3 долл. Наиболее простым способом управле- ния нагрузкой переменного тока является способ, основанный, как показано на схеме о, на применении твердотельного реле. Реле этого типа представляет собой симистор с оптической связью с логи- ческим входом и нагрузочной способ- ностью по току от 1 до 40 А при ком- мутации нагрузки с переменным напря- жением 115 В. Слаботочные реле в боль- шом разнообразии выпускаются в кор- пусах типа DIP (например, серия «интег- ральных ключей» фирмы International Rectifier), в то время как более мощные реле выпускаются в виде прямоугольных блоков со сторонами, равными примерно 2 дюймам, предназначенных для установ- ки на шасси. С другой стороны, нагрузки переменного тока можно коммутировать с помощью обычного реле, управляемого логическим элементом. При этом, однако, обязательно изучите технические данные, поскольку большинство реле, управляе- мых логикой, не способны коммутиро- вать большие нагрузки переменного тока и вам понадобится логическое реле для того, чтобы управлять вторым более мощным реле. Почти во всех реле ис- пользуется коммутация по типу «пере- хода через нуль» (или «нулевого напря- жения»), которая в действительности яв- ляется комбинацией включения по нуле- вому напряжению и выключения по ну левому току; это весьма полезная осо- бенность, она предотвращает попадание выбросов и помех в шину питания. Мног «мусора» на силовую шину переменно тока попадает от симисторных контр леров, в которых коммутация осушеств ляется не в моменты перехода через . таковы, например, регуляторы света фазовым управлением для осветитель
Сопряжение цифровых и аналоговых сигналов 615 ламп, термостатов и двигателей. В ка- честве альтернативы оптической связи, использованной в схеме о, иногда можно ! встретить импульсный трансформатор для подвода импульсов запуска к симис- тору или тиристору. Для управления 7-сегментными цифро- выми индикаторами проще всего исполь- зовать элементы, объединяющие дешиф- ратор и формирователи. Разнообразие их поразительно,-с формирователями для СИД и для жидкокристаллических инди- каторов, с возможностями отвода и от- дачи тока и т.п. Типичными примерами являются элементы «регистр/дешифра- тор/формирователи» типа 74НС4511 (СИД с общим катодом) и 74НС4543 для жидкокристаллических индикаторов. Бо- лее подробно об этом будет изложено в разделе по оптоэлектронике (разд. 9.10). 9.09. Сопряжение д-МОП БИС Большинство схем большой и очень боль- шой степени интеграции (БИС, СБИС) изготавливаются сейчас с использованием КМОП-технологии; они обладают такой же привлекательной способностью к соп- ряжению, как 5-вольтовые логические КМОП-вентили, и многими другими воз- можностями кристаллов средней степени интеграции (СИС), рассмотренными вы- ше Однако долгое время кристаллы БИС и СБИС изготавливались только на «-ка- нальных МОП-транзисторах в режиме обогащения для того, чтобы упростить технологический процесс и получить бо- лее высокую плотность. Такая д-МОП- логика получила широкое распростране- ние поэтому важно знать, каким образом Можн осуществить сопряжение д-МОП- логшш и КМОП ТТЛ и как обеспечить связь входов/выходов п-МОП-логики с внешними дискретными схемами. Боль- РИнство кристаллов д-МОП БИС совмес- тимы с ТТЛ. тем не менее здесь есть 1’веско.тько тонких моментов, которые следует рассмотреть. Выходы д-МОП-элементов. На рис 9 16 Показана входная цепь интегральной схе- На д-канальных МОП-транзисторах. предназначенная для работы с ТТЛ. Рис. 9.16. Входная схема п-МОП-логики в режиме обогащения. Ti - инвертор, а Т2- истоковый повтори- тель с малыми геометрическими разме- рами, задающий необходимый ток от шины питания (резистор занял бы слиш- ком много места, поэтому в качестве стоковой нагрузки всегда используется МОП-транзистор); часто используется и другой символ для изображения Т2. В современных схемах кремниевых вен- тилей пороговое напряжение входного транзистора находится в диапазоне от 1 до 1,5 В, поэтому вход можно непо- средственно подключать к ТТЛ или КМОП-логике. В некоторых старых схе- мах порог может оказаться в диапазоне от 2 до 3 В, в этих случаях для управления от ТТЛ лучше использовать резистор 1-10 КОм, подключенный к шине пита- ния; для КМОП обычно этого не тре- буется. Выходы д-МОП-элементов. Выходная ступень 5-вольтовой д-МОП-логики пока- зана на рис. 9.17. Т\ представляет собой ключ, а Т2-истоковый повторитель. Для того чтобы установить на выходе нижний уровень на затвор транзистора Тг подает- ся напряжение -г 5 В. напряжение на вы- ходе при этом будет ниже 0.5 В даже при Рис 9.17. Выходная схема п-МОП-логики
616 Глава 9 Рис. 9.18. Типовые выходные характеристики по току и-МОП-элементов. 7-ток отдачи; 2-ток отвода; 3-точка запуска схемы Дарлингтона. отводе тока в несколько миллиампер. Ситуация в состоянии высокого выход- ного уровня несколько ухудшается: при минимальном высоком выходном ТТЛ- уровне + 2,4 В напряжение затвор-исток составляет всего 2,6 В, что приводит к сравнительно высокому значению со- противления /?вкл; для более высоких выходных напряжений ситуация быстро ухудшается. Кривые на рис. 9.18 иллюстрируют это положение. В результате нагрузочная способность Я-M ОН-выхода составляет всего 0,2 мА (отдача тока) при напря- жении на выходе +2,4 В. Это вполне допустимо для управления ТТЛ-входами, но выходит за пределы допустимого для 5-вольтовой КМОП-логики (используйте резистор, подключенный к шине питания, или вставьте вентиль НСТ или ACT); подобная неприятная ситуация изображе- на на рис. 9.19. Для работы СИД с уровнями токов мультиплексируемого устройства отобра- Рис. 9.20. Управление нагрузками с выходов и-МОП-элементов жения (25 — 50 мА во включенном состоя- нии) выход л-МОП-элемента должен от- давать ток около 1 мА при -4.1 В. Но это невозможно, поскольку напряжение Сзи должно при этом быть всего 0,9 , а может быть, даже ниже порогового напряжения полевого транзистора. ВспоМ ните еще, что все схемы 5-вольтовои логики должны функционировать пр отклонении напряжения питания ± т.е. при напряжении +4.5 В. Для УПР_ лепил светодиодами (или другими поточными приборами) от л-МОН- ментов желательно использовать сх показанные на рис. 9.20.
Сопряжение цифровых и аналоговых сигналов 617 В первой схеме низкий выход л-МОП- эдемента отбирает ток 2 мА, переводя ^-транзистор в состояние полной про- водимости На второй схеме ири-транзис- тОр схемы Дарлингтона переключается в открытое состояние малым выходным током и-МОП-элемента, находящегося в состоянии высокого уровня В этой схеме ВЫСОКИЙ выход фиксируется на уровне падения напряжения на двух дио- дах выше земли, что может показаться не совсем «дружелюбным» обстоятельством, но оказывается, что выходы и-МОП-эле- ментов проектируются с таким расчетом, чтобы их можно было таким образом закорачивать на землю, причем доста- точно малые выходные токи получают возможность управлять базой транзисто- ра с заземленным эмиттером в схеме Дарлингтона без нарушения работоспо- собности. Типовой и-МОП-выход может отдавать 2 МА при +1,5 В в базу схемы Дарлингтона, при этом способность вы- хода отводить ток для таких схем, как «сшестеренная> матрица Дарлингтона, составит 250 мА при 1 В В серию ULN фирмы Sprague входят несколько сшесте- ренных и октальных матриц Дарлингтона в корпусах типа DIP. 9.10 Оптоэлектроника В двух предыдущих главах мы исполь- зовали светодиоды и цифровые индика- торные приборы на светодиодах в раз- личных примерах схем по мере необхо- димости. Светодиоды относятся к обшир- ной области оптоэлектроники, которая включает в себя и устройства отображе- ния на основе других технологий, а имен- но. жидких кристаллов, люминесцентных игазоразрядных приборов. Эта область включает также оптические электронные Устройства, которые используются не только как индикаторы и дисплеи; к ним Относятся оптроны, твердотельные реле. рДтчиги положения («прерыватели») ^йодные лазеры, матричные детекторы «приборы с зарядовой связью». ПЗС), вДектронно-оптические преобразователи й большое разнообразие компонентов, ^Пользуемых в волоконной оптике. Хотя мы будем и дальше использовать в качестве примеров различные «волшеб- ные» приборы по мере их необходимости нам представляется уместным обратиться к области оптоэлектроники, поскольку с ней связаны некоторые обсуждаемые здесь проблемы сопряжения логики. Индикаторы Электронные приборы выглядят более привлекательно и проще в применении, если на них есть разно- цветные лампочки. В этой области све- тодиоды полностью вытеснили все пре- дыдущие технологии. Вы можете приоб- рести красные, желтые и зеленые инди- каторы, причем в различных корпусах, наиболее удобными из которых являются лампы для монтажа на панели и различ- ные типы индикаторов для монтажа на печатной плате. Каталоги представляют поразительное их разнообразие по раз- мерам, цвету, светоотдачи и углу излу- чения Последняя характеристика требует некоторого пояснения: в так называемые «заливные» светодиоды вводится спе- циальное рассеивающее вещество, поэ- тому их свечение в широком диапазоне угла зрения одинаково, во многих случаях это хорошо, но за это вы расплачиваетесь яркостью. С электрической точки зрения свето- диод представляет собой обычный диод с прямым падением напряжения около 2 В (при изготовлении светодиодов ис- пользуют фосфид арсенида галлия, об- ладающий более широкой запрещенной зоной и, следовательно, большим паде- нием напряжения в прямом направлении, чем кремний). Типичные «заливные» све- тодиоды панельного типа дают хорошее свечение при прямом токе 10 мА; в уг- лубленной части прибора можно обой- тись обычно 2-5 мА, особенно если ис- пользуются светодиоды с малым утлом излучения На рис. 9.21 показаны способы управ- ляния индикаторами на светодиодах. Большинство схем очевидно, однако за- метьте, что, поскольку биполярные ТТЛ- элементы имеют небольшой ток отдачи схему приходится строить так, чтобы низкий логический уровень включал све- тодиод; для сравнения отметим, что
618 Глава 9 ACCT) ls,as,als,f (с активной нагрузкой или с откр.коллектором) Рис. 9.21. Управление светодиодными индикаторами. КМОП-семейства симметричны относи- тельно нагрузочной способности по току. и-МОП-схемы, как и биполярные ТТЛ- схемы, обладают слабой отдачей тока, к тому же их способность к отводу тока весьма ограничена, поэтому следует ис- пользовать буфер (например, вентиль НСТ) или дискретный полевой транзис- тор. Учтите также, что некоторые инди- каторы на светодиодах выпускаются с внутренними токоограничивающими ре- зисторами (или даже с внутренней схемой фиксации тока); в этих случаях внешний резистор можно не ставить. Можно использовать небольшие мат- рицы индикаторов, наборы из 2, 4 или 10 светодиодов в ряд. предназначенные для монтажа на печатной плате. Последние используются чаще всего для вывода данных в виде линейных гистограмм. Они выпускаются как для вертикального мон- тажа. так и для монтажа под прямым углом. Можно также использовать инди* кагоры для монтажа на панели, в которых объединены красные и зеленые светодио- ды в одном корпусе. Панель при этом становится выразительнее,-плохие и хо рошие условия отображаются разны
Сопряжение цифровых и аналоговых сигналов 619 цветами. Мы используем индикаторы на светодиодах, выпускаемые такими фир- мами, как Dailight, General Instrument, HP, Panasonic, Siemens и Stanley. Последняя специализируется на лампах необычайно высокой эффективности; вы можете уз- Внать эти приборы на выставках по элект- роники по изумленным взглядам посети- телей. Дисплеи. Дисплеем называют оптоэлек- тронный прибор, который может отобра- зить цифру (цифровой дисплей), 16-рич- ную цифру, т.е. 0-9 и А-F (16-ричный дисплей) или любую букву или цифру (буквенно-цифровой дисплей). В настоя- щее время доминирующими технология- ми производства дисплеев являются све- тодиоды и жидкие кристаллы. Жидко- кристаллические дисплеи (ЖКД)-это но- вейшая технология, которая обладает существенными преимуществами для ба- тарейного оборудования, поскольку име- ет очень низкую мощность рассеивания, для оборудования, находящегося на от- крытом воздухе или в условиях высокой внешней освещенности, для создания дис- плеев с заказными формами и символами и дисплеев с большим числом цифр и букв С другой стороны, светодиоды несколько проще в применении, особенно, если вам нужно всего несколько цифр или букв. Кроме того, они выпускаются трех цветов и хорошо выглядят в условиях пониженной освешенности. где их пока- зания легче считываются, чем показания жкд. I В области дисплеев на большое число символов скажем, на одну или две строки текста, с ЖКД конкурируют газоразряд- Hbie (плазменные) дисплейные панели, особенно в том случае, когда требуется ясность и контрастность. Вместе с тем ► плазменные дисплеи потребляют боль- шую мощи с поэтому для батарейного • оборудования лучше использовать ЖКД. I Дисплеи на светодиодах. На рис. 9.22 показаны разновидности дисплеев на све- •тодиодах. Простейшим является 7-сег- I Ментнь дисплей; он может отображать Цифры 0-9 и шесть букв расширения |(a-f) хотя последние отображаются нескольк » неуклюже (AbcdEF). Вы можете 7-сегментный Рис. 9.22. 31 д2 dy d7 16-сегментный о о о о о о о о о о о о о о о о о о о о о о о о о о о о о о о о о о о Точен н. матрица 5x7 приобрести односимвольные 7-сегмент- ные дисплеи самых разных размеров и дисплеи в виде «палочек» по 2, 3, 4 или 8 символов (обычно они предназначены для мультиплексирования-символы ото- бражаются по одному быстро следуя друг за другом). Односимвольные дисплеи имеют выводы для 7 сегментов и общего электрода; таким образом, возможны две разновидности дисплеев-с общим като- дом и с общим анодом. В дисплеях на несколько символов выводится общий электрод каждого символа, но соответст- вующие сегменты объединяются; это, как раз то, что нужно для мультиплексиро- вания. 16-сегментные дисплеи и матричные дисплеи на 5 х 7 точек выпускаются в двух вариантах: «тупые» дисплеи, в ко- торых выведены сегменты и общий элект- род (также как и в 7-сегментных дисплеях) и «умные» дисплеи, которые принимают на себя всю тяжелую работу по дешиф- рации и формированию. Не будем больше заниматься обобще- ниями. рассмотрим лучше несколько примеров (рис. 9.23). На первой схеме показан способ управления дисплеем на одном 7-сегментном светодиодном инли- каторе с общим катодом. Элемент 'НС4511-ЭТО элемент «регистр/дешифра- тор/формирователь с преобразованием двоично-десятичного кода в 7-сегмент- ный»; он способен отдавать ток около 15 мА при активном выходе 4-4,5 В. Последовательные резисторы гарантиру- ют, что ток сегментов будет ограничен указанной величиной при прямом паде- нии напряжения на диодах 2 В. Можно использовать матрицу из одинаковых резисторов в удобном корпусе с одно- рядным расположением выводов.
620 Глава 9 Регистр/ дешифр./ формир.- Дв.-десят. г---d входной . --- с символ | в DL-340M (4-знак, мультипл. ИНДиКят с общим катодом)^ пат- и и Г~1 п и и О п Рис. 9.23. Управление дисплеем на 7-сегментном светодиодном индикаторе. «- одноцифровой; б- муль-31 плексированный. Если вы используете принцип мульти- плексирования, т.е. высвечиваете только одну цифру за одно обращение, вам понадобится всего один кристалл дешиф- ратора/формирователя, даже при отобра- жении нескольких цифр. На рис. 9.23.6 показан принцип мультиплексирования, используется БИС 4-разрядного (десятич- ных разрядов) счетчика с встроенными 7-сегментными мультиплексируемыми формирователями Элемент 74С925 пре- доставляет свои сегментные формирова- тели (активный высокий уровень с боль- шой нагрузочной способностью) по оче- реди в распоряжение каждой цифре, од- новременно устанавливая активный вы- сокий уровень на соответствующем циф- ровом выходе А-D. Остальная часть схемы не требует пояснений, за исклю- чением. быть может, той неприятности, что цифровые выходы прижимаются к уровню выше земли, соответствующему падению напряжения на диоде. К счас- тью, 74С925 допускает подобное вклю- чение. поскольку цифровые выходы име- ют буферную и токоограничивающую цепь. На рис. 9.24, а показано, как управлять одним 16-ричным дисплеем, выполнен- ным в виде точечной матрицы 5x7. Элемент HP 5082-7340 является примером «умного» дисплея с встроенными регист- ром. дешифратором и формирователем. Все, что вам надо сделать, - это выставить 4-разрядные данные, подождать не менее 50 нс и затем активизировать регистр высоким уровнем. На рис 9.24,6 показан один из «интеллектуальных» (умнее «умного»?) дисплеев фирмы Siemens-4- символьный набор на 16-сегментных дисплеях. Этот дисплей предназначен для того, чтобы работать с микропроцессо- 16-ричный БХ СИМВОЛ ( Фиксация Siemens DL-3416 i\i/i ix’/i i/i\i Рис 9.24 Интегральные дисплеи «-односимвольный, точечная матрица; б-4-симв'хчьный. Ю-сетментн адресуемый.
Сопряжение цифровых и аналоговых сигналов 621 Рис. 9.25. Коды 16-сегментного дисплея DL-3416 фирмы Siemens. (С разрешения фирмы Siemens Components, Inc.) ром по типу памяти; мы еще вернемся к этому в следующих двух главах. Короче говоря, вы выставляете любой 7-разряд- ный символ и его позицию (2-разрядный адрес), затем подаете WR' (запись) на время, гарантирующее активизацию крис- талла. Данные запоминаются внутри эле- мента, затем осуществляется соответст- вующее изменение позиции для отобра- жения очередного символа. На рис. 9.25 показан набор отображаемых символов Если вы хотите использовать «тупой» дисплей (возможно, то, что вам надо, недоступно интеллектуальному дисплею), но вы уже избалованы простотой интел- лектуальных дисплеев, можно просто применить кристалл типа 8-разрядного элемента ICM7218/28 фирмы Intersil, ко- торый выглядит со стороны микропро- цессора как память и который управляет «тупым> светодиодным дисплейным на- бором от соответствующих сегментных и цифровых формирователей. Другой спо- соб состоит в том, чтобы дать возмож- ность микропроцессору делать всю «ум- чо» работу, используя разряды своих "«параллельных портов» для управления соответствующими линиями. Это станет Для вас более понятным, после того как вы усвоите две главы о микропроцессорах (гл. 1, 2). Жидкокристаллические и газоразрядные Дисплеи. Многое из того, что мы уже рассказали о дисплеях на светодиодах применимо и к ЖКД. Однако существует ^Несколько важных отличий. Вот одно из них: для управления ЖКД необходимо использовать переменное напряжение, иначе их жидкие нити разрушаются. Поэтому формирователи ЖКД обычно генерируют прямоугольные сигналы, син- хронизированные с сигналом подложки ЖКД. Примером может служить ’НС4543, жидкокристаллический родственник све- тодиодного элемента ’НС4511 типа «ре- гистр/дешифратор/формирователь». Другое отличие состоит в том, что вам не часто приходится видеть односимволь- ные дисплеи на жидких кристаллах. Они выпускаются в виде больших панелей, которые отображают одну или две строки текста. К счастью, производители доста- точно ясно представляют себе, как можно получить довольно сложные вещи, поэ- тому они поставляют совершенные дисп- леи, которые более, чем интеллектуаль- ны-просто на уровне гения. В общем вы обращаетесь к этим дисплеям через мик- ропроцессор и они превращаются в своего рода блок памяти (как и в случае дисплея на рис. 9.24). Отображаться будет все, что бы вы ни записали. Некоторые еше более фантастические дисплеи пошли даже даль- ше, они способны хранить несколько со- общений и осуществлять связь через пос- ледовательные порты. Загляните в ЕММ, чтобы узнать производителей (см. биб- лиографию). Газоразрядные дисплеи выделяются своими красивыми красно-оранжевыми символами; вы можете их увидеть на некоторых дорогостоящих портативных компьютерах. Для работы газоразрядных дисплеев необходимы высоковольтные
622 Глава 9 формирователи и производители обычно предусматривают средства формирова- ния. Вы можете приобрести одно- и многоцифровые дисплеи, а также боль- шие многосимвольные панели с памятью и удобным интерфейсом. Примером пос- леднего может служить многостроковый дисплей фирмы Cherry, снабженный па- мятью с аварийнь м батарейным пита- нием. которая может хранить 512 сооб- щений, осуществлять расслоение данных, поступающих в реальном масштабе вре- мени, и позволяет производить редакти- рование содержимого. Возможно, вы на- зываете такие устройства не дисплеями, а компьютерами, которым положено иметь дисплей! Оптроны и реле. Излучатель на свето- диоде, размещенный в непосредственной близости от фотодетектора, образует очень полезный предмет, известный как оптопара или оптрон. В двух словах, оптроны позволяют обеспечить обмен цифровыми сигналами (а иногда и ана- логовыми) между схемами с раздельной землей. Такая «гальваническая развязка» является хорошим способом избежать земляных контуров в оборудовании, ко- торое управляет удаленной нагрузкой. Это особенно важно в схемах, которые взаимодействуют с силовыми фидерами переменного тока Например, вам пона- добилось включать и выключать нагре- ватель по цифровому сигналу, выраба- тываемому микропроцессором; в этом случае вы, наверное, будете использовать «твердотельное» реле, состоящее из све- тодиода, подключенного к сильноточно- му симистору. Некоторые импульсные источники питания, управляемые пере- менным током, (например, источник пи- тания, используемый в IBM PC-АТ), ис- пользуют в изолированном контуре об- ратной связи оптрон (см. разд. 6.19). Точно также проектировщики высоко- вольтных источников питания использу- ют иногда оптроны для того, чтобы передать сигнал в схему с высоким напря- жением Вы можете воспользоваться достоинст- вами оптронов даже в менее экзотических ситуациях. Например, оптический поле- вой транзистор позволит вам переклю чить аналоговый сигнал без всякой инъек' пии заряда; то же самое справедливо дЛя схем квантования с запоминанием и ин- теграторов. Использование оптронов поз- волит избежать треволнений при управ- лении контурами с индустриальными то- ками приводами молотов и т. п Наконе гальваническая развязка оптронами при- годится в прецизионных и низкоуровне- вых схемах. Трудно, например, восполь- зоваться всеми достоинствами 16-разряд- ного АЦП, поскольку цифровые выход- ные сигналы (и помехи на цифровой земле, к которой вы подключаете выход преобразователя) возвращаются на «пе- редний край» аналоговой части. Вы мо- жете освободить себя от всех забот, связанных с помехами, применив опти- ческую развязку в цифровой части Оптроны обычно обеспечивают изоля- цию в 2500 В (среднеквадратичное), соп- ротивление изоляции 101; Ом и емкост- ную связь между входом и выходом менее пикофарады. Прежде чем обратиться к реальным оптронам, бросим беглый взгляд на фо- тодиоды и фототранзисторы. Видимый свет вызывает ионизацию в кремнии и образование пар зарядов в открытой базовой области; эффект от этого точно такой же, как от внешнего базового тока. Существуют два способа использования фототранзистора: 1. В качестве фотодио- да. подключенного только к базовому и коллекторному выводам: в этом случае фототок будет составлять несколько про- центов от тока светодиода. Фотодиод генерирует фототок независимо от того, прикладываете вы напряжение смешения или нет; следовательно, вы можете под- ключать его прямо к суммирующему переходу операционного усилителя вир- туальная закоротка) или обеспечить об- ратное смещение (рис. 9.26 а. б). 2. Если вы используете ток фотодиода как ба- зовый ток. то получите обычное усиление тока с результирующим током 1кэ’ кО” торый, как правило в 100 раз больше базового; в этом случае, необходимо сместить транзистор, как показано на рис- 9.26, в. За увеличенный ток приходится
Сопряжение цифровых и аналоговых сигналов 623 Коэфф передачи тока Элем мин выстрад. нагр МСТ2(Г0ЛЫ<оСВ) 0,4% ЗОмкс 2 кОм МСТ2 20% ЗОмкс 2 кОм 4N35 40% 5мкс ЮООм МСТ2201 ЮО% бмкс ЮООм ВЫХОД Вход GI 7401.6000 (акт нагр.) ТТЛ LS 15 Мв/с GI 740L60100/CU5B) ТТЛ LS 15 Мб/с ^ис 9.26. Оптроны. 024'0 ЗВ (15к0м) 10А, 80В сркв 02475 ЗВ (1,5кОм) 75А, 280 В ср КВ
624 Глава 9 платить более медленным откликом, что обусловлено открытой базовой цепью. Для повышения быстродействия можно добавить резистор с базы на эмиттер; однако это дает пороговый эффект, по- скольку фототранзистор не переходит в состояние проводимости до тех пор, пока ток фотодиода не достигнет вели- чины, достаточной для получения напря- жения СБ) на внешнем базовом резисторе. В цифровых схемах порог может оказать- ся полезным, но в аналоговых приводит к нежелательной нелинейности. На рис. 9.26,г-у показаны типичные примеры применения различных оптро- нов, с которыми вам возможно, дово- дилось встречаться. Самые первые (и самые простейшие) представлены элемен- том 4N35, пара светодиод-фототранзис- тор с коэффициентом передачи по току 40% (мин) и большим временем выклю- чения 5 мкс при нагрузке 100 Ом. На рисунке показан способ его использова- ния вентиль и резистор образуют фор- мирователь с ограничением по току 8 мА, а относительно большой коллекторный резистор гарантирует переключение вы- хода в пределах логических уровней с насыщением. Заметьте, что применен ин- вертор с триггером Шмитта; здесь это хорошая мысль в связи с большим вре- менем переключения. Вы можете приоб- рести пары светодиод-фототранзистор с коэффициентом передачи по току по- рядка 100% и выше (например, МСТ2201 с коэффициентом 100% (мин)), а также пары светодиод - фототранзисторы Дар- лингтона; они даже медленнее фототран- зисторов! Для повышения быстродейст- вия производители иногда разделяют фотодиод и транзистор, как в элементах 6N136 и 6N139. оптотранзистор и опто- схема Дарлингтона. Эти оптроны, конечно, хороши, но иногда раздражают необходимостью ис- пользовать дискре ные компоненты и на входе и на выходе. Более того, вход нагружает обычные логические вентили до их максимальной нагрузочной способ- ности а выход с пассивной нагрузкой «страдает» медленным переключением и слабой помехоустойчивостью. Для того чтобы избавиться от этих недостатке кремниевые кудесники предлагают нам «логические» оптроны. Элемент 6N137 на рис. 9.26, и занимает промежуточное по- ложение-диодный вход и логический выход; здесь все еще нужен большой входной ток (по техническим данным 6 3 мА мин. для того, чтобы гарантиро- вать переключение выхода), но вы по- лучаете чистый логический перепад (хотя и с открытым коллектором) и скорость 10 Мбит/с. Заметьте, что на внутренние выходные схемы необходимо подавать питание +5 В. Более новые элементы серии 740L6000 фирмы General Instrument (рис 9 26, к) предлагают то. что вам дей- ствительно требуется: входы и выходы с логическими уровнями, каскадный вы- ход или открытый коллектор по выбор и скорость 15 Мбит/с. Поскольку на входе и на выходе имеются логические схемы, обе стороны кристалла требуют подачи напряжения для питания логики. На рис. 9.26 показаны еще несколько вариантов схем в продолжение темы светодиод - фототранзистор Элемент IL252 содержит пару встречно-включен- ных светодиодов, поэтому им можно управлять переменным током Для по- лучения защищенности по напряжению 10 кВ (ср. квадр.) в IL11 используется длинный изоляционный зазор (и соответ- ствующий корпус): для остальных оптро- нов эта величина составляет 2,5 кВ. Эле- мент Н11С4-ЭТО оптотиристор, удобный для переключения высоких напряжений и больших токов. В МСР3023 однона- правленный тиристор заменен на симис- тор т.е. на двунаправленный тиристор; с его помошью можно непосредственно управлять нагрузкой переменного тока (рис. 9.15.0). При управлении нагрузками переменного тока включение нагрузки лучше всего производить в момент пе- ресечения волной переменного тока нуля для избежания попадания выбросов в силовые линии. Это легко осуществить с помошью оптосимисторов. содержаШИХ схему «переключения по нулевому напря жению» (которая блокирует запуск симис тора до следующего пересечения нуля), как раз такую схему использует неболь
Сопряжение цифровых и аналоювых сигналов 625 |щой элемент МСР3043. как и приведенные на рисунке «твердотельные реле» на более сильные токи. Элемент DP6110 фирмы 1R выпускается в 16-выводном корпусе типа р!Р, а мощные элементы D2410 и D2475 располагаются в мощных модулях с раз- мерами 1,75 х 25 х I дюйма, предназна- ченных для монтажа с отводом тепла. Остальные оптроны, представленные на |рис. 9 26, можно использовать для линей- ных сигналов. Полевые оптотранзисторы серии H11F можно использовать как изолированный переменный резистор или как изолированный аналоговый ключ. Здесь нет проблем, связанных с совмес- тимостью уровней напряжения тиристор- ным защелкиванием или внесением заря- дов Вы можете использовать один из таких элементов в квантователях с за- поминанием и интеграторах. Похожими приборами являются элементы «BOSFET» серии PVR, но они содержат в качестве выходного элемента пару соединенных последовательно мощных полевых МОП- транзистора. Такие элементы предназна- чены прежде всего для непосредственного переключения нагрузок переменного тока по принципу оптосимисторов. Элемент HllVl-это линейный видеоизолятор с полосой частот 10 МГц, а элемент ISO- 100 фирмы Burr-Brown - «умный» анало- говый изоляционный элемент, в котором светодиод имеет связь с двумя согласо- ванными фотодиодами; один из них ис- пользуется в цепи обратной связи для линеаризации отклика второго фотодио- да | Прерыватели. Пару «светодиод - фото- транзистор» можно использовать в ка- честве датчика близости или движения «Оптический прерыватель» состоит из светодиода, связанного по щели в Ь'8 дюйма с фототранзистором Он может обнаруживать присутствие светонепрони- цаемой полоски или вращения щелевого Диска. Другой вариант-светодиод и фо- тодетектор. направленные в одну сторону такой элемент обнаруживает присутствие в непосредственной близости отражаю- (его объекта. Взгляните на рис. 9.27. этические прерыватели используются II Дисководах и принтерах для обнару- Рис. 9.27 а - оптический прерыватель; б датчик отражающего объекта. жения края подвижного узла. Можно приобрести «кодер вращения», который генерирует квадратурную импульсную последовательность (два выхода с фазо- вым сдвигом 90 ) при вращении вала. Он прекрасно заменяет резистивные панель- ные органы управления (потенциометры). Смотри разд. 11.09. При разработке лю- бых практических схем, в которых вы собираетесь использовать оптические пре- рыватели или датчики с отражением, об- ратите внимание на датчики на эффекте Холла как на альтернативный вариант; это твердотельные датчики на магнитном поле, предназначенные для определения степени близости объекта. Обычно дат- чики такого типа используются в авто- мооильных системах зажигания вместо наконечников механических прерывате- лей. Излучатели и детекторы. Мы уже упо- минали светодиоды в связи с дисплеями
626 Глава 9 и оптронами. Последнее достижение в области оптоэлектроники - это доступные недорогие твердотельные диодные лазе- ры, - источники когерентною света в от- личии от диффузионных светодиодов. Один из них вы можете увидеть, если откроете верхнюю крышку портативного проигрывателя компакт-дисков. Диодные лазеры стоят около 20 долл, и продаются фирмами, производящими бытовую элек- тронную аппаратуру (Matsushita, Mitsu- bishi, Sharp и Sony). Типичный диодный лазер генерирует 10 мВт световой мощ- ности на 800 нм (невидимый в ближней инфракрасной области спектра) при токе 80 мА и прямом падении напряжения на диоде 2 В. Выходной поток излучается непосредственно из крошечного отверстия на кристалле с углом расхождения 10°-20°; его можно коллимировать с помощью линзы и получить параллель- ный пучок или очень маленькое фокусное пятно. Светодиодные лазеры широко ис- пользуются в оптоволоконной связи. Еше одной технологией производства излучателей является линейная светоди- одная матрица высокой плотности: 300 излучателей на дюйм и даже больше; такие матрицы используются в свето- диодных принтерах. При успешном раз- витии полупроводниковой технологии такие принтеры заменят лазерные, по- скольку они проше. надежнее и обладаю крайне высокой разрешающей способ- ностью. В области детекторов существуют не- сколько альтернатив простым фотодио- дам и фототранзисторам, которые мы обсуждали выше, особенно когда требует- ся скорость или чувствительность. В разд. 15.02 мы рассмотрим PIN-диоды, прибо- ры с зарядовой связью и усилители. ЦИФРОВЫЕ СИГНАЛЫ И ДЛИННЫЕ ЛИНИИ При передаче цифровых сигналов по кабелям или между отдельными прибо- рами возникают специфические пробле- мы. Важную роль начинают играть такие эффекты, как емкостная нагрузка на быст- рые сигналы, синфазные перекрестные помехи, а также эффекты «длинных Ли ний» (отражение от несогласованной на' грузки, см. разд. 13.09). Чтобы обеспечить надежную передачу, в большинстве слу- чаев необходимо использовать специаль ные средства и соответствующие интер- фейсные ИС. Некоторые из этих проблем могут возникнуть даже на отдельной пе- чатной плате, поэтому необходимо кое- что знать о способах передачи цифровых сигналов. Начнем с проблем передачи в пределах одной платы. Затем рассмотрим проблемы, возникающие при передаче сигналов между платами, по шинам дан- ных. и наконец, при передаче сигналов между приборами по скрученным парам и коаксиальным кабелям. 9.11. Внутриплатные соединения Ток переходного процесса выходного кас- када. Двухтактная выходная схема в ТТЛ и КМОП ИС состоит из пары транзис- торов. включенных между U + и землей. Когда состояние на выходе изменяется, существует короткий интервал времени, в котором оба транзистора находятся в открытом состоянии; на этом интервале от U + к земле проходит импульс тока, создавая короткий отрицательный выброс на шине и короткий положительный выброс на земляной шине. Эта ситуация показана на рис. 9.28. Предположим, что ИСг меняет свое состояние; в этом случае от шины +5 В к земле протекает боль- шой кратковременный ток по указанным путям (для схем 74Fxa или 74АС(Т)хх ток может достигать 100 мА). Этот ток в комбинации с индуктивностью провод- ников земли и L приводит к появ ению, как показано на рисунке, коротких выо- росов напряжения относительно опорной точки. Несмотря на то. что выбросы могут иметь длительность всего 5 — 20 нс, они доставляют массу неприятностей. Предположим, например, что ИС2. «проС" тодушный свидетель», находящийся вбли- зи «кристалла-нарушителя», находится в состоянии низкого уровня и управляет схемой ИС3, расположенной несколько дальше. Положительный выброс на зем^ ляной шине ИС2 появляется и на
Сопряжение цифровых и аналоговых сигналов 627 Рис. 9.28. Помехи на шине земли. выходе и, если этот выброс достаточно велик ИС3 воспримет его как короткий выброс высокого уровня. Таким образом, на ИС3, расположенной на некотором расстоянии от «возмутителя спокойствия» ИС , появится полноценный логический импульс, готовый помешать работе «доб- ропорядочной» схемы. Много усилий не требуется для того, чтобы запустить или | сбросить триггер, и такие выбросы тока по земляной шине блестящее умеют де- лать подобную работу. Лучшей профилактикой против таких явлений является: а) использование боль- шого числа земляных шин по всей плате вплоть до применения «земляных поверх- ностей» (одна сторона двухсторонней печатной платы целиком отводится под I землю) и б) обильное использование конденсаторов развязки по всей схеме Чем мощнее шины земли, тем меньше выбросы, индуцированные током (меньше индуктивность и сопротивление). Роль конденсаторов развязки, включенных меж- Д> U + и землей и разбросанных по всей шпате, заключается в том. чтобы передать импульсы тока по кратчайшим путям с небольшой индуктивностью и сущее венно уменьшить выбросы по напряже- пиь (конденсатор работает как локаль- ный источник напряжения, поскольку на- пряжение на нем существенно не изме- няется во время коротких выбросов тока). Лучше всего установить возле каждой ИС конденсатор емкостью от 0,05 до 0.1 мкФ, х°тя может оказаться достаточным и °Дин конденсатор на две-три ИС. Кроме |Того, для запаса энергии полезно расста- вить по всей плате танталовые конденса- торы большой емкости (достаточно 20 мкФ, 20 В). Между прочим, конденса- торы развязки между шинами питания и землей рекомендуется ставить в любых схемах, будь то цифровые или линейные. Они помогают превратить шины питания в низкоимпедансные источники напряже- ния на высоких частотах и предотвра- щают сигнальную связь между схемами через источник питания. Шины питания без развязок могут привести к непреду- смотренному поведению схемы, колеба- ниям и вообще к головной боли. Выбросы, обусловленные емкостными нагрузками. Несмотря на развязки по пи- танию, ваши проблемы еще не закончи- лись. Взгляните на рис. 9.29. Цифровой выход обнаруживает паразитную емкость монтажа и входную емкость ИС, которой Рис. 9.29. Помехи на шине земли из-за емкостной нагрузки.
628 Глава 9 он управляет (обычно, 5-10 пФ) как часть общей нагрузки. Для того чтобы осущест- вить быстрый переход от состояния к со- стоянию, он должен отобрать от этой нагрузки или подать в нее большой ток в соответствии с I = C(dV/dt). Рассмот- рим, например, схему 74АСхх (5-вольто- вый выходной перепад за 3 нс), которая управляет общей емкостью нагрузки 25 пФ (это соответствует 3-4 логическим нагрузкам с короткими проводниками). Ток в момент логического перехода со- ставляет 40 мА, т. е. почти на максималь- ной нагрузочной способности выхода управляющей ИС! Этот ток возвращается через землю (при переходе от высокого к низкому) или через шину 4-5 В (при переходе от низкого к высокому), индуци- руя эти «шустрые» меленькие выбросы, о которых шла речь ранее (для того чтобы получить представление об их величине, примите к сведению тот факт, что индук- тивность монтажа составляет примерно 5нГн/см. На дюйме земляного провода, по которому протекает этот ток логиче- ского перехода, появится выброс U = = L(dl/dt) = 0,2 В). Если ИС окажется октальным буфером с одновременными переходами на полдюжине выходов, то выбросы по земле превысят 1 В; см. рис. 8.95. Похожие выбросы по земле (хотя и поменьше) появятся вблизи управляе- мой ИС, где выбросы тока возвращаются на землю через входную емкость управ- ляемого прибора. В синхронных системах с большим чис- лом элементов, одновременно меняющих состояние, ситуация с выбросами-помеха- ми становится настолько серьезной, что схема не в состоянии работать надежно. Особое значение это приобретает для больших печатных плат с длинными меж- соединениями и длинным земляным пу- тем. В такой схеме могут происходить сбои, когда целая группа линий данных меняет свое состояние от верхнего уровня к низкому, вызывая появление кратковре- менного очень большого тока по земле. Такая информационная зависимость явля- ется характерной особенностью сбоев, обусловленных помехами, и хорошим обоснованием для прогона расширенных тестов памяти в микропроцессорных сис темах (в которых обычно имеется 16 Ли ний данных и 24 адресных линии с самым разнообразным распределением инфоп мации). Наилучший подход к проектированию состоит в том, чтобы использовать мас- сивную разводку земли (для обеспечения низкой индуктивности), лучше всего в ви- де внутреннего слоя земли на многослой- ной плате (см. гл. 12) или по крайней мере перпендикулярных земляных проводни- ков с обоих сторон более простой двух- сторонней платы. Обильное использова- ние конденсаторов развязки обязательно Острота этих проблем не так велика для высоковольтных КМОП-элементов (бла- годаря медленным фронтам); с другой стороны, для логических семейств F. AS и АС (Т) эти проблемы достигают наивыс- шей остроты. Действительно, семейство АС (Т) настолько склонно к динамическим выбросам тока, что некоторые изготови- тели (начиная с TI) отказались от тради- ционного «углового» расположения выво- дов земли/питания в пользу «центрально- го» расположения с более низкой индук- тивностью выводов, они пошли еще даль- ше, использовав для снижения индуктив- ности земли четыре соседних вывода. Учитывая эти проблемы, лучше не приме- нять без нужды быстродействующее логи- ческое семейство; вот почему мы рекомен- довали использовать для общих целей логику НС, а не АС. 9.12. Межплатные соединения В случае логических сигналов, передавае- мых между платами, возможностей для появления помех становится все больше. Возрастает емкость проводников, пепь земли становится длиннее, поскольку те- перь она проходит по кабелям, разъем- ным соединителям, платным расширите- лям и т.п. Поэтому выбросы по земле, возникающие за счет токов во время логи- ческих переходов, как правило, больШ и вызывают больше беспокойств. ЛучШе всего стараться избегать передачи меЖДУ платами тактирующих сигналов с боль шим коэффициентом разветвления. еслИ
Сопряжение цифровых и аналоговых сигналов 629 это возможно; а провода заземления к I отдельным платам сделать достаточно мошными. Если тактирующие сигналы все же передаются между платами, то целесообразно использовать на каждой плате вентиль в качестве входного буфера В крайнем случае может понадобится ИС линейного формирователя и приемника, но об этом несколько позже. В любом случае критические схемы лучше распола- гать на одной плате: у вас появляется возможность контролировать индуктив- ность цепи земли и свести к минимуму емкость монтажа. Проблемы, с которыми вы столкнетесь при пересылке быстрых сигналов через несколько плат, трудно даже оценить; они могут обернуться на- стоящим бедствием для всего проекта. 9.13. Шины данных Когда большое число подсхем объеди- няются в шину данных (более подробно об этом см. гл. 10 и 11), упомянутые проблемы становятся еще более острыми. Более того, появляются новые моменты - I эффекты длинных линий обусловленные длиной и индуктивностью самих сигналь- ных линии Для самых быстрых ЭСЛ ИС (EcL III. ECL100K с фронтом менее 1 нс) эти эффекты становятся настолько важ- ными. что все сигнальные цепи длиной более 1 дюйма следует рассматривать как I линии передачи и соответствующим обра- зом их согласовывать. | Для шин данных любой существенной Длины (1 фут и более) наилучшим подхо- дом, по-видимому, является применение объединительной платы с «земляной плос- костью > . Объединительная плата (см. гл. 121 представ тяет собой простую печатную плату, содержащую ряд разъемных соеди- нителей под печатный монтаж для под- ключения отдельных схемных плат, со- ставляющих всю логическую схему Объ- РНинитетьные платы-это экономное ре- Пение проблемы объединения плат, а если °ни выполнены соответствующим обра зом. то и решение электрических проблем ^Проводники. расположенные вблизи зем- Вй, имеют меньшую индуктивность и ме- Нее склонны к образованию емкостной связи с близлежащими сигнальными ли- ниями, поэтому для создания простой объединительной платы все сигнальные линии следует расположить на одной сто- роне, а друтую сторону отвести под осно- вательную земляную плоскость (двухсто- ронние печатные платы имеют широкое распространение, но для сложных схем все чаще начинают применять многослой- ные печатные платы). Последнее замечание на эту тему. Ког- да эффекты длинной линии типа «звонов» и выбросов по земле сильно вас допекут, вы, возможно, в отчаянии прибегнете к распространенному приему: подключить конденсатор прямо к вентилю, управляе- мому длинной линией. Мы сами делали это неоднократно, тем не менее мы не рекомендуем прибегать к этому мало- изящному приему, поскольку он только усложняет проблему больших токов по земле во время логических переходов (см. разд. 9.11). Оконечная нагрузка шин. Сигнальные линии шин большой длины принято наг- ружать на самом дальнем конце резисто- рами. подключенными к питанию или к земле. Длинные пары проводов или коаксиальные кабели обладают «характе- ристическим импедансом» Zo (об этом пойдет речь в гл. 13). Сигнал, распростра- няющийся по кабелю, который нагружен этим импедансом (кстати, всегда рези- стивный), полностью поглощается без всяких отражений. Любая другая величи- на нагрузки, в том числе и холостой ход, вызывает отраженные волны, амплитуда и фаза которых зависит от рассогласова- ния импедансов. Ширина печатных про- водников и расстояние между ними тако- вы. что характеристический импеданс ли- ний связи на печатной плате составляет примерно 100 Ом, что почти соответству- ет характеристическому импедансу скру- ченной пары из обычного изолированного провода 24-го калибра или другого, близ- кого сечения. Распространенным способом заверше- ния ТТЛ-шины является подключение де- лителя напряжения между + 5 В и землей. Логический высокий уровень удерживает- ся при этом на уровне + 3 В, а это
630 Глава 9 Рис. 9.30. Нагрузка по переменной составляющей. означает, что при переключении нужен меньший перепад напряжения и поэтому через емкость нагрузки протекает мень- ший ток. Обычно выбирают комбинацию из резисторов 180 и 390 Ом, подключен- ных соответственно к +5 В и земле (рис. 9.32). Другой способ, хорошо работаю- щий и для ТТЛ и для КМОП, состоит в том, чтобы использовать нагрузку по переменному току, состоящую из после- довательной цепочки резистор - конденса- тор между линией данных и землей (рис. 9.30). Величина резистора обычно выби- рается близкой к характеристическому импедансу шины (типовое значение 100 Ом); величина емкости должна быть выбрана из расчета низкого емкостного сопротивления на частоте, равной обрат- ной величине времени подъема сигнала (в общем случае достаточно 100 пкФ) Шинные формирователи. Если линии шин имеют большую длину или большой коэффициент разветвления, то необходи- мо использовать специальные логические элементы с высокой нагрузочной способ- ностью по току. Ниже перечислены наи- более известные элементы этого типа. Тип '365-8 '1004 34 '11004 34 '240-4 ‘540-1 ’827-8 Описание шесть схем. 3 состояния шесть схем. 2 состояния шесть схем. 2 сост яния. односторонние восемь схем, 3 состояния восемь схем, 3 состояния, односторонние 10 разрядов. 3 состояния, односторонние Семейство LS AS. F ASlxx.x НС(Т) АС(Т) Am298.rx Ток отвода отдачи. мА 24/15 64/15 48 48 6/6 24 24 48'24 «Односторонние» означает, что вход ные и выходные выводы ИС расположены на противоположных сторонах корпуСа Существуют также ИС-приемо передат- чиков с соответствующей нагрузочной способностью; они могут использоваться как буферы данных в любом направлении благодаря применению на каждой линии данных параллельных пар буферов с 3-я состояниями, включенных навстречу друт другу; вход «направление» определяет, в какую сторону будут передаваться дан- ные. Другие типы приборов приведены в табл. 8 4 и табл. 8.5. 9.14. Кабельные связи Передачу цифровых сигналов от одного устройства к другому нельзя осуществ- лять с помощью простого одиночного проводника, такое соединение подверже- но влиянию взаимных помех. Цифровые сигналы обычно передаются по коаксиаль- ным кабелям, скрученным парам, пло- ским кабелям (иногда с земляной поверх- ностью или в экране), многожильным ка- белям и все чаше по оптоволоконным кабелям. Мы встретимся еще раз с коак- сиальными кабелями (нежно называемы- ми «коаксами») в гл. 13 в связи с радиоча- стотной техникой; здесь же мы намерены рассмотреть некоторые способы передачи цифровых сигналов между коробками с электроникой, поскольку эти способы со- ставляют важную часть цифрового сопря- жения. В большинстве случаев существу- ют специализированные ИС формирова- телей приемников, способные облегчить вашу работу. Стандарт RS-232. Для сравнительно мед- ленной передачи сигналов (несколько ты- сяч бит в секунду) по многожильным ка- белям обычно используют известный с нальный стандарт RS-232C (или более новый RS-232D). Стандартом определен биполярные уровни от ± 5 до ± 13 В 1 формирователей необходимы положите ное и отрицательное напряжения у но приемники обычно этого не тре ’ приемники допускают, как правило. Р ление гистерезисом и временем 1; под конкретную ситуацию с по применяя стандарт RS-232, вы мо
Сопряжение цифровых и аналоговых сигналов 631 fg Однопроводный ______________________О В двухполярный ЯЦ сигнал -9 В — I Выходной Входные сигнал пороги Рис 9.31- Кабельные приемники и передатчики высо- кой помехоустойчивости; выполнены по стандарту RS-232. использовать многожильный кабель без всякой экранировки, так как максималь- ная скорость изменения напряжения фор- мирователей для минимизации перекрест- ных помех намерено ограничена величи- ной 30 В/мкс. Кроме основного ТТЛ-сов- местимого элемента, состоящего из 4 пар «формирователь/приемник» (1488/1489), в настоящее время имеется несколько улучшенных ИС, включая маломощные варианты (LT1032, 1039 и МС145406, см разд 14.47) и варианты, работающие от одного источника +5 В (серии МАХ-232 и LT1130, LT1080). Последние содержат преобразователь напряжения для форми- рования необходимого отрицательного напряжения. Типовая схема показана на рис 9.31. I RS-232 широко используется для обес- печения связи между компьютерами и терминалами на стандартизованных ско- ростях передачи данных, входящих в диа- пазон от ПО до 38400 бит/с Полный •стандарт определяет даже распайку кон- тактов 25-контактного субминиатюрного соединителя типа D и используется для передачи данных в коде ASCII (см. разд. 10.19) Непосредственное управление от 5-воль- товои логики. Линиями средней длины, и шинами данных, можно управлять Пепссредственно логическими уровнями. В общем случае необходимы вентили с большой нагрузочной способностью по т°ку (см приведенный выше перечень под Изголовком «шинные формирователи»), рис 9.32 показано несколько способов -J-74HC14 4 3-74АС244 О Перепадет 0 до +5В, ток +24 мА Рис. 9.32. Оконечные цепи с формированием логи- ческих уровней. управления. На первой схеме буфер (мо- жет иметь открытый коллектор) управ- ляет нагруженной линией с ТТЛ-тригге- ром Шмитта в качестве приемника для повышения помехоустойчивости. Если уровень помех высок, то можно использо- вать, как показано на второй схеме, за- медляющую RC-цепь с подстройкой по- стоянной времени (и скорости передачи!) в соответствии с конкретной обстановкой. В этой схеме триггер Шмитта играет важ- ную роль. В последней схеме мощный КМОП-буфер управляет тинией с ком- плексной нагрузкой и КМОП-триггером Шмитта в качестве приемника Непосредственное управление с помо- щью логических уровней будет нормаль- но работать на скрученной паре, плоском и коаксиальном кабелях средней длины (около 3 м). Из-за быстрых фронтов боль- шое значение приобретает емкостная связь с соседними линиями. Обычное «лекарст- во»-это чередование с земляными линия- ми или спаривание сигнальных линий с
632 Глава 9 75361А (сдвоенный hv МОП-формирователь) 75152 (двухлинейный приемник) Рис. 9.33. Повышение помехоустойчивости с помощью высоковольтного кабельного формирователя. земляными (скрученная пара). Проблема взаимосвязи сигналов практически ли- шает возможности осуществить непосред- ственное управление от логики с исполь- зованием многожильных кабелей. В сле- дующем разделе мы покажем несколько интересных осциллограмм, иллюстри- рующих эту проблему, и познакомим с другим эффективным «лекарством», диф- ференциальным логическим управлением. Важное замечание: никогда не пытай- тесь управлять длинными линиями от не- буферированных тактируемых элементов (триггеров, одновибраторов, счетчиков и некоторых регистров сдвига); емкостная нагрузка и эффекты «длинных линий» мо- гут вызвать неправильное поведение схе- мы «Буферированные» элементы содер- жат выходные формирователи, включен- ные между внутренними регистрами и вы- ходными контактами и поэтому «не ви- дят» реальных сигналов (с плохими пара- метрами) на выходных линиях и не стат- киваются с этой проблемой. У правление от высоковольтной логики. Если для передачи сигналов по кабелям вы используете непосредственное управ- ление от логики, то вы можете повысить помехоустойчивость, увеличивая перепад сигналов. В примере, показанном на рис. 9.33, в качестве генератора 12-вольтового логического перепада для скрученной па- ры используется элемент 75361 «форми- рователь ТТЛ-МОП». Приемником явля- ется элемент 75152, который позволяет устанавливать входной порог (входное со- противление составляет примерно 9 кОм следовательно, резистор смещения 12 кОм установит nopoi на + 5 В) и гистерезис (в данном случае до ±2 В). Нагрузка линии 120 Ом согласовывает характеристиче- ский импеданс скрученной пары. Трапецеидальное управление Для сни- жения остроты проблемы емкостной свя- зи с соседними линиями фирма National изготавливает линейные формирователи приемники (серии DS3662, DS3890) с управ- ляемым временем переключения форми- рователя в сочетании с управляемым вре- менем отклика приемника По существу это сводится к управлению линией, нагру- женной на схему, показанную на рис. 9.32 Дифференциальное управление; стандарт RS-422. Намного более высокую помехоу- стойчивость можно получить, используя дифференциальные сигналы, т.е. подавая Q и О' на скрученную пару с дифферен- циальным приемником (рис. 9.34). Здесь парные ТТЛ-инверторы посылают в на- груженную скрученную пару прямой и инверсный сигналы, а дифференциальный линейный приемник 75115 воспроизводит чистые уровни ТТЛ. Мы выбрали бипо- лярные ТТЛ-формирователи, а не КМО ’ поскольку они менее склонны к разруше- нию от статического электричества и к ти ристорному защелкиванию из-за отраже ний в линии Эта схема обеспечивает в°_ сокую степень подавления синфазных мех и восстанавливает четкие логичес
Сопряжение цифровых и аналоговых сигналов 633 Рис. 9.34. Быстродействующие дифференциальные кабельные ТТЛ-передатчики и приемники. уровни из линейных сигналов, которые могут выглядеть довольно устрашающе. Показанная на рисунке форма колебаний дает лишь общее представление о том. что можно увидеть на отдельных сигналь- ных линиях в сравнительной чистой систе- ме; реальные сигналы могут быть доволь- но сильно искажены, хотя и будут оста- ваться монотонными (отсутствует обрат- ная волна). I Примером линейного приемника с наст- 1 раиваемым временем отклика является элемент 75115; другой дифференциальный приемник (75152) позволяет управлять ги- 1стер зисом Для душевного спокойствия желательно использовать приемник с ги- Icrepe (исом (и с настраиваемой постоян- ной времени); такие приемники как раз и призваны для того, чтобы разбираться с самыми прич дливыми формами сигна лов. Формирователи с отведем тока. Эле- менты типа 75S110 и МС3453 имеют ком- мутируемые выходы с отводом тока, ко- торые можно использовать как выходы Зл - однопроводной схемы или как пока- рано на рис. 9.35, в дифференциальном Режиме. Элемент 75107 является парным Дифференциальным приемником, кото- рый обычно используется с согласующей Иагрузкой. как показано на рисунке. Не- РКслько формирователей могут совмест- но испо [ьзова ь одн дифференциальную Вши; в режиме «групповой линии», по- скольку их выходы могут отключаться С 3-е состояние, в этом случае нагрузку на каждом формирователе не ставят, а пере- носят ее в самый дальний от приемника конец линии. Наш опыт показывает, что дифферен- циальные формирователи с отводом тока позволяют достичь действительно впе- чатляющей скорости передачи данных. Это объясняется, по-видимому, тем, что высокоимпедансное управление с отдачей тока гарантирует возможность нагрузки кабеля на его характеристическое сопро- тивление для обоих состояний формиро- вателя. В соответствии с техническими данными скорость передачи составляет более 1 Мбит/с на линии длиной 500 м и достигает 10 Мбит/с на линии длиной несколько десятков метров и менее. Реальные осциллограммы, приведенные на рис. 9.36, показывают, насколько эффек- тивным может оказаться дифференциаль- ное управление с отводом тока при реше- Рис. 9.35. Дифференциальная схема токовой связи с приемником
634 Глава 9 а в Рис. 9.36. Осциллограммы, показывающие превосходную помехоустойчивость дифференциальной передачи данных (дифференциальный приемник 75108). (С разрешения фирмы Texas Instruments.) a-вход приемника (+)- б-вход приемника ( —); в-выход приемника. нии проблемы синфазных помех. На пред- ставленном примере сигнал с размахом 50 мВ «загрязнен» синфазной помехой с размахом 4 В. Стандарт RS-422/423. Этот стандарт передачи данных, разработанный с целью замены распространенного стандарта RS- 232, предназначен прежде всего для рабо- ты со скрученной парой или плоским ка- белем. Его можно использовать как в не- сбалансированной схеме (RS-423, 100 кбит/с макс.), так и сбалансированной схеме (RS-422, 10 Мбит/с макс.). В несбаланси- рованном режиме можно использовать биполярные сигнальные уровни (источни- ки ± 5 В) с управляемой скоростью нара- стания, как и в RS-232. В сбалансирован- ном режиме используются однополярные ТТЛ-уровни (и по одному источнику пи- тания + 5 В) без ограничения скорости нарастания На рис. 9.37 показана зависи- мость реальной скорости передачи дан- ных от длины линии. Распространенной серией формировате- лей/приемников для RS-422/3 является серия 26LS30-34 фирмы AMD с расши- ренной вторичной поставкой от других изготовителей; более поздние элементы 75ALS192/4 и серия DS34F30/80 имеют повышенное быстродействие при мень- шей мощности. Мы использовали RS-422 для плоского кабеля из скрученных пар в том случае, когда хотели объединить параллельные порты и управляющие сиг- налы набора из 144 микропроцессорных плат в схему типа «звезды». Мы изготови- ли 9 групп по 16 процессорных плат, каждая группа содержала также одну интерфейсную плату, и использовали ТТЛ-сигналы между процессорами и в интерфейсе; затем, объединив 9 интерфей- сных плат, мы подключили их к внешнему Рис. 9.37. Зависимость между скоростью передачи данных при последовательной связи и длиной кабеля
Сопряжение цифровых и аналоговых сигналов 635 9.38. Ухудшение параметров и перекрестные помехи цифровых сигналов, а - прямоугольные импульсы ТЛ-уровнями частотой 1 МГц на 10 футах ненатруженного плоского кабеля с заземлениями через уделенные интервалы. 1 В дел.; б -парный провод кос низким ТТЛ-уровнем; в, г-то же, что а и б. но грузкой 220 330 Ом, подключенной к -t-5 В д. е-то же, что в, г, но с использованием скрученной пары П'о плоского кабеля, ж. з-то же, что в, г, но с использованием плоского кабеля с земляной платой: тьютеру с помощью RS-422 (по диф- ящиальной схеме) Полная длина ка- Дя составила примерно 8 м с шунтиро- ‘Нием каждой пары с обоих концов оези- орами 100 Ом. Вся система чрезвычайно ’оста и надежно работает на нашей ско- ’сти передачи около 1 Мбит/с. Мы предпочитаем использовать диффе- ренциальную передачу сигналов в тех слу- чаях, где важную роль играют надеж- ность и хорошая помехозащищенность. За счет эффектов компенсации дифферен- циальный сигнал обеспечивает низкую степень связи с другими сигналами («пере-
636 Глава 9 Рис. 9.38. Продолжение. и-пара с низким уровнем для RS-422, на 100 футах плоского кабеля, смежного с парой, по которой проходят дифференциальные прямоугольные импульсы RS-422 частотой 100 кГц; 0,1 В дел. (заметьте, что масштаб изменился); к-то же, что и, но сигналы разделены заземленной парой; л, м - то же, что и, к, но вместо плоского кабеля используется «скрученная и плоская» пара. крестные помехи»). Использование скру- ченной пары, а не плоского кабеля, даже улучшает работу. На рис. 9.38 показано несколько осциллограмм, полученных для RS-422 и для непосредственного управ- ления от логики с использованием как плоского кабеля, так и плоской скручен- ной пары (последняя была в действитель- ности вариантом, известным под назва- нием «скрученный и плоский»; это соеди- нение состоит из жгута скрученных пар, прерываемых на 0,05 м через каждые 0,5 м для того, чтобы сделать плоскую выводную площадку). Для RS-422 мы использовали 30-метровый кабель, по одной паре которого мы передавали сиг- нал с размахом 6 В частотой 100 кГц и наблюдали за перекрестными помехами на соседней паре; обе пары были нагруже- ны. При непосредственном управлении от логики использовались формирователи 74LS244 на частоте 1 МГц с 3-метровым кабелем в двух вариантах: с нагрузкой и без нагрузки. Осциллограммы с очевид- ностью показывают, что RS-422 чрезвы- чайно надежен даже при передаче по длинному кабелю, в то время как непо- средственное управление от логики весь- ма ограничено в своих возможностях да- же на средних длинах, хотя его можно несколько улучшить, используя нагрузку и плоский кабель с общей земляной по- верхностью. Вопреки ожиданиям, скручен- ная пара оказалась нисколько не лучше плоского кабеля при непосредственном управлении от логики. Дифференциальные линейные приемни- ки работают нормально до тех пор, пока принимаемые сигналы находятся в преде- лах допустимого диапазона синфазных напряжений, обычно в несколько вольт (для 75108- ±3 В). При использовании длинных линий вы можете, однако, очу- титься в ситуации либо высокочастотных синфазных помех, либо низкочастотных разностей напряжений между источником и линией, превышающими в обоих слу- чаях синфазный диапазон приемника. Если эти проблемы встают слишком остро, можйо использовать пару РеЗИ стивных делителей на входе приемника, или использовать приемник с встроенным
Сопряжение цифровых и аналоговых сигналов 637 Данные Команда Нс. 9.39. Набор кристаллов AMD TAXI для быстродействующей линии последовательной связи. (С разреше- ния фирмы Advanced Micro Devices), а-передатчик Am7968: б- приемник Ат7969. аттенюатором, например 26LS33, прием- ниь для RS-422 с синфазным диапазоном 1115 В [При передаче сигналов по деиствитель- V длинным кабелям или при передаче в условиях очень сильных помех обычно ♦используют индуктивную связь. Приме- нив трансформаторы, вы. разумеется, ли- аете ь возможности передавать логиче- ские сигналы постоянного тока: вы вы- йкдень кодировать данные определен- ием способом, например с использова- нием «несущего» сигнала. Локальные сети (см. разд. 10.21) обычно используют индуктивную связь. Кристалл TAXI фирмы AMD. Фирмой AMD разработана весьма интересная пара дифференциальных передатчиков, приемников. Ащ7968;9, содержащая для облегчения применения все разновидно- сти внутренних регистров (рис. 9.39). Вы можете, например, рассматривать ИС пе- редатчика как 8-битовую зашелку со стро- бированием и квитированием; схема в таком применении преобразует байты в последовательные данные, дополняет
638 Глава 9 эту последовательность соответствующи- ми битами синхронизации, передает дан- ные в последовательную линию связи и воспроизводит байты на другом конце. По отношению к пользователю линия выглядит как простой параллельный ре- гистр. Эти ИС содержат кабельные фор- мирователи и приемники для 50-омного кабеля, работающие от одного источника питания +5 В; они обладают достаточно высоким быстродействием: скорость пе- редачи данных составляет 32-100 Мбит/с (от 4 до 12,5 Мбит/с). ИС TAXI предназ- начены для сверхскоростных линий пе- редачи данных общего назначения со связью по переменному или постоянному току. Реальной средой передачи может быть простое соединение через провода, скрученные пары, коаксиальные кабели, кабели с трансформаторной связью или даже волоконно-оптические линии. Формирователи для коаксиальных ка- белей. Благодаря своей геометрии коак- сиальные кабели обладают очень хоро- шей защитой от внешних влияний. Кроме того, однородность диаметра и внутрен- них размеров (по сравнению со случай- ными отклонениями в случае жгутов и скрученных пар) позволяет достаточно точно предсказывать величину характери- стического импеданса и, следовательно, обеспечить превосходные условия для пе- редачи; именно по этой причине только они используются для передачи аналого- вых радиочастотных сигналов. Существуют несколько пар формирова- телей/приемников, удобных для цифровой передачи по коаксиальному кабелю; при- мер показан на рис. 9.40. Кабель нагружен на характеристическое сопротивление, в данном случае 51 Ом. Элемент 8Т23 мо- жет непосредственно управлять 50-омной Рис. 9.40. Передатчик и приемник для 50-омного ка- беля нагрузкой, а 8Т24 обладает гистерезисом фиксированной величины для обеспечения помехоустойчивости и малым временем переключения выхода. Скорость передачи в такой схеме достигает 100 кбит/с на кабеле в 1609 м и до 20 Мбит/с на более коротких линиях. Другая пара формиро- вателей/приемников входит в интерфейс- ные семейства 8Тхх и 75ххх. Элементы 74F3037 (счетверенный) и 74F30244 (окталь- ный) предназначены для управления кабе- лями с импедансом ниже 30 Ом (напри- мер, кабелем, нагруженным с двух кон- цов). При управлении 50-омными коак- сиальными линиями непременно исполь- зуйте приемники с соответствующими техническими характеристиками, посколь- ку уровни напряжений на нагруженном кабеле могут оказаться меньше обычных логических уровней Различные семейства ЭСЛ содержат несколько пар формирователей/приемни- ков для 50-омных коаксиальных линий, например 10128/10129 Превосходным коаксиальным формирователем является ИС10194; по существу это шинный прие- мопередатчик. предназначенный для одно- временной передачи и приема по одной линии (дуплексная связь, рис. 9.41). При использовании этого способа каждая По- может осуществлять передачу к другому приемопередатчику и одновременно при- нимать от него данные в асинхронном режиме без перекрестных помех на скоро сти 100 МГц и выше. С помощью одного эмиттерного пр повторителя вы можете непосредствен!! управлять коаксиальным кабелем оПР^й ленной длины от + 5-вольтовой л°
Сопряжение цифровых и аналоговых сигналов 639 Рис. 9.42. Простой формирователь для 50-омного кабеля. (рис. 9 42). Транзистор 21м4401-это не- большой мощный транзистор с большим коэффициентом усиления по току в схеме с общим эмиттером при большом токе (Л71Э > 100 при 1К = 150 мА). 10-омный резистор включен для защиты от корот- кого замыкания По сравнению с тща- тельно спроектированным и дорогостоя- щими ИС-формирователями для 50-омных кабелей эта схема до удивления проста. Заметьте, что для нормальной работы выход с открытым эмиттером должен нагружаться на низкое сопротивление на землю, что справедливо и для некоторых (Интегра ьных кабельных формирователей. Волоконно-оптические кабели. Новый многообещающий способ передачи сигна- лов основан на применении волоконно- оптических кабелей. Это кабели с превос- ходным пластиковым покрытием с согла- сующими соединителями, излучателями и детекторами. Высококачественные во- локонно-оптические кабели могут осу- ществлять передачу в полосе частот до нескольких гигагерц на расстояния в де- сятки и сотни километров без потери дробных децибел на километр. По сравне- нию с коаксиальными кабелями, которые могут иметь разброс параметров (ско- рость распространения зависит от часто- ты, количество потерь также определяется частотой, что вызывает искажения коле- баний), дисперсия волоконно-оптических кабелей незначительна. Кроме того, воло- конно-оптические кабели являются изоля- торами, поэтому их можно использовать для передачи сигналов между устройства- ми с изолированной землей, или на раз- личных напряжениях. В отличие от обыч- ных кабелей они не являются антенна- ми по отношению к радиочастотным и импульсным помехам. Они легче, безо- паснее, обладают более высокой стой- костью, «ем традиционные кабели, к тому же, они потенциально дешевле. Существует несколько типов волокон- но-оптических кабелей, позволяющих сде- лать выбор между стоимостью и произво- дительностью (длина на ширину полосы). Вс- 9.43. Недорогая оптовотоконная шния связи (на основе рис 7 спецификации MFOD?i фирмы «Мо- 1оРола»),
640 Глава 9 Самым дешевым является многомодовое волокно со ступенчатым изменением ко- эффициента преломления; обычно это пластиковое волокно диаметром 1 мм. Вы можете передавать по нему излучение инфракрасного светодиода (а не лазерно- го диода), а в качестве детектора исполь- зовать фототранзистор или p-Z-и-диод. Фирма Motorola производит недорогой набор формирователей/приемников (менее доллара за штуку); элементы этого набора прямо насаживаются на кабель в оболочке (серия MFOE71/MFOD71-73); с их по- мощью можно передавать данные со ско- ростью 5 Мбит/с по 10-метровому плас- тиковому кабелю, описанному выше (см. рис. 9.43). Кабели более высокого каче- ства используют стекловолокна-много- модовые со ступенчатым изменением коэффициента преломления, многомодо- вые с плавным изменением коэффициента преломления (лучше) или одномодовые (самые лучшие). Используя стекловолокно 200 мкм со ступенчатым изменением коэф- фициента преломления, можно достичь скорости 5 Мбит/с на 1 км пути со стан- дартными волоконно-оптическими ком- понентами. включающими соединители, элементы связи, делители/объединители и детекторы с встроенными усилителями Последним достижением в области широ- кополосной волоконно-оптической даль- ней связи является 4 ГГц на 120 км пути без повторителей. АНАЛОГО-ЦИФРОВОЕ ПРЕОБРАЗОВАНИЕ 9.15. Введение в аналого-цифровое преобразование Кроме чисто «цифрового» сопряжения (ключи, лампы и т.п.), которое обсужда- лось в предыдущих разделах, часто тре- буется преобразовать аналоговый сигнал в число, пропорциональное амплитуде сиг- нала и наоборот. Это играет важную роль в тех случаях, когда компьютер или про- цессор регистрируют или контролируют ход эксперимента или технологического процесса, или всякий раз, когда цифровая техника используется для выполнения традиционно аналоговой работы. дНа лого-цифровое преобразование следует использовать в областях, где для обеспе чения помехоустойчивой и шумозацщ- щенной передачи аналоговая информация преобразуется в промежуточную цифро- вую форму (например, «цифровая звук0- техника» или импульсно-кодовая модучя- ция). Это требуется в самых разнообраз- ных измерительных средствах (включая обычные настольные приборы типа циф- ровых универсальных измерительных приборов и более экзотические приборы, такие, как усреднители переходных про- цессов, «ловушки для выбросов» и осцил- лографы с цифровой памятью), а также в устройствах генерации и обработки сиг- налов, таких, как цифровые синтезаторы колебаний и устройства шифрования дан- ных. И наконец, техника преобразования является существенной составляющей способов формирования аналоговых изоб- ражений с помощью цифровых средств, напоимер, показаний измерительных при- боров или двухкоординатных изображе- ний, создаваемых компьютером. Даже в относительно простой электронной аппа- ратуре существует масса возможностей для применения аналого-цифрового и циф- ро-аналогового преобразования так что знакомство с различными способами и доступными модулями, используемыми в аналого-цифровом преобразовании, весь- ма полезно, тем более, что в настоящее время можно приобрести АЦП и ЦАП по 5 долл, за штуку. Наше знакомство с различными мето- дами преобразования не будет носить ха- рактер курса по проектированию преоб- разователей. Мы попытаемся показать преимущества и недостатки каждого ме- тода. поскольку в большинстве случаев задача состоит в том. чтобы купить ком- мерчески доступный кристалл или мо- дуль, а не построить его с начала л° конца Понимание техники преобразова- ния и знание особенностей методов пре- образования будут руководить вами при выборе блока из сотни доступных. Коды Здесь вы должны вспомнить разд. 8.03, в котором описаны различные
Сопряжение цифровых и аналоговых сигналов 641 числовые коды, используемые для пред- ставления чисел со знаком. В схемах А/Ц- преобразования используют обычно сме- щенный двоичный и дополнительный ко- ды, время от времени появляются также прямой код со знаком и код Г рея. Допол- ним ваши воспоминания: + Полная шкала + Полная шкала — 1 I о + 1 МЗР о о - 1 МЗР L 1 — Полная шкала + 1 — Полная шкала Смешенный двоичный код 11111111 11111110 I 1 0000001 1 0000000 01111111 I 00000001 00000000 Дополнитель- ный код 01111111 01111110 I 00000001 00000000 11111111 I 10000001 10000000 Погрешности преобразователей. Погреш- ности А/Ц- и Ц/А-преобразований-весь- ма сложная тема, которой можно посвя- тить целые тома. Как выразился Берни Гордон из фирмы Analogic, если вы пола- гаете, что система преобразования высо- кой точности живет в соответствии с объявленными техническими данными то вы, вероятно, не достаточно близко с ней познакомились. Мы не будем следовать такому прикладному сценарию с тем, что- бы поддержать высказывание Берни, но покажем 4 наиболее обших типа погреш- ностей преобразования. Не желая утом- тять вас умными разговорами, мы просто представим графики, не требующие пояс- нений, для 4-х наиболее распространенных цифровой код Рис 9.44 National Четыре основных типа погрешностей аналого-цифровою преобразования Semiconductor.) а - передаточная характеристика АЦП .. сдвиюм нуля на (С разрешения фирмы ~ МЗР. о линейная ₽°грешость шкалы на I МЗР; в - ± 1 2 МЗР нелинейности (включая возможную ншрешиость 1 МЗР); I МЗР Ифференпиа. ьной нелинейности (при сохранении монотонности!; . немоно юнношь (нелинейность должна бы;ь больше + 1 2 МЗР).
642 Глава 9 типов погрешностей: погрешности сдвига, погрешности шкалы, нелинейность и не- монотонность (рис. 9.44). 9.16. Цифро-аналоговые преобразователи (ЦАП) Цель состоит в том, чтобы преобразовать количество, определенное в виде двоично- го числа (или многоразрядного двоично- десятичного числа), в напряжение или ток, пропорциональное значению цифрового входа. Рассмотрим несколько распростра- ненных способов преобразования. Включение масштабирующих резисторов в суммирующее соединение Как вы уже видели в разд. 4.09. подключая несколько резисторов к суммирующему входу опе- рационного усилителя, на выходе можно получить напряжение, пропорциональное взвешенной сумме входных напряжений (рис. 9.45). Напряжение на выходе этой схемы изменяется от 0 до —10 В, причем максимальный выход соответствует вход- ному числу 64. Действительно, макси- мальное входное число всегда равно 2" — 1, т. е. все разряды находятся в «1». В дан- ном случае максимальное входное число равно 63, а соответствующее выходное напряжение равно —10 х 63/64. Изменяя резистор обратной связи, можно добить- Рис. 9.45. ся, чтобы выход изменялся от О — 6,3В (т. е. сделать так, чтобы вых0° в вольтах был бы численно равен — 1. jg входного числа), можно добавить также инвертирующий усилитель или постоян- ное смещение на суммирующий вхо чтобы получить положительный выход' Изменяя значения входных резисторов можно соответствующим образом преоб^ разовать многоразрядный двоично-деся- тичный входной код, или любой другой взвешенный код. Входные напряжения должны соответствовать точным этало- нам; чем меньше значение входного ре- зистора, тем большую точность он дол- жен иметь. Разумеется, сопротивление переключения должно быть меньше чем 1/2" величины самого маленького резис- тора: это важное замечание, поскольку переключение во всех реальных схемах выполняется с помощью транзисторов или ключей на МОП-транзисторах Этот способ преобразования используется только в быстрых преобразователях низ- кой точности. Упражнение 9.2. Спроектируйте 2-разрядный двоично-десятичный ЦАП. Используйте входы с пере- падом от 0 до +1 В, выход при этом должен изме- няться от 0 до 9,9 В. Цепная R-2R-cxeMa. Способ масштаби- рующих резисторов становится неудоб- ным, если преобразованию подвергаются много разрядов. Например, для 12-раз- рядного преобразователя потребуется со- отношение величин резисторов 2000:1 с соответствующей точностью самого ма- ленького резистора. Цепная 7?-2/?-схема, показанная на рис. 9.46, приводит к изящ- ному решению этой задачи Здесь требу- ются только 2 значения резисторов, по которым /?-27?-схема формирует токи с двоичным масштабированием Резисто- ры, конечно, должны быть точно подо раны, хотя действительные их величины не так существенны. Приведенная схема формирует выходное напряжение от 0 — 10 В с полным выходом, соо в« < БУ^ щим числу 16 (опять же максимальн входное число равно 15 при выход» напряжении 10 х 15/16). Для двоично-^ сятичного преобразования использу несколько модификаций R-2R-cxeMW-
Сопряжение цифровых и аналоговых сигналов 643 Рис. 9.46. Схема лестничного типа R-2R. Упражнение 9.3. Покажите, что приведенная выше /?-2/?-схема выполняет функцию преобразования пра- ильно Источники масштабирующих токов. В схеме упомянутого выше /?-2 ^-преобразо- вателя операционный усилитель преобра- зует двоично-масштабированные токи в выходное напряжение. Во многих случаях выходное напряжение является наиболее удобным видом сигнала, но операцион- ные усилители, как правило, составляют самую медленную часть преобразователя. Если вы используете преобразователь с токовым выходом, вы добьетесь лучших харак еристик за более низкую цену. Рис. 9.47 иллюстрирует общую идею. Токи можно сформировать с помощью матри- цы транзисторных источников тока с мас- штабирующими эмиттерными резистора- ми, хотя проектировщики ИС предпочи- тают использовать цепную 2?-27?-схему из змиттерных резисторов. В большинстве преобразователей этого типа источники тока включены все время, а их выходной ток подключается к выходному контакту или к земле под управлением цифрового входного кода. В ЦАП с токовым выхо- дом следует принимать во внимание огра- ничение по размаху выхода; он может достигать всего 0,5 В, хотя типовое его значение составляет несколько вольт. Формирование выходного сигнала напря- жения. Существует несколько способов формирования выходного напряжения для токовых ЦАП. Некоторые из них показаны на рис. 9.48. Если емкость наг- рузки невелика, а требуемый перепад на- пряжения достаточно большой, то прек- расно работает схема с обычным резисто- ром. подключенным к земле. При типо- вом полномасштабном выходном токе 1 мА нагрузочный резистор 100 Ом обес- печивает полномасштабное выходное на- пряжение 100 мВ с выходным импедансом 100 Ом. Если суммарная емкость выхода ЦАП и емкость нагрузки не превышает 100 пкФ, то время установки в предыду- щем примере будет равно 100 нс. предпо- лагая, что быстродействие ЦАП несколь- ко выше. Анализируя влияние постоянной Рис. 9.47. Классическая схема ЦАП с коммутацией токов.
644 Глава 9 Рис. 9.48. Формирование напряжения по токовому выходу ЦАП. времени АС-цепочки, не забывайте, что выходное напряжение установится с точ- ностью до 1/2 МЗР за время, составляю- щее несколько постоянных времени. На- пример, время установления выхода с точностью 1/2048 для 10-разрядного пре- образователя составляет 7,6 постоянных времени АС-цепочки. Для того чтобы сформировать боль- шой перепад напряжения или согласовать выход с низкоомной нагрузкой или с большой нагрузочной емкостью, можно использовать показанную на рисунке схе- му с резистивной обратной связью (усили- тель тока с выходом по напряжению). Конденсатор, шунтирующий резистор обратной связи, необходим для обеспече- ния устойчивости, поскольку выходная емкость ЦАП в сочетании с резистором обратной связи создает запаздывающий фазовый сдвиг: это. к сожалению, снижает быстродействие усилителя Схема обла- дает одной занимательной особенностью: для поддержания высокой скорости даже недорогого ЦАП может потребоваться относительно дорогой быстродействую- щий (с малым временем установки) опе- рационный усилитель. На практике по- следняя схема обеспечивает лучшие харак- теристики, поскольку не требует компен- сирующего конденсатора Старайтесь избегать погрешностей напряжения сдви- га - операционный усилитель усиливает входное напряжение сдвига в 100 раз. Коммерчески доступные модули ЦАП обладают точностью от 6 до 18 бит и вре- менем установления от 22 нс до 100 мкс (ЦАП с самой высокой точностью). Цены на ЦАП колеблются от нескольких долла- ров до нескольких сотен долларов. Типо- вым широко распространенным блоком является AD7248, 12-разрядный преобра- зователь с защелкой и внутренним опор- ным источником и с временем установле- ния для выхода по напряжению, равным 5 мкс. Цена его составляет около 10 долл. 9.17. Интегрирующие ЦАП В прикладных задачах «цифровой» вход может представлять собой последователь- ность импульсов или колебание другого вида определенной частоты. В этом слу- чае непосредственное преобразование в напряжение иногда оказывается более удобным, чем предварительный отсчет времени с последующим преобразова-
Сопряжение цифровых и аналоговых сигналов 645 рием двоичного числа по описанным вы- I ще способам. При прямом пребразовании частоты в напряжени на каждом входном цикле генерир; ется стандартный импульс он может быть как импульсом напряже- ния, так и импульсом тока (т. е. фиксиро- ванным количеством заряда). Импульсная пос сдовательность усред- няется 7?С-фильтром низких частот или | интегратором, создавая выходное напря- жение, пропорциональное средней вход- ной частоте. Выход, разумеется, имеет пульсации и для того, чтобы их умень- шить до уровня точности ЦАП (т.е, до 1/2 МЗР) используют фильтр низкой ча- стоты, который замедляет выходную реак- цию преобразователя. Для того чтобы пульсации были меньше 1/2 МЗР, по- стоянная времени Т простого 7?С-фильтра низких частот должна быть, по крайней мере, равной Т— 0,69 (и + 1) То, где То- период выходного сигнала «-разрядного преобразователя частоты в напряжение, соотвшствующий максимальной входной частоте. Другими словами, время уста- новления выхода до 1/2 МЗР будет при- мерно равно t = 0,5 (п 4- 1)2Тф 10-разряд- ный преобразователь частоты в напряже- ние с максимальной входной частотой 100 кГц при использовании сг аживаюше го ЯС-фильтра будет иметь время уста- новления выходного напряжения 0.6 мс Используя более сложный фильтр низких I частот (с крутым срезом) можно добиться ^Лучших резулыатов. Однако прежде чем | увлекаться атей. ивыми схемами фильт- ров, вспомните, что очень часто преобра- зование частоты в напряжение использу- ется. когда не требуется выход по напря- жению Ниже мы коснемся существенно •инер иных нагрузок в сочетании с ши- ротно-импульсной модуляцией. I Широтно-импульсная модуляция. В э ом способе используется цифровой входной код для формирования последовательно- сти импульсов фиксированной частоты с 21®ггельностью импульсов, пропорцио- нальной входному числу Легче всего это сделать с помощью счетчика компарато- ра и вы юкочастотного генератора такт вых импульсов (см. упражнение 9.4) Как прежд . можно использовать простей- ший фильтр низких частот для того, что- бы сформировать выходное напряжение, пропорциональное среднему времени пре- бывания в высоком состоянии, т.е. про- порциональное цифровому входному ко- ду. Наиболее часто этот вид Ц/А-преоб- разования используется, когда сама на- грузка является системой с медленной реакцией; в этом случае широтно-импульс- ный модулятор генерирует точные порции энергии, усредняемые системой, подклю- ченной в качестве нагрузки. Нагрузка, на- пример, может быть емкостной (как в ста- билизаторе с импульсным регулирова- нием, см. гл. 6), термической (термостати- рованная ванна с нагревателем), механи- ческой (система автоматического регули- рования скорости ленты) или электромаг- нитной (большой электромагнитный регу- лятор). Упражнение 9.4. Постройте схему формирования импульсной последовательности 10 кГц с длитель- ностью импульсов, пропорциональной 8-разрядному двоичному входному коду. Используйте счетчики и компараторы (с соответствующими расширителями). Умножитель частоты с усреднением. Схему умножителя частоты, описанную в разд. 8.28. можно использовать для создания простого ЦАП. Параллельный двоичный или двоично-десятичный вход- ной код преобразуется в последователь- ность выходных импульсов со средней частотой, пропорциональной цифровому входу; для формирования выхода по по- стоянному току, пропорционального циф- ровому входному коду, можно, как и для описанного выше преобразователя часто- ты в напряжение, использовать простое усреднение, хотя в данном случае величи- на постоянной времени выхода может оказаться недопустимо большой, по- скольку время усреднения на выходе умно- жителя частоты должно быть равно наи- большему периоду выходного сигнала умножителя. Достоинства умножителей частоты как Ц/А-преобразователей осо- бенно проявляются, когда выход усред- няется за счет сильной инерционности са- мой нагрузки. По-видимому, лучше всего применять такие преобразователи при цифровом
646 Глава 9 управлении температурой, где по каждо- му выходному импульсу частотного умно- жителя происходит переключение полных периодов напряжения переменного тока на нагревателе. Частотный умножитель при этом организуется таким образом, чтобы его самая низкая выходная частота была бы равна целочисленному делителю 120 Гц, а для коммутирования напряже- ния переменного тока (при пересечении нуля) по логическим сигналам исполь- зуется твердотельное реле (или симис- тор). Обратите внимание, что последние три способа преобразования основывались на усреднении во времени, в то время как методы на основе цепной резисторной схемы и источиков тока по существу «мгно- венны». Эта особенность присуща и раз- личным методам аналого-цифрового пре- образования. Усредняет ли преобразова- тель входной сигнал или преобразует отсчеты мгновенно имеет, как вы вскоре убедитесь на некоторых примерах, боль- шое значение. 9.18. ЦАП с умножением Большинство из ранее рассмотренных способов можно использовать для по- строения ЦАП с умножением, в которых выход равен произведению входного на- пряжения (или тока) на входной цифровой код. В ЦАП с масштабируемыми источ- никами тока вы можете, например, отгра- дуировать все внутренние источники тока с помощью входного программирующего тока. Умножающие ЦАП можно выпол- нить на ЦАП, которые не имеют внутрен- него опорного источника, используя вход опорного напряжения для входного ана- логового сигнала. Однако для этой цели пригодны не все ЦАП, поэтому следует изучить внимательно их паспортные дан- ные. В паспортные данные на ЦАП с хо- рошими «множительными» свойствами (широкий диапазон входного аналогового сигнала, высокая скорость и т. п.) в верх- ний правый угол обычно вносится помет- ка «умножающий ЦАП». Примерами 12-разрядных умножающих ЦАП являют- ся AD7541, 7548, 7845 и DAC1230, стои- мость которых колеблется от Ю пп 20 долл. Умножающие ЦАП (и А/Ц-эквивален- ты) открывают возможности для лого- метрических измерений и преобразова- ний. Если некоторый датчик (например резистивный датчик типа термистора) пи- тается от эталонного напряжения, кото- рое подается также на А/Ц- или Ц/А-пре- образователь в качестве опорного напря- жения, то изменения эталонного напряже- ния не повлияют на результаты измере- ний. Эта идея чрезвычайно плодотворна, поскольку позволяет проводить измере- ния и управление с точностью, превы- шающей стабильность эталонного источ- ника напряжения или источника питания, и наоборот, смягчить требования по ста- бильности и точности источника питания. Логометрический принцип в своей про- стейшей форме используется в классиче- ской мостовой схеме, где за счет сведения к нулю разностного сигнала между двумя выходами делителей напряжения устанав- ливается равенство двух отношений (см. разд. 15.02). Приборы типа 555 (см. разд. 5.14) позволяют добиться хорошей ста- бильности выходной частоты при значи- тельных изменениях напряжения питания; это достигается благодаря применению логометрической схемы: напряжение на конденсаторе, формируемое с помощью АС-цепочки от источника питания, срав- нивается с фиксированной долей напряже- /1 2 \ т, ния питания 1~СКК и -UKKj. Результи- рующая выходная частота будет зависеть только от постоянной времени А С-цепи. К этой важной теме мы еще не раз вер- немся и в этой главе в связи с АЦП и в гл. 15, когда мы будем обсуждать методы научных измерений 9.19. Выбор ЦАП В качестве справочного материала, необ- ходимого для выбора ЦАП для конкре?" ного применения, мы приводим табл. 9- ’ в которой перечислены самые типичные ЦАП различной скорости и точности^ Этот список ни коим образом не претен
Сопряжение цифровых и аналоговых сигналов 647 •IflfOV ‘SJLOOWHOJQ ^aooodg -ня янэяодК иихсиц Q тггохнв XEWCBd инннэьиггэяд £ИИТПОП?ЖОНИД (J вхиоётэгоц >Х ь« S S S 1 о с о S S X —ч" m X (goXudo>] Таблица 9 4 Цифра auiuioi оные преобразователи ВГОХЯ KHYITEHHRldO (е ятэонйкггоц эн Т d£D оР эия±эиэво<1юнд i,3HHa»KdiiBH шги xoj Ч1ВИВЦ aorrKdtrd огэи^ soXndox я ояхоэыпго^ ,г Ч1ГЭ±ИЯОЛОЗ£{4 х
648 Глава 9 AD7248 Al) 1 12 2 I) 50(H) I 8 + 4 20 ±15 5 Внутр, H - 10 Можно использовать с од- ним ист. пит.; выход + 5 В он. AD7537 AI) 2 12 2 / 1500 М 8 + 4 24S +15 5 Виешн. Н — 15 дует на полноту, но он включает наиболее распространенные преобразователи и не- которые самые современные приборы предназначенные для замены. При поиске ЦАП для конкретного при- менения следует всегда помнить о некото- рых наиболее важных моментах: а) точ- ность; б) быстродействие; в) точность установки (требуется ли внешняя под- стройка?); г) входная структура (память? КМОП/ТТЛ/ЭСЛ-совместимость?); д) опорный источник (внутренний, внешний?); е) выходная структура (токовый выход? размах выхода? выход по напряжению? диапазон?); ж) необходимые напряжения питания и мощность рассеивания; з) кор- пус (желательно с малым числом выводов «узкий DIP» шириной 0,3 дюйма); и) цена. 9.20. Аналого-цифровые преобразователи Можно насчитать с полдюжины основных способов А/Ц-преобразования, каждый из которых обладает своими преимущест- вами и ограничениями Поскольку вы обычно применяете готовые А/Ц-модули или ИС, а не разрабатываете их сами, мы по возможности кратко опишем различ- ные способы преобразования главным образом для того, чтобы помочь сделать квалифицированный выбор для конкрет- ного применения В следующем разделе этой главы мы покажем несколько типо- вых приложений А/Ц-преобразования В гл. 11 рассмотрим некоторые АЦП, использующие точно такие же методы преобразования, но выходы которых про- сто сопрягаются с микропроцессорами. Параллельное кодирование. В этом ме- тоде напряжение входного сигнала пода- ется на один из входов п компараторов одновременно; другие входы компара - ров подключены к п опорным источникам с равномерно распределенными напряже ниями. Шифратор с приоритетом форми рует цифровой выходной сигнал, соответ ствующий самому старшему компара ору из активизированных входным сигналом (рис. 9.49). Параллельное кодирование (иногда зываемое «мгновенным» кодирование I
Сопряжение цифровых и аналоговых сигналов 649 +10,0 В Рис 9 49. Параллельно кодированный АЦП. это самый быстрый метод А/Ц-преобра- зования Время задержки от входа до выхода равно сумме времен задержки на компараторе и шифраторе. Параллельные преобразователи, выпускаемые промыш- ленностью имеют от 16 до 1024 уровней квантования (с выходами от 4 до 16 раз- рядов) При большем числе разрядов они становятся дорогими и громоздкими. Бы- стродействие их колеблется от 15 до 300 млн отсчетов в секунду. Типовым мгно- венным АЦП является TDC1048 фирмы TRW, это биполярный 8-разрядный пре- образователь на 20 млн. отсчетов в секун- ду в 28-выводном корпусе, цена которого составляет 100 долл.; фирма IDT выпу- скает преобразователь 75С48, КМОП- эквивалент с улучшенными техническими данными. Существует вариант простого парал- лельного шифратора, так называемый полумгновенныи шифратор, в котором используется двухступенчатый процесс. В этом процессе осуществляется мгновенное преобразование входа до половинной за- данной точности; внутренние ЦАП вновь преобразуют приближенное значение в аналоговую величину, а разность между ней и входной величиной подвергается мгновенному преобразованию для полу- чения младших значащих разрядов (рис. 9.50). Описанный способ лежит в основе дешевых преобразователей, которые обла- дают самым высоким быстродействием среди всех остальных, за исключением полностью мгновенных преобразователей. Он используется в недорогих преобразо- вателях типа 8-разрядных ADC0820 (Na- tional) и AD7820/4/8 (Analog Devices). Целесообразно рассмотреть возмож- ность использования мгновенных шифра- торов в области преобразования колеба- ний в цифровую форму, даже при сравни- тельно низкой скорости преобразования; их быстродействие (точнее, матый апер- турный интервал, в течение которого фик- сируется выход компаратора) гаранти- рует, что входной сигнал за время пре- образования существенно не изменится. Альтернативный вариант (более медлен- ные преобразователи мы опишем ниже) обычно требует применения аналоговой схемы квантования и запоминания для того, чтобы зафиксировать входное коле- бание на время преобразования. Последовательное приближение. В этом распространенном способе осуществля- ется опробование различных выходных кодов путем подачи их на ЦАП и сравне- ния результата с аналоговым входом с по- мошью компаратора (рис. 9.51). Обычно процесс начинается с установки всех раз- рядов в «0». Затем, начиная со старшего значащего разряда, каждый разряд по очереди временно устанавливается в «1». Если выходное напряжение ЦАП не пре- вышает напряжения входного сигнала то этот разряд остается в состоянии «1», в противном случае он возвращается в
650 Глава 9 Рис. 9.50. Полупараллельный АЦП. Цифровой выход (вразр.) Рис. 9.51. АЦП с последовательной аппроксимацией «0». Для «-разрядного АЦП потребуется и таких шагов. Происходящий процесс можно описать как процесс бинарного поиска, начинающегося с середины. А/Ц- модуль с последовательным приближе- нием имеет вход «Начало преобразова- ния» и выход «Конец преобразования»- Цифровой выход всегда выдается в парал
Сопряжение цифровых и аналоговых сигналов 651 ° 6 Рис. 9.52. Сигналы при 8-битовой последовательной аппроксимации. (С разрешения П. Эмери, Р. Ловетта и К. Рудина.) а-аналоговый выход, сходящийся к конечному значению: обратите внимание на синхроимпульсы; б-полное «дерево». лельной форме (все разряды сразу по п отдельным выходным линиям), а иногда и в последовательной форме (п последова- тельных выходных битов, начиная с СЗР, по одной выходной линии). В нашем курсе по схемотехнике студен- ты конструируют АЦП с последователь- ным приближением в полном объеме вме- сте с ЦАП, компаратором и управляю- щей логикой. На рис. 9.52, а показано восемь импуль- сов синхронизации и выходные сигналы на ЦАП, следующие друг за другом по мере того как аналоговый выход, подвер- гаемый проверке, сходится к входному напряжению. На рис. 9.52, б показано пол- ное 8-разрядное «дерево»,- прекрасная картинка, которую вы можете получить, наблюдая за выходом ЦАП, при подаче на вход медленно меняющегося линейно- го напряжения по всему диапазону вход- ного аналогового сигнала. АЦП с последовательным приближе- нием являются сравнительно точными и быстрыми и требуют всего и установок на ЦАП для обеспечения «-разрядной точно- сти Типичное время преобразования ко- леблется в диапазоне от 1 мкс до 50 мкс при точности от 8 до 12 разрядов: цена его составляет 10-400 долл. Этот тип преобразователя работает на коротких выборках из входного напряжения и если его входной сигнал меняется во время преобразования, то ошибка не превышает величину происходящего за это время изменения; однако выбросы на входном сигнале катастрофичны. Несмотря на общую вполне допустимую точность, эти преобразователи могут иметь довольно необычные нелинейности и «пропущенные коды». Фирма National Semiconductor в своих преобразователях серии ADC0800 для исключения пропущенных кодов исполь- зует хитроумный прием: вместо много- звенного ЦАП “R-2R" используются це- почка из 2" резисторов и аналоговые клю- чи (рис. 9.53); эта схема генерирует прове- рочные аналоговые напряжения по типу мгновенного шифратора. Существует вариант АЦП этого типа, известный как «следящий АЦП», в кото- ром для формирования последовательных проверочных кодов используется реверсив- ный счетчик: он сравнительно медленный, если учитывать скачки входного сигнала, но быстрее отслеживает плавные измене- ния. чем преобразователь с последова- тельным приближением. Преобразование напряжения в частоту. В данном методе входное аналоговое напряжение преобразуется в выходную импульсную последовательность, частота которой пропорциональна входному на- пряжению Это можно осуществить про- стым зарядом конденсатора током, про- порциональным входному уровню, и по- следующим его разрядом при достижении
652 Глава 9 Рис. 9.53. ЦАП на основе резисторной лестничной цепи и дерева коммутаций: без пропуска кодов. + ^ОП.ВХ заранее установленного порога. Для по- вышения точности обычно применяют обратную связь. По одному из способов выход схемы частота-напряжение срав- нивается с входным аналоговым уровнем и осуществляется генерация импульсов на частоте, которая позволяет выравнять входные уровни компаратора. В более распространенных методах используется так называемый прием «выравнивания за- рядов»; позже мы опишем это более под- робно (в частности, метод «распределения заряда, накопленного на конденсаторе»). Обычно частоты на выходе схемы на- пряжение-частота находятся в диапазоне от 10 кГц до 1 МГц (последнее значение для максимального входного напряже- ния). Выпускаются коммерческие преоб- разователи напряжения в частоту с разре- шающей способностью, эквивалентной 12 разрядам (точность 0,01%). Например, превосходный пребразователь AD650 фир- мы Analog Devices (разд. 5.15) имеет типо- вую нелинейность 0,002% при работе от 0 до 10 кГц. Он не дорогой и очень удобен для случаев, когда выходной сигнал дол- жен передаваться в цифровом виде по кабелю или когда требуется выходная частота (а не цифровой код). Если ско- рость не играет большой роли, то путем подсчета выходной частоты за фиксиро- ванный интервал времени можно полу- чить число в цифровом представлении, пропорциональное среднему входному уровню. Этот способ широко использу- ется в цифровых измерительных приборах средней точности (3 цифры). Одностадийное интегрирование. В этом способе в начале преобразования запуска- ется внутренний генератор линейного на- пряжения и одновременно для подсчета импульсов стабильного генератора такто- вых импульсов счетчик. Когда линейно меняющееся напряжение сравнивается с входным уровнем, компаратор останав- ливает счетчик; результат на счетчике оу- дет пропорционален входному уровню, т. е. это и есть цифровой выход. Принцип работы представлен на рис. 9.54. В конце преобразования схема разря- жает конденсатор и сбрасывает состояние счетчика; преобразователь готов к очеред- ному циклу преобразования. Способ одно- стадийного интегрирования достаточно прост, но он не используется, если необхо- дима высокая точность, поскольку в этом
Сопряжение цифровых и аналоговых сигналов 653 Рис. 9.54. Одностадийный АЦП. случае выдвигаются слишком жесткие тре- бования к стабильности и точности кон- денсатора и компаратора. Метод «двух- стадийного интегрирования» позволяет избавиться от этих проблем; в настоящее время его обычно применяют, если требу- ется высокая точность. Одностадийное интегрирование все еше живет и благоденствует особенно, в тех областях, где не требуется абсолютная точность, а необходимо преобразование с хорошей разрешающей способностью и одинаковыми промежутками между Хорошим приме- смежными уровнями. ром является применение для анализа амплитуд импульсов (см. разд. 15.16), где амплиту ха импульса фиксируется с по- мощью пикового детектора и затем пре- образуется в некоторый адрес. Сущест- венную роль здесь играет равенство ши- рины каналов, поэтому применение пре- образователя с последовательным приб- лижением было бы в общем случае не- удобно. Способ одностадийного интегри- рования используется также при преобра- зовании временных интервалов в ампли- туду. 9.21. Методы уравновешивания заряда Существует несколько методов, обшей особенностью которых является примене- ние конденсатора для отслеживания отно- шения уровня входного сигнала к эталон- ному. Во всех этих методах осуществля- ется усреднение (интегрирование) входно- го сигнала на фиксированном интервале времени, относящемся к одному измере- нию. При этом есть два важных преиму- щества: 1. Поскольку в этих методах и для сигнала, и для эталона используется один и тот же конденсатор, они не предъявля- ют высоких требований к стабильности и точности конденсатора. Требования к компаратору также не слишком жесткие. В результате для компонентов эквива- лентного качества можно получить более высокую точность или такую же точ- ность, но за более низкую пену. 2. Выходной сигнал пропорционален среднему значеню входного напряжения на (фиксированном) интервале времени интегрирования. Выбирая этот интервал времени равным кратному периода сете- вого напряжения, можно сделать преобра- зователь нечувствительным к фону пере- менного тока 60 Гц (и его гармоник) на входном сигнале. Результируюшая чувст- вительность к сигналам помех как функ- ция от частоты показана на рис. 9.55 (интервал интегрирования 0.1 с). Такое подавление сетевых помех часто- той 60 Гц требует точного управления временем интегрирования. поскольку
654 Глава 9 Частота, Гц Рис. 9.55. Подавление помех интегрирующим АЦП. ошибка в доли процента тактовой часто- ты приведет к неполному устранению фо- на. Одной из возможностей реализации является применение кварцевого резона- тора. В разд. 9.29 вы познакомитесь с изящным методом синхронизации работы интегрирующего преобразователя с час- тотами, кратными частоте сетевого на- пряжения для обеспечения потного по- давления фона. Недостатком преобразования с интег- рированием по сравнению с последова- тельным приближением является невысо- кая скорость преобразования. Двухстадийное интегрирование. Этот изящный и очень распространенный спо- соб избавляет вас от большинства проб- лем, связанных с конденсатором и компа- ратором и присущих одностадийному интегрированию Принцип преобразова- ния иллюстрируется рис. 9.56 Сначала в течение фиксированного интервала вре- мени происходит заряд конденсатора то- ком, точно пропорциональным входному уровню; затем конденсатор разряжается постоянным током до тех пор. пока на- Рис. 9.56. Цикл двухстадийного преобразования. пряжение на нем вновь не станет равным нулю. Время разряда конденсатора будет пропорционально входному уровню, оно используется для того, чтобы привести в действие счетчик, на который подаются тактовые импульсы фиксированной часто- ты. Окончательное состояние счетчика бу- дет пропорционально входному уровню- т.е. это и есть цифровой выход. С помощью двухстадийного интегриро- вания можно добиться очень хорошей точности, не предъявляя слишком жест- ких требований к стабильности компонен- тов. В частности, стабильность емкости конденсатора может быть не высокой, поскольку циклы заряда и разряда про- исходят со скоростью, обратно пропор- циональной емкости. Более того, ошибки дрейфа и смещения компаратора компен- сируются благодаря тому, что каждый цикл преобразования начинается и закан- чивается на одном и том же напряжении, а в некоторых случаях и с одинаковым наклоном В самых точных преобразова- телях циклу преобразования предшест- вует цикл «автокоррекции нуля», в тече- ние которого на вход преобразователя подается нулевой сигнал. Поскольку на этой фазе используется тот же интегратор и компаратор, вычитание выходного зна- чения «ошибки при нуле» из результата последующего измерения позволяет ком- пенсировать ошибки, связанные с измере- ниями вблизи нуля. Однако при этом не происходит коррекция ошибок по всей шкале. Заметьте, что в двухстадийном преоб- разовании не предъявляются жесткие тре- бования даже к частоте тактовых импуль- сов, так как фиксированный интервал вре- мени на первой фазе измерении формиру- ется из тех же тактовых импульсов, кото- рые используются для счета в прямом направлении. Если частота тактовых импульсов уменьшится на 10%, то на- чальный наклон будет на 10% выше нор- мального, а время спада на 10% выра- стет. Так как измерение осуществляется по тактовым меткам, а их частота снизи- лась на 10% по сравнению с нормальной, окончательное состояние счетчика буДет тем же самым. В двухстадийном преобра-
Сопряжение цифровых и аналоговых сигналов 655 зователе с автокоррекцией нуля жесткие требования к стабильности предъявляют- ся только к току разряда. Прецизионные эталонные источники тока и напряжения получить довольно просто, причем в этом типе преобразователя масштабный коэф- фициент устанавливается регулируемым эталонным током. При выборе компонентов для двухста- дийного преобразования ориентируйтесь на высококачественный конденсатор с ми- нимальной остаточной поляризацией ди- электрика (эффект «памяти»; см. модель на рис. 4.42)-полипропиленовые, поли- эфирные или тефлоновые конденсаторы в этом отношении лучше. Хотя эти кон- денсаторы и не являются поляризованны- ми, их внешнюю фольгу следует подклю- чить к низкоимпедансной точке (выход операционного усилителя интегратора). Для минимизации ошибок величины R и С выбирайте таким образом, чтобы использовать весь аналоговый диапазон интегратора. На высокой частоте такто- вых импульсов разрешающая способность улучшаете , однако при сильном увеличе- нии частоты период тактовых импульсов может стать короче времени отклика ком- паратора. При использовании прецизионного двухстадийного преобразователя (как, впрочем, и любого прецизионного преоб- разователя) важно исключить цифровые помехи на пути прохождения аналоговых сигналов. Преобразователи обычно снаб- жаются для этих целей раздельными вы- водами «аналоговой земли» и «цифровой земли» Во многих случаях на цифровых входах полезно поставить буферы (ска- жем, октальный формирователь ’244 с тремя состояниями, работающий только при считывании выхода) для того, чтобы запж~ит преобразователь от цифровых шумов микропроцессорной шины (см. следующую главу). В крайнем случае, для того чтобы «отгородиться» от помех очень «грязной» птины, можно использовать оптроны. Постарайтесь обеспечить соот- ветствующую развязку по питанию на ИС Преобразователе Постарайтесь не вно- сить помех в конечной критической точке интегрирования, где линейное изменение достигает точки переключения компара- тора: некоторые преобразователи, напри- мер, допускают проверку конца преобра- зования путем считывания выходного слова; не пользуйтесь этим! Лучше исполь- зуйте отдельную соответствующим обра- зом изолированную линию ЗАНЯТО. Двухстадийное интегрирование нахо- дит широкое применение в цифровых уни- версальных измерительных приборах, а также в преобразовательных модулях с разрешающей способностью от 10 до 18 разрядов. Там, где не требуется высокое быстродействие, этот способ обеспечивает хорошую точность и высокую стабиль- ность при низкой стоимости и обладает превосходной помехоустойчивостью к се- тевым (и другим) помехам. Используя модуль на основе двухстадийного интег- рирования, вы получаете наивысшую точ- ность при заданных затратах. При увели- чении входного сигнала коды цифрового выхода возрастают строго монотонно. Дельта-сигма-преобразователи. Сущест- вует несколько методов А/Ц-преобразо- вания, в основе которых лежит принцип нейтрализации входного тока (среднего) сигнала с помощью переключаемого внут- реннего источника тока или заряда. На рис. 9.57 показана функциональная схема дельта-сигма-преобразователя. Входное напряжение поступает на интег- ратор, выходной сигнал которого сравни- вается с любым фиксированным напряже- нием, например нулем. В зависимости от выходного сигнала компаратора импуль- сы тока фиксированной длительности (т. е. с фиксированным приростом заряда) подключаются при каждом изменении тактовых импульсов либо к суммирующе- му входу, либо к земле, что позволяет поддерживать нулевой средний ток на суммирующем входе. Это-принцип урав- новешивания. Счетчик отслеживает число импульсов подключения к суммирующе- му входу в пределах некоторого заданно- го числа тактовых импульсов, допустим, 4096 Полученное число будет пропорцио- нально среднему входному уровню за 4096 тактовых импульсов, т. е. это и будет выходным кодом. В дельта-сигма-преобразователях мож-
656 Глава 9 но использовать также импульсы тока, сформированные с помощью резистора и стабильного эталонного напряжения, поскольку суммирующая точка находится фактически на уровне потенциала земли. В этом случае необходимо, чтобы сопро- тивление замкнутого ключа было меньше последовательного резистора и его откло- нения не вызывали дрейфа. АЦП с коммутируемым конденсатором. С методом выравнивания заряда тесно связан метод «с распределением заряда, накопленного на конденсаторе» или А/Ц- преобразования с «коммутируемым кон- денсатором». В этом методе с помощью периодически повторяющегося заряда конденсатора от стабильного эталонного напряжения создается заряд определен- ной величины, затем происходит разряд на суммирующую точку. Как и ранее, к выходу интегратора подключен компа- ратор. который управляет частотой пере- ключения конденсатора. Этот метод об- ладает определенными преимуществами для схем с питанием от одного источника напряжения, поскольку действующую по- лярность заряда, передаваемого от кон- денсатора к суммирующей точке, можно изменить с помощью ключей на полевых транзисторах, соединенных соответствую- щим образом (т. е. путем коммутации обеих обкладок конденсатора). Примером преобразователя, основан ного на этом методе, является преобразо- ватель напряжения в частоту LM331, ха- рактерная особенность которого состоит в том, что он работает от одного источни- ка + 5 В Мы рассказывали о его примене- нии в качестве генератора, управляемого напряжением, в разд. 5 14. Замечания по поводу интегрирующих АЦП. Как и в А/Ц-преобразователях на основе двухстадийного интегрирования, во всех преобразователях с уравновешива- нием заряда происходит усреднение вход- ного сигнала на фиксированных интерва- лах времени, поэтому их можно сделать нечувствительными к сетевым помехам на основной частоте 60 Гц и ее гармониках. Методы уравновешивания заряда харак- теризуются в основном точностью и низ- кой стоимостью (для их реализации не нужно, например, очень хорошего компа- ратора) и обеспечивают строго монотон- ный выход. Вместе с тем по сравнению с последовательным приближением они довольно медленны. Преобразователь AD1170 обеспечивает разрешающую спо- собность 18 разрядов при времени преоб- разования 66 мс; стоит он около 100 долл Для сравнения 16-разрядный преобразо- ватель с последовательным приближе- нием AD76 имеет время преобразования 15 мкс и стоит 120 долл. В отличие от
Сопряжение цифровых и аналоговых сигналов 657 Рис. 9.58 Преобразователь напряжения в частоту с уравновешиванием заряда. двухстадийного интегрирования в мето- дах дельта-сигма-преобразования и с ком- мутируемым конденсатором используют- ся компараторы низкой точности, под- ключаемые к интеграторам, однако эти методы требуют точных схем коммута- ции зарядов. В то же время двухстадий- ные методы используют компараторы с высокой воспроизводимостью характери- стик, но не предъявляют высоких требова- нии к ключам, по крайней мере в отноше- нии скорости и инжекции заряда Продол- жая наше сравнение реальных приборов отметим что многостадийный 22-разряд- ный преобразователь AD1175K обладает временем преобразования 50 мс и стоит 800 долл (разд. 9.22) Одной из интересных особенностей лю- бого способа интегрирования (одно- и двухстадийное интегрирование и уравно- вешивание заряда), о которой следует пом- нить, является то, что интегратор может иметь вход как по току, так и по напряже- нию с последовательно вк люченным рези- стором. Действительно, некоторые преоб- разователи имеют два входных вывода, один непосредственно подключенный к суммирующей точке для связи с устройст- вом, представляющим собой источник то- ка При использовании токового входа напряжение сдвига интегратора становит- ся несущественным, в то время как вход по напряжению (с внутренним последова- тельным резистором) операционного уси- лителя интегратора дает ошибку, равную наряжению сдвига по входу. Поэтому то- ковый вход удобен для получения широ- кого динамического диапазона, особенно если АЦП используется вместе с устрой- ством, имеющим какой-нибудь токовый выход, например фотоумножители и фо- тодиоды. Остерегайтесь таких образчиков «Попался!», как: точность АЦП может быть задана для токового входа, хотя преобразователь имеет и токовый вход и вход по напряжению; не рассчитывайте на хорошие параметры при малых сигналах, когда вы используете такой преобразова- тель по входу напряжения. Следует отметить, что все методы урав- новешивания заряда включают в себя точ- ный преобразователь напряжения в часто- ту и могут использоваться в качестве таковых, если требуется частотный выход (рис. 9.58). 9.22. Некоторые необычные АЦП и ЦАП Стоит кратко упомянуть о четырех послед- них разработках фирмы Analog Devices, признанного лидера в области преобразо- вательных ИС и модулей. Комбинированный ЦАП/АЦП AD7569. В монолитной ИС AD7569 на одном кри- сталле объединены 8-разрядные АЦП и ЦАП со схемой выборки и хранения, внут- ренним тактовым генератором и источни- ком опорного напряжения (рис. 9 59). АЦП с последовательным приближением осуществляет преобразование за 2 мкс.
658 Глава 9 CLK RESET RANGE INT BUSY Рис. 9.59. Комбинированный 8-разрядный ЦАП/АЦП AD7569. (С разрешения фир- мы Analog Devices.) Чх uBX DGNX ST RT> CS WR DBO DB7 AGNDadc AGNDdac Ч»ц а ЦАП формирует выходное напряжение с типовым временем установки 1 мкс. Эта ИС предназначена в основном для микро- процессорных систем: преобразователи совместно используют один 8-разрядный цифровой порт с подходящими управляю- щими сигналами и быстрым тактирова- нием (в отличие от большинства медлен- ных ИС преобразователей, которые тре- буют дополнительных состояний «ожида- ния» и имеют не совсем подходящее вре- мя установки), а сам кристалл работает только от одного источника питания + 5 В Более того, не требуется внешних компонентов и подстройки; схема разме- щена в удобном 24-выводном корпусе типа «узкий DIP», имеет небольшое по- требление (60 Вт) и подходящую цену (6 долл, в партии по 100 шт.). 22-разрядный интегрирующим ЛЦПА1) 1175. В этом впечатляющем модуле (рис. 9.60) используется многостадийное интег- рирование с автокоррекцией нуля для то- го, чтобы получить точность 22 разряда (6 1 и - цифр) при необычной скорости преоб- разования (20 преобразований в секунду). Чтобы получить представление о том, что это значит, рассмотрите другой вариант - настольный (или стоечный) измеритель- ный прибор, стоимость которого, как пра- вило, достигает 4000 долл, и который выполняет 2 преобразования в секунду. Для сравнения: AD1175 занимает объем 10 куб. дюймов, потребляет 3 Вт и стоит 800 долл. Он содержит внутренний микро- процессор и допускает грубую установку усиления и сдвига по своей цифровой шине (которая используется и для ввода команд и для вывода преобразованных данных). Преобразователи для видеосигналов HDG0807 и AD9502. Эти преобразовате- ли-это как раз то, что нужно для цифро- вых видеосигналов (рис. 9.61 и рис. 9.62). Преобразователь HDG0807 представляет собой 8-разрядный ЦАП с уровнями стан- дартных видеосигналов и с выходным импедансом 75 Ом. Более того, он да- же формирует правильные «композитные синхроимпульсы», совмещаемые с анало- говым видеосигналом для образования полного выходного видеосигнала. Преоб- разователь полностью готов к примене- нию. обладает высоким быстродействием (до 50 МГц) и доступен. Монолитной основой этого гибридного преобразовате- ля является схема AD9700; работает она на частотах до 100 МГц. АЦП для видеосигналов AD9502 вы- полняет обратную работу, а именно, осу- ществляет дискретизацию входного ви- деосигнала. Он выделяет из аналогового композитного сигнала синхроимпульсы, использует контур ФАПЧ для формиро-
Сопряжение цифровых и аналоговых сигналов 659 -15B RTN&GND (49 il5BRTN&GND(48 USER REF OUT +15B ANA IN HI ANA IN LO Энергонезав. память REF оит REF IN SIGNAL RTN -15В AD1175K DIG GND DBO 63 DB7 62 19 61 20 59 21 58 22 DATA RDY АО А1 CONV CMD RE5ET 60Гц/50ТЦ Не подключ +5В DIG GND Внутр.источн ЧюИБУФеРь' Прециз многостад. микро- код ПЬЮТ. \r-i/ Заказной КМОП- кристалл контроллера и буфер ввода / вывода RD WR cs 24) BUSY Рис. 9.60. 22-разрядный ин- тегрирующий АЦП AD1175K. (С разрешения фирмы Analog Devices.) +5 (все резисторы заисключ указанных 2 кОм) HDG-0807 Токовое зеркало ® D/А OUTPUT (24) ANALOG GROUND (g) GLITCH AN JUST 5б) CURRENT SET SETUP Рис. 9.61. ЦАП композитного видео- сигнала HDG0807. (С разрешения фирмы Analog Devices.) вания синхроимпульсов элементов изоб- ражения синхронизированных с разверт- кой, и затем преобразует аналоговое на- пряжение в 8-разрядную цифровую вели- чину Он может выполнять преобразова- ние на частоте до 13 МГц. генерируя выходы в цифровом виде с экранным разрешением 512 х 512
Таблица 9.5. Аналого-цифровые преобразователи 6 * Тип о g о Корпус0’ Напряже- Ток пита- R « к * ние пита- ния тип., у a js s ’ £ □ - ния, В мА “ Q. S S U О -г S F О 25 S ® О р ° М si л ’S S У S S8 Uon О. Диапазон вход- * него напряже- = ния, В 0J о X о О. X с О + HS9582 HS 6 M 0,07 18 + 5 30 Внешн. 1-5 + 0- и TDC1047 TR 7 M 0,05 24 + 5; -5,2 + 20; -140 Внешн.-1 — o-iT ADC0844 NS 8 ПП 40 20 + 5 1 Внешн. 1 5 + 0-17 ;2U on on ADCO831 NS 8 ПП 32 8 + 5 1 Внешн. 1-5 + 0-С7 ; 2U on on TLC548 TI 8 ПП 22 8 + 5 1.9 Внешн. + 0-5 AD670 AD 8 ПП 10 20 + 5 30 Внутр. — 0,25; 2,5 AD7575 AD 8 ПП 5 18 + 5 3 Внешн. 1,2 — °-217оп ADC0820 NS 8 ПМ 2,5 20 + 5 8 Внешн. 1-5 + 0-17 ; 217 AD7820 AD 8 ПМ 1,6 20 + 5 8 Внешн. 1-5 + 0-L7 °n on HS9583 HS 8 M 0,2 24 + 5 20 Внешн. +5В + 0-5 AD9002 AD 8 M 0,007 28 -5,2 150 Внешн. — от —2 до 0 AD770 AD 8 M 0.005 40 + 5; -5.2 270, 125 Внешн. — от —1 до 1 СХА1176К SO 8 M 0,003 68и) -5,2 300 Внешн. — от —2 до 0 TDC1049 TR 9 M 0,03 64 -5,2 950 Внешн.-2 от —2 до 0 HADC77600 HO 10 M 0,02 72” + 5; -5,2 + 440, -380 Внешн. ±2 — ±0,5; ±2 ADC1001 NS 10 ПП 200 20 + 5 1,5 Внешн. 1-5 + 0-17 ; 217 on оп AD573 AD 10 ПП 20 20 + 5; -12 15, —9 Внутр. — 0-10; от —5 до 5 AD7578 AD 12 ПП 100 24У + 5: ±12 0.1; ±3 Внешн. +5В + 0-5 AD574A AD 12 ПП 25 28 + 5; -г 12 30, +2, -18 Внутр. 10,0 — 0-10; ±5; ±10 ADC80 BB 12 ПП 25 32 + 5; ±12 11, -г 5, -21 Внутр. 6,3 — 0-5; 0-10; ±5; AD7572 AD 12 ПП 5 24У + 5, -15 5, -10 Внутр.-5.2 — ±10 0-5 AD7672 AD 12 ПП 3 24У + 5, -12 7, -12 Внешн.- 5 — 0-5; 0-10; ±5 AD578 ADC511 AD + DA 12 ПМ 1 24 + 5, ±15 -22 65, ±25 Внутр. — ±ю 0-10; ±5 AD9003 AD 12 ПМ 1 40 + 5, ±15 2.5 Вт Внутр. — 0-5 ТНС1201 TR 12 ПМ’ 0,1 46 — 15 160 Внутр. — от —1 до 1 CAV1220 AD 12 ПМ 0,05 печ. пл. ±5. ±15 20 Вт Внутр. — zrl TLC1205В TI 13 ПП 10 24 — 5 3 Внешн — ±5 ICL7115 IL 14 ПП 40 40 ±5 ±2 Внешн. + 5 В 4- 0-5 ADC71 AD 16 ПП 50 32Г + 5. ±15 70, ±20 Внутр. 6,3 В — 0-5; 0-10; ±5; ADC76 СХ20018 BB + SO 16 16 ПП ДС 15 9 32 28 + 5, ±12 ±5 10, +14. -17 10, 100 Внутр. Внешн. — 0-5; ОНО; ±5; ±ю — 10 ADAM-826-3 AN 16 ПП 1,5 печ. пл. -5, -6, 3 Вт Внутр. — 0-10; ±Ю MN5420 MN 20” ПП 3 40Г ±15 + 5, ±15 + 400, ±150 Внутр. — ±5 а* См. сноску к табл. 4.1. 61 ДС-двухстадийный; М-мгновенный; ПМ -полумгновенный; ПП-с последова- тельным приближением. ” Все корпуса DIP. за исключением помеченных; Г-гибридный; У-узкий D1 ” АК-с автокоррекцией нуля; НТ - подстройка не требуется. д) 20 кОм с использованием внутреннего усилите-
Вход Выход 6 5s Z В/3? 3 1ДОВ е? X о П X л с £ Тактовый 5 4 3 « о. 3 £ генератор X О Q & & -§• g S о EI — — к о. ° g о о ° 0J Последова Стой мост вый корпу Примечания оо НТ 6 + — Внешн. 15 Быстрый 100 кОм — — НТ 7 + — 40 Выход с запоминанием оо АК 8 + — Внутр. 3,85 4-входовый мультиплексор; прост в при- менении; + 5 В 00 + — АК — — + Внешн. 2,70 Небольшой; дополнительная логика не требуется 1 мА + АК — — + Внутр. Прост в применении, в корпусе мини- DIP оо + — НТ — + — Внутр. 6 Приборный вход с усилением 00 + НТ — + — Внешн. RC 5,50 Быстрый 00 + НТ — + — Внутр. Выход с запоминанием; с переполнением оо + НТ — + — Внутр. 10 Быстрый; версии на 4 и 8 каналов; с переполнением 100 кОм НТ — + — Внешн 44 Быстрый 20 кОм НТ — — — 90 Быстрый, маломощный мгновенного ти- па, без запоминания и переполнения 3,3 кОм - НТ 8 •> 175 Быстрый без запоминания и переполне- ния НТ — — — Чемпион мира по быстродействию 16 кОм — + 10 — — — 9-разрядный мгновенного типа 1 кОмл) — — + 10 — — — Мгновенного типа с самым высоким раз- решением ос + АК 8 + 2 + — Внешн. RC 5-вольтовый, минимум дополнительной логики 5 кОм — НТ 8 + 2 + — Внутр. 14 Быстрый, дополнительная логика не тре- буется ос АК 8 + — Внешн. RC 20 Маломощный, дешевый 5 кОм + 8 + 4 + Внутр. 28 Выход U ; классический 5 кОм 12 — + Внутр. 33 Выход l/on, классический, выход такт. генератора 2,5 кОм нт 8 + 4 + Внутр. 46 Быстрый, дополнительная логика не тре- буется, выход такт, генератора 5 кОм — — — 8+4 + — Внешн. RC 75 Быстрый 5 кОм — нт 12 — + Внутр. 100 Быстрый, доп. логика не требуется, вы- ход U , выход такт. ген. 2.5 кОм нт 12 + — Внутр. 99 е» Отсутствуют коды пропуска по темпе- ратуре 1 кОм - нт 12 — — Внутр. 250 - нт 12 — — — Очень быстрый, прост в использовании 1 кОм - нт 12 Внутр. 2500 Самый быстрый Г 1 мкА 8 + 5 — Внешн. 30 Г 5 кОм АК 8 + 6 и. Внешн. 50 Основание 1,85 плюс внутреннее ПЗУ г 5 кОм — + 16 -L Внутр. 63 Пром, стандарт, вывод такт. ген., вывод опорн. напр. 2.5 кОм + 16 — -+- Внутр. 100 Пром, стандарт; AD376 10 кОмж1 *- + — Д- Внешн. 18 Цифровая звукотехника, два канала 1.4 кОм нт 8 + 8 + — Внутр. Модуль; версии без ВЗ и буфера 5 кОм — — 16 + — Внешн. Плавающая запятая: показатель 4 разр.. мантисса 12 разр. ля е) В партии из 100 шт. ж) Необходим внешний ОУ С плавающей запятой. Безвыводной кристаллодер- жатель. С матричным расположением штырьковых выводов.
662 Глава 9 Рис. 9.62. АЦП компо- зитного видеосигнала типа AD9502. (С разрешения фир- мы Analog Devices.) 9.23. Выбор АЦП Как и в случае ЦАП, мы сгруппировали АЦП (табл. 9.5 и табл. 9.6) так, чтобы охватить весь диапазон технических ха- рактеристик и стоимости. Мы старались включить как наиболее распространенные блоки, так и те, которые появились недав- но и выйдут победителями в следующем году. При выборе АЦП следует учитывать ряд факторов: а) точность, б) быстродей- ствие, в) точность установки (требуется ли подстройка, гарантируется ли монотон- ность), г) необходимые питающие напря- жения (некоторые работают только от + 5 В) и мощность рассеивания, д) не- большой корпус, е) источник опорного напряжения и генератор тактовых импуль- сов (внутренний или внешний? Если внеш- ний опорный источник, то подойдет ли + 5 В? Если внутренний, то доступен ли он снаружи, например для логометриче- ских измерений? Хорошо ли это? Можно ли его нагрузить?), ж) входной импеданс и диапазон аналогового напряжения (одно- полярный. двухполярный или и то, и дру- гое?), з) входная схема (дифференциаль- ный? Внутренний мультиплексор или вы- борка с запоминанием? Инвертированная полярность, т. е. более отрицательный сиг- нал для большего выхода?), и) выходная схема (параллельный, последовательный, либо и то, и другое? Является ли парал- лельный выход совместимым с микропро- цессором как часть отдельно активизируе- мых байтовых групп?) и, конечно, к) стои- мость. Полные А/Ц-подсистемы. Если вам нуж- ны АЦП высокой точности, особенно с входным мультиплексором и выборкой с запоминанием, вы должны внимательно присмотреться к «А/Ц-подсистемам», ко- торые предлагают ряд изготовителей. Они представляют собой обычно модули (а не ИС), выполненные в виде металличе- ской коробки высотой 0,4 дюйма с раз- мерами 2x4 дюйма (или 3 х 5); выводы модуля сопрягаются с помощью специаль- ной колодки (или запаиваются непосред- ственно в печатную плату). Эти преобра- зователи не дешевы, но они исключитель- но просты в использовании. Более того, изготовители решили ряд действительно сложных проблем, которые не позволяли осуществить преобразование с высокой разрешающей способностью - наводки, изоляция цифровых и аналоговых узлов,
Таблица 9.6. Интегрирующие аналоге цифровые преобразователи 2 5 § 58 S' X L> (ж ^ЧЮОИГИЮЭИЯОЭ ч О МЭИНКОХЭОЭ W-£ Э 3 са игчнчтгэтпгвОвц Hi4H4LT?HnHada4xj)Hft' ч о i.BXHOd.LOE'On аа о № бЯ S эинэжкйпрн эонбоио 3>= (BoXndo^ ЛпнЛяээ я HHEreaocBdgoadij (e KHHBHOtEdgoadn rroxaj\ flOUKdcEd ояюаыпгоу! Э1\И ояюэышоу! ягэ1ияо±ол£]4 а) См сноску к габл. 4 1 *” УЗ по методу уравновешивания заряда. ДС двухстадийный, ТС-трехстадийный, ЧС-четырехстадийный. в) М-модульное исполне- ние. г> Плюс дополнительный знаковый разряд. д) Плюс ИМС 8068. е) Программируемая скорость преобразования; приведено максимальное значение, при котором снижается разрешающая способность Полную разрешающую способность можно получить при скорости 50 преобразований/с. ж) С универсальным асинхронным приемопередатчиком
664 Глава 9 СН15 Аналог входы от О до ±10 В N-вход усил. Выход вывран. канала Вх. выбор канала АЗ Смена канала Загрузка Сврос Начало преовр. СН1 СНО А1 АО АЗ А2 А1 АО Рис. 9.63. Модульная АЦП-система DT5716 стабильное опорное напряжение, сдвиги усилителя и т.п. Типичным представителем этих устройств является DT-5716 фирмы Data Translation (рис. 9.63). Этот модуль имеет 16 несим- метричных (или 8 дифференциальных) вхо- дов с аналоговым мультиплексором на входе, за которым следуют схема выбор- ки и запоминания, усилитель с регули- руемым коэффициентом усиления и 16- разрядный АЦП. Он может осуществлять преобразование на частоте 20 кГц и имеет двухбайтовую организацию выхода, кото- рая упрощает сопряжение с микропроцес- сорной шиной (см. гл. 10 и 11). Модули А/Ц-подсистем выпускаются с разрашающей способностью от 12 до 16 разрядов как с входным мультиплексо- ром, так и без него. Вы действительно платите за высокую точность и высокое быстродействие и большинство выпускае- мых модулей существенно дешевле, чем упомянутые ранее блоки. К примеру, мо- дули серии DASH57-9 фирмы Analog De- vices представляют собой однскана ьные преобразователи с разрешающей способ- ностью от 14 до 16 разрядов и пропускной способностью, соответствующей 18 кГц; стоят они меньше 300 долл, в партии из 100 шт. Вы можете приобрести преобра- зовательные модули нескольких фирм, включая Analog Devices, Analogic, Data Translation и Intech. ПРИМЕРЫ A TI-ПРЕОБРАЗОВАНИЯ 9.24. 16-канальная А/Ц-система сбора данных На рис. 9.64 показана схема, предназна- ченная для преобразования в цифровую форму любой из 16 аналоговых входных величин с 12-разрядным кодом на выходе. С ее помощью можно организовать «пе- редний край» в эксперименте сбора дан- ных под управлением микропроцессора.
Сопряжение цифровых и аналоговых сигналов 665 Рис. 9.64. 12-разрядный 16-канальный ЛЦП последовательного приближения (50 мкс на преобразование).
666 Глава 9 ИС Ш-506 представляет собой 16-ка- нальный аналоговый МОП-мультиплек- сор с цифровыми входами, совместимыми с МОП-логикой. Этот своеобразный муль- типлексор имеет несколько очень прият- ных свойств. В частности, его ключи явля- ются разновидностью ключей с «размы- канием перед срабатыванием». Это озна- чает, что при смене адреса на мультиплек- соре различные входные каналы не замы- каются друг с другом. Более того, вход- ные сигналы могут уходить за пределы напряжения питания и при этом не будет возникать эффект «тиристорного защел- кивания» или перекрестные помехи между входами. Учтите эти соображения, когда будете подыскивать себе линейные ключи. Они иногда могут породить проблемы. Например, «размыкание перед срабатыва- нием» снижает время переключения, по- скольку «срабатывание» необходимо за- держать для того, чтобы дать возмож- ность ключу разомкнуться. Единственный аналоговый выход муль- типлексора поступает на схему LF398- монолитный усилитель с выборкой и за- поминанием (рис. 4.41) в удобном 8-вы- водном корпусе DIP (цена 2 долл.). Эта ИС используется как схема «слежения и запоминания», фиксирующая аналоговое колебание только с началом преобразо- вания. С использованием конденсатора 1000 пФ выход схемы устанавливается до 1 МЗР за 0,5 мкс и снижается менее, чем на 3 мкВ за последующие 12 мкс преобра- зования AD7572-3TO превосходный ма- ломощный 12-разрядный АЦП с внутрен- ними источником опорного напряжения и тактовым генератором; он имеет удоб- ные управляющие сигналы для сопряже- ния с микропроцессором, включая воз- можность мультиплексирования 12-раз- рядного результата на 8 линий («байтовая шина данных») за два последовательных цикла Устройство, управляющее этой схемой, обычно назначает адрес для мультиплек- сора, затем инициирует преобразование с помощью сигналов ВК и ЧТ. АЦП отве- чает выдачей сигнала ЗАНЯТ, который фиксирует аналоговый входной сигнал. Преобразование завершается через 12 мкс и сигнал ЗАНЯТ устанавливается на вы- соком уровне С этого момента доступны все 12 разрядов результата, если вы хоти- те использовать все 12 линий DBblx; однако если у вас 8-разрядная шина, то вы може- те первыми считать 8 младших значащих разрядов, а затем подать сигнал ВЫБОР СТАРШЕГО БАЙТА для того, чтобы пе- редать на Do-D3 4 старших значащих разряда. После инициирования преобразования устройство, управляющее преобразовате- лем, может заняться проверкой сигнала ЗАНЯТ, чтобы увидеть, когда преобра- зование завершится. Более простой ва- риант-это ждать 12 мкс («временной цикл» программы потратит требуемое время; см. гл. 11). Управляющее устройст- во вынуждено ждать 4 мкс после заверше- ния преобразования перед тем, как ини- циировать следующее преобразование; это-«время захвата» ИС LF398, т е вре- мя необходимое для того, чтобы выход вновь отследил вход с точностью до 0,1%. В течение этого времени устройство управления может, конечно, заняться счи- тыванием цифрового выхода. Общее вре- мя преобразования составляет, таким образом, минимум 16 мкс, что равнознач- но 60000 преобразованиям в секунду. По поводу этой схемы стоит сделать несколько замечаний: (а) Для получения полной 12-разрядной точности вы долж- ны обеспечить подстройку сдвига для компенсации трех видов ошибок: 1) UcaB входа В/3 составляет 7 мВ (макс.); 2) полевой транзистор входа В/3 вводит не- большую ступеньку напряжения в режиме ЗАПОМИНАНИЕ, обусловленную инжек- цией заряда в затвор полевого транзисто- ра (разд. 3.12), в данном случае относи- тельно стабильную отрицательную сту- пеньку в 10 мВ, 3) сам АЦП имеет UcaB, определяемое как 4 МЗР (эквивалентно 5 мВ для диапазона входного сигнала 0-5 В). Мы включили схему подстройки для LF398, используя рекомендации изго- товителя. (б) Емкость конденсатора запо- минания определяется путем компромис- са. Небольшая емкость сокращает время
Сопряжение цифровых и аналоговых сигналов 667 захвата, но приводит к большему спаду вершины импульса и большей ступеньке от инжекции заряда. Мы выбрали емкость, которая дает незначительный спад и при- водит к ступеньке в режиме ЗАПОМИ- НАНИЕ, эквивалентной 8 МЗР; ступенька сравнительно стабильна и ее можно ком- пенсировать соответствующей подстрой- кой с помощью регулятора «Подстройка сдвига», (в) Схема приспособлена под однополярные входные сигналы (0-5 В); если необходимо принимать биполярные входные сигналы, то следует добавить схему смещения операционного усилите- ля, позаботясь о том, чтобы удержать ошибки в пределах менее 1 МЗР (1 часть на 4000). Для того чтобы облегчить рабо- ту, схема AD7572 выдает прецизионное опорное напряжение, тем не менее потре- буется еще несколько компонентов, (г) Прекрасным дополнением к такого сорта схемам является усилитель с программи- руемым коэффициентом усиления, так что управляющий микропроцессор может управлять коэффициентом усиления для того, чтобы приспособиться к диапазону амплитуд входного сигнала. ИС AD526 фирмы Analog Devices представляет собой однокристальный прибор с программи- руемым коэффициентом усиления 1, 2, 4, 8 и 16 и точностью усиления 0,02% (точ- ность 12 разрядов); альтернативный ва- риант-ИС LF13006/7 фирмы National со- держит резисторы и ключи на полевых транзисторах (но не сам усилитель) для установки коэффициента усиления от 1 до 128 (с коэффициентами 2) или от 1 до 100 (в последовательности 1-2-5); эти компо- ненты имеют точность коэффициента уси- ления 0,5% (точность 8 разрядов). В этой схеме, естественно, используется АЦП с последовательным приближением, поскольку при переключении от одного входа к другому важную роль играет быстродействие. Мы выбирали компонен- ты, стараясь минимизировать стоимость. Показанная схема будет стоить около 50 долл, по ценам на сегодня; основной вклад в стоимость вносит преобразова- тель-35 долл. 1 9.25. 3^-знаковый цифровой вольтметр На рис. 9.65 представлена схема, в кото- рой использованы преимущества двухста- дийного интегрирования. Почти вся схема цифрового вольтметра, за исключением внешних компонентов для интегратора и генератора тактовых импульсов, точного источника опорного напряжения и устрой- ства отображения, выполнена на однокри- стальной КМОП БИС. Схема ICL7107 при работе использует цикл автоматиче- ского обнуления и даже, более того, фор- мирует все 7-сегментные мультиплексируе- мые выходные сигналы для непосредствен- ного запуска 4-цифрового дисплея на све- тодиодах. Используя на входе внешний аттенюатор (или эталонный источник), вы можете формировать другие полномасш- табные диапазоны напряжений. Метод двухстадийного преобразования очень удобен для работы цифрового вольтмет- ра: он обеспечивает хорошую точность (включая автокоррекцию нуля) и подавле- ние сетевых помех в приборах с усредне- нием при низкой стоимости. Стоимость используемого здесь преобразователя не превышает 20 долл. 9.26. Кулонометр Схема, показанная на рис. 9.66, представ- ляет собой токовый интегратор с уравно- вешиванием заряда, или «кулонометр». Этот прибор можно использовать для измерения интегрального тока (полного заряда) за определенный интервал време- ни; он может найти применение в области электрохимии или для электрофореза. Начнем с нижнего левого угла, где интег- рируемый ток протекает через мощный прецизионный 4-проводный резистор, образуя пропорциональное напряжение. ИМС2 является сравнительно недорогим (менее 5 долл.) прецизионным операцион- ным усилителем с одним источником пи- тания, с низким начальным сдвигом на- пряжения (80 мкВ макс) и малым дрей- фом сдвига во времени и по температуре (менее 2 мкВ на градус и 0,5 мкВ в месяц).
668 Глава 9 Рис 9.65. Однокрисчальный «3 1/2-знаковый» цифровой волымечр с двухстадийным интегрированием. В высокий; Н низкий.
Сопряжение цифровых и аналоговых сигналов 669 Он формирует выходной ток, программи- руемый измеряемым током и запускает зарядоуравновешивающий интегратор на ИМСЗ. С помощью поворотного пере- ключателя на входе выбирается один из пяти декадных пределов чувствительно- сти, причем на любом диапазоне полному входному сигналу соответствует ток 200 мкА в коллекторе Транзистор Tj- это полевой МОП-транзистор (а не биполярный плоскостной транзистор), используемый для исключения ошибки управляющего тока. Схема уравновешивания заряда являет- ся обычной дельта-сигма-схемой с поле- вым р-канальным транзистором Т2, рабо- тающим в режиме обогащения, который выдает порции заряда в соответствии с состоянием триггера ИМС5а после каж- дого такта синхронизации. ИМС56 рабо- тает как одновибратор, увеличивая сос- тояние двоичной пересчетной схемы ИМС7 на каждом такте, в течение которого Т2 находится в проводящем состоянии. Эта схема не подсчитывает какое-то опреде- ленное число тактов синхронизации, а просто накапливает до тех пор, пока не остановится. 4-разрядные счетчики ИМС9 и ИМС10 отслеживают общий заряд и управз яют 8-разрядным дисплеем на све- тодиодах. Если измеряемый ток превышает мак- симальный ток выбранного диапазона, то ток Т2 не способен уравновесить ток TY, даже если транзистор будет постоянно включен; при этом зафиксированное на счетчиках значение заряда будет содер- жать ошибку. ИМС4а проверяет условие выхода за пределы диапазона и зажигает светодиод, если выходной сигнал интегра- тора превышает фиксированный уровень опорного напряжения (который выбира- ется с запасом по отношению к нормаль- ным условиям работы интегратора). Некоторые подсчеты при проектирова- нии. При проектировании схемы типа этой следует принять несколько решений. На- пример. большинство элементов КМОП- логики работают от напряжения +15 В для того, чтобы упростить коммутацию транзистора Т2. Поскольку 4-разрядные счетчики работают от напряжения +5 В, для сопряжения высокоуровневых сигна- лов КМОП-логики с уровнями счетчика использована схема 4049. ИМС4 работает от одного источника питания и ее выход- ной сигнал изменяется от нуля до + 15 В, что упрощает подключение к ИМС5а. Для того чтобы обеспечить достаточный диапазон работы транзистора Тг, опорное напряжение для интегратора и компара- тора устанавливается с помощью стаби- литрона D2 на уровне +4,7 В; здесь по- дойдет самый простой стабилитрон, по- скольку точность не требуется. Обратите внимание на то, что прецизионное опор- ное напряжение зависит от напряжения + 4,7 В, использованного для масштаби- рования тока, коммутируемого в интегра- торе Рабочий ток источника REF-02 используется заодно и для смещения ста- билитрона. Ключ (Т2) может оказать существенное влияние на общую точность прибора. Если он обладает слишком большой емкостью, то дополнительный заряд на его стоке приведет к погрешности. Схем- ное решение, использованное в предыду- щем примере (коммутация на землю во время циклов отклонения тока), в данном случае не подойдет, поскольку ошибки напряжения сдвига ИМСЗ приведут к по- стоянной ошибке при очень малых токах. Используя однополюсный однонаправлен- ный переключатель, показанный на схеме, можно увеличить динамический диапазон за счет некоторого снижения точности (что вызвано избыточным зарядом на стоке транзистора Т2, который интегри- руется на каждом такте). Выбранный опе- рационный усилитель интегратора пред- ставляет собой усилитель на полевых МОП-транзисторах с малыми токами смешения и поэтому пренебрежимо малой погрешностью по току (10 пА тип.). По- скольку операционные усилители на поле- вых транзисторах имеют, как правило, большие напряжения сдвига, чем усилите- ли на биполярных транзисторах, такой выбор усилителя только обострит только что рассмотренную проблему динамиче- ского диапазона при использовании одно- полюсного ключа на два направления. Динамический диапазон. Важно понимать,
670 Глава 9 J 4007 Р 1,0 ИС 2 LT1006C 1,0 кОм >1N1183R 0,01 Ом 0.1 Ом 1,0 Ом 10 Ом 100 Ом 499к0м ^8 1,00 кОм 25 500Ом -± 4-ПРОВОДНЫЕ соединения ]<.99кОм П ©йетг ¥ прецизионные j_ . регисторы _ 4-проводные [24| макс- соединения ^ДИАПАЗОНд 2А ZOO мА Z0 мА 2 мА 1N11B3 0,1 0, один полная шкала квант. (Ю6квантов) дрейф 0,1 К л 2780 А-ч 10-3 А 0,01 Кл 278 А-ч КГ* А 0,001 Кл 28 А-ч 10‘5 А 100 мкКл 2.8 А-ч 1€Г6 А 10 мкКл 0,28 А-ч 10'7 А А-ч = 3600 Кл 1---------5-позиционный поворотный------------J переключатель на 3 направления Рис. 9.66. Кулонометр (счетчик накопленного заряда) К. Т- контрольная точка интегратора; Пр-предохрани- тель; НК-неподключенный контакт; МЗЦ-младшая значащая цифра. СЗЦ-старшая значащая цифра. что этот прибор спроектирован в расчете на большой динамический диапазон с точ- ным интегрированием тока, изменяюще- гося в процессе эксперимента на несколь- ко порядков по величине. Именно по этой причине большое внимание уделяется схе- ме «переднего края» на прецизионном операционном усилителе с цепью под- стройки сдвига, обеспечивающей преци- зионную регулировку (обычная схема подстройки имеет, как правило, полный диапазон в несколько милливольт, что затрудняет точную подстройку сдвига на нуле). При подстройке ИМС2 на сдвиг 10 мВ или менее динамический диапазон прибора будет превышать 10000:1.
Сопряжение цифровых и аналоговых сигналов 671 СХЕМЫ ФАЗОВОЙ АВТОПОДСТРОЙКИ ЧАСТОТЫ 9.27. Введение Система фазовой автоподстройки часто- ты (ФАПЧ)-это весьма важный и полез- ный узел, выпускаемый в виде отдельной интегральной схемы многими изготовите- лями. ФАПЧ содержит фазовый детектор, усилитель и генератор, управляемый на- пряжением (ГУН), и представляет собой сочетание в одном корпусе аналоговой и цифровой техники. Мы рассмотрим в дальнейшем применение ФАПЧ для то- нального декодирования, демодуляции AM- и ЧМ-сигналов, умножения частот, частотного синтеза, импульсной синхро- низации сигналов от шумящих источни- ков (например, магнитной ленты) и вос- становления «чистых» сигналов. Существует традиционное предубежде- ние против ФАПЧ, связанное отчасти со сложностью реализации ФАПЧ на диск- ретных компонентах, а отчасти с сомне- ниями относительно ее надежной работы. С появлением недорогих и простых в при-
672 Глава 9 Рис. 9.67. Схема фазовой автоподстройки частоты. менении устройств ФАПЧ первое препят- ствие для их широкого применения было преодолено. При правильном проектиро- вании и корректном применении устрой- ства ФАПЧ становятся такими же надеж- ными элементами схемы, как операцион- ные усилители или триггеры. На рис. 9.67 показана классическая схе- ма ФАПЧ. Фазовый детектор-устройст- во, которое осуществляет сравнение двух входных частот, и формирует выход- ной сигнал, пропорциональный их фазо- вой разности (если, например, частоты различаются, то на выходе появится пе- риодический сигнал на разностной часто- те). Если fBX не равна /ГУН, то отфильтро- ванный и усиленный сигнал фазовой ошиб- ки будет воздействовать на частоту ГУН, изменяя ее в направлении /вх. При нор- мальных условиях ГУН быстро произво- дит «захват» частоты /вх, поддерживая постоянный фазовый сдвиг по отношению к входному сигналу. Поскольку отфильтрованный выходной сигнал фазового детектора является сиг- налом постоянного тока, а управляющий входной сигнал ГУН мерой входной ча- стоты. совершенно очевидно, что ФАПЧ можно применять для ЧМ-детектирова- ния и тонального декодирования (исполь- зуемое при цифровой передаче по те- лефонным линиям). Выходной сигнал ГУН-это сигнал местной частоты, рав- ной /вх. таким образом, ГУН выдает чи- стый опорный сигнал, который может содержать шумы. Поскольку выходной сигнал ГУН может иметь любую форму (треугольную, синусоидальную и т. п.). это позволяет формировать, допустим, синусоидальный сигнал, синхронизирован- ный с последовательностью входных импульсов В одном из часто встречающихся при- менений ФАПЧ между выходом ГУН и фазовым детектором включают счетчик по модулю п, обеспечивая, таким обра- зом, умножение входной эталонной часто- ты Увх. Это - идеальный метод генерации импульсов синхронизации на частотах, кратных частоте сетевого напряжения, для интегрирующих АЦП (двухстадий- ных и с уравновешиванием заряда) с пол- ным подавлением помех на сетевой часто- те и ее гармониках. Подобные схемы являются основными при построении ча- стотных синтезаторов. Компоненты ФАПЧ. Фазовый детектор. Существуют два основных типа фазовых детекторов, которые иногда называют тип 1 и тип 2. Фазовый детектор типа 1 предназначен для работы с аналоговы- ми сигналами или цифровыми сигналами прямоугольной формы, а детектор типа 2-для работы по логическим переходам (фронтам). Типичным представителем де- текторов типа 1 является детектор 565 (линейный), а детектор КМОП 4096 мож- но отнести и к тому, и к другому типу. Самым простым фазовым детектором является детектор типа I (цифровой), ко- торый представляет собой простой вен- тиль ИСКЛЮЧАЮЩЕЕ ИЛИ (рис. 9.68). На рисунке показана зависимость выход- ного напряжения от разности фаз при использовании фильтра низких частот и прямоугольного входного колебания со скважностью 50%. Фазовый детектор ти- па 1 (линейный) имеет аналогичную зави- симость выходного напряжения от фазо- вой разности, хотя его схема представляет собой «четырехквадрантный умножитель», известный также под названием «баланс- ный смеситель». Фазовые детекторы этого типа, обладающие высокой линейностью, находят широкое применение в синхрон- ном детектировании, которое мы рас- смотрим в разд. 15.15. Фазовый детектор типа 2 обладает чув- ствительностью только по отношению к расположению фронтов сигнала и входно- го сигнала ГУЕ1, как показано на рис. 9.69. Схема фазового компаратора гене-
Сопряжение цифровых и аналоговых сигналов 673 Рис. 9.68. Фазовый детектор (тип Г), выполненный по схеме Исключающее ИЛИ рирует выходные импульсы либо отста- вания, либо опережения в зависимости от того, когда появляются логические пере- ходы выходного сигнала ГУН. после или до переходов опорного сигнала соответст- венно. Ширина этих импульсов равна про- межутку времени между соответствующи- ми фронтами, как показано на рисунке. Во время действия этих импульсов выход- ная схема либо отводит, либо отдает ток, а в промежутках между импульсами нахо- дится в разомкнутом состоянии, форми- руя зависимость между выходным напря- жением и разностью фаз. показанную на рис. 9.70. Процесс абсолютно не зависит от скважности импульсов на входе в отли- чие от ситуации с рассмотренным ранее фазовым компаратором типа 1. Другой привлекательной особенностью этого фа- зового детектора является то. что импуль- сы на выходе полностью исчезают, когда два сигнала засинхронизированы. Это означает, что на выходе отсутствуют «пульсации», которые вызывают периоди- ческую фазовую модуляцию в контуре, Отставание —Л- Опережение Выходное на- —а--------- пряжение фазового Рис. 9.69. Фазовый детектор (тип 2) опережения отставания, работающий «по фронтам». детектора Фаза Рис. 9.70 как это имеет место при использовании фазового детектора типа 1. Сравним свойства фазовых детекторов двух основных типов Тип 1 Тип 2 ИСКЛЮЧА- Запуск по ЮЩЕЕ ИЛИ фронту качка зарчда») Скважность входного 50% опти- сигнала мально Синхронизация по тар- Да моникам Подавление помех Хорошее Остаточная пульсация на частоте 2/м Большая Не играет роли Нет Плохое Малая 22 - 626
674 Глава 9 Диапазон синхрониза- Весь диапазон Весь диапазон ции (L) ГУН ГУН Диапазон захвата /Ь(/< 1) L Выходная частота при рассинхронизации /освтр /мнн Существует еще одно различие между этими двумя типами фазовых детекторов Детектор типа 1 всегда генерирует вы- ходное колебание, которое в дальнейшем должно фильтроваться с помощью фильт- ра контура регулирования (более подроб- но обсудим это позже). Таким образом, ФАПЧ с фазовым детектором типа 1 со- держит контурный фильтр, работающий как фильтр нижних частот, сглаживаю- щий логический выходной сигнал полной амплитуды. В таком контуре всегда при- сутствует некоторая остаточная пульса- ция и, следовательно, периодические фа- зовые изменения. В тех схемах, где ФАПЧ используется для умножения или синтеза частот, к выходному сигналу добавляют- ся еще и «боковые полосы фазовой моду- ляции» (см. разд. 13.18). Фазовый детектор типа 2, наоборот, генерирует выходные импульсы только тогда, когда между опорным сигналом и сигналом ГУН имеется фазовая раз- ность. Поскольку в противном случае вы- ход фазового детектора выглядит как ра- зомкнутая цепь, конденсатор контурного фильтра работает как элемент запомина- ния напряжения, поддерживая напряже- ние, сохраняющее требуемую частоту ГУН. Если опорный сигнал «уходит» по частоте, то фазовый детектор генерирует последовательность коротких импульсов, заряжая (или разряжая) конденсатор до нового напряжения, необходимого для то- го, чтобы вновь вернуть ГУН в синхро- низм. Генераторы, управляемые напряжением. Важным компонентом ФАПЧ является генератор, частотой которого можно управлять используя выходной сигнал фазового детектора. Некоторые ИМС ФАПЧ содержат ГУН (например, линей- ный элемент 565 и КМОП-элемент 4046) Кроме того, имеются отдельные ИМС ГУН, перечисленные в табл. 5.4. Интерес- ный класс ГУН составляют элементы с синусоидальным выходом (8038, 2206 и Рис. 9.71. т. п.), поскольку они позволяют генериро- вать чистое синусоидальное колебание засинхронизированное с входным колеба- нием «страшного» вида. Следует упомя- нуть еще один класс ГУН,-«преобразо- ватели напряжения в частоту», которые обычно проектируются с оптимальной линейностью; они имеют, как правило, скромную максимальную частоту (до 1 МГц) и вырабатывают импульсы с ло- гическими уровнями (см. разд. 5.15). Следует помнить о том, что частота ГУН не ограничивается скоростью сраба- тывания логических схем. Можно, напри- мер, использовать радиочастотные гене- раторы, настраиваемые с помощью ва- рактора (диод с изменяемой емкостью) (рис. 9.71). Продвигаясь в соответствии с этой идеей еще на один шаг, можно было бы даже использовать такой элемент, как отражательный клистрон,- микроволно- вый (гигагерцевый) генератор, с электри- ческой настройкой за счет изменения на- пряжения на отражателе. Разумеется, ФАПЧ, использующая такие генераторы, потребует радиочастотный фазовый де- тектор. Зависимость частоты от управляющего напряжения ГУН, используемого в ФАПЧ, может не обладать высокой линейностью, однако в случае большой нелинейности коэффициент усиления в контуре будет изменяться в соответствии с частотой сиг- нала и придется обеспечивать больший запас устойчивости. 9.28. Проектирование ФАПЧ Замыкание контура регулирования. Фазо- вый детектор вырабатывает сигнал ошиб- ки, соответствующий фазовому рассогла- сованию между входным и опорным сиг- налами. Частотой ГУН можно управлять,
Сопряжение цифровых и аналоговых сигналов 67 подавая на его вход соответствующее напряжение. Казалось бы, что здесь мож- но поступить также, как и в любом дру- гом усилителе с обратной связью, вводя контур регулирования с некоторым коэф- фициентом передачи; мы поступали точно также в схемах с операционными усили- телями. Однако имеется одно существенное отличие. Ранее, регулируемая с помощью обратной связи величина совпадала с ве- личиной, измеряемой с целью формирова- ния сигнала ошибки или была по крайней мере ей пропорциональна. В усилителе напряжения, например, мы измеряли вы- ходное напряжение и соответствующим образом подстраивали входное. В систе- мах ФАПЧ осуществляется интегрирова- ние; мы измеряем фазу, а регулируем частоту, но фаза является интегралом от частоты. За счет этого в контуре регули- рования появляется фазовый сдвиг 90°. Такой интегратор, включенный в кон- тур обратной связи, существенным обра- зом влияет на работу схемы-дополни- тельное запаздывание по фазе на 90° на частотах, где коэффициент усиления равен единице, вызывает возникновение само- возбуждения. Простое решение заключа- ется в том, чтобы не включать в контур компоненты, которые дают дополнитель- ное запаздывание по фазе, по крайней мере на тех частотах, где коэффициент усиления близок к единице В конце кон- цов операционные усилители имеют за- паздывание по фазе 90° на большинстве частот своего диапазона, однако они пре- восходно работают. Это-один из подхо- дов и он приводит к тому, что называется «контуром первого порядка» Блок-схема в этом случае выглядит точно также как ранее приведенная блок-схема ФАПЧ без фильтра нижних частот. Хотя контуры первого порядка во мно- гих ситуациях очень удобны, они не обла- дают необходимыми свойствами «махо- вика», которые позволяют генератору, управляемому напряжением, сглаживать помехи и флуктуации входного сигнала. Более того, контур первого порядка не сохраняет постоянным фазовое соотноше- ние между опорным сигналом и сигналом ГУН, так как выход фазового детекто непосредственно управляет ГУН. В «ко тур второго порядка» вводится дополн тельная фильтрация на низкой часто с целью предотвращения неустойчивост Такой контур обладает свойством «мах вика» и, кроме того, уменьшает «диап зон захвата» и увеличивает время захват К тому же, как будет показано ниже, щ использовании фазовых детекторов тиг 2 контур второго порядка гарантиру< фазовую синхронизации при нулевой ра ности фаз между опорным сигналом сигналом ГУН. Практически во всех ci стемах применяют контуры второго п< рядка, поскольку в большинстве примен ний система ФАПЧ должна обеспечиват малые флуктуации фазы выходного си нала, а также обладать некоторыми cboi ствами памяти или «маховика». Контур второго порядка могут иметь высоки коэффициент передачи на низких чаете тах, что обеспечивает повышенную ycroi чивость (по аналогии с достоинствам высокого коэффициента усиления в усил! телях с обратной связью). Вернемся к де лу и рассмотрим применение ФАПЧ н примерах. 9.29. Пример разработки: умножитель частоты Формирование частоты, кратной фиксв рованной входной частоте, является одни? из наиболее распространенных примене ний ФАПЧ. В частотных синтезатора частота выходного сигнала формируете за счет умножения частоты стабильного низкочастотного (допустим, 1 Гц) сигнал; на целое число л; число и можно задават; в цифровом виде, т. е. вы получаете гиб кий источник сигналов, которым можнх управлять даже с помощью компьютера Можно использовать ФАПЧ в более про- заических системах, например, для топ чтобы генерировать тактовую частоту синхронизированную с некоторой другой эталонной частотой, которая уже имеете! в приборе. Предположим, что мы хотим получить тактовые сигналы частотой 61 440 Гц для двухстадийного АЦП. Така! частота обеспечивает производительность 22*
676 Глава 9 Кдет (В/рад1 *ф(В/В) (рад/(с- В)) Рис. 9.72. Блок-схема умножителя частоты. 7,5 измерений в секунду, причем на первой стадии (подъеме) потребуется 4096 перио- дов синхронизации (напомним, что в двух- стадийном преобразовании используется постоянный временной интервал) и на второй стадии (разряд постоянным то- ком) может потребоваться до 4096 пе- риодов Уникальная особенность схемы ФАПЧ заключается в том, что тактовую частоту 61 440 кГц можно засинхронизи- ровать с сетевой частотой 60 Гц (61440 = = 60 х 1024), полностью подавив тем са- мым помехи на частоте 60 Гц, которые, как мы уже обсуждали в разд. 9.21, при- сутствуют на любом сигнальном входе преобразователя. Начнем со стандартной схемы ФАПЧ, в которой между выходом ГУН и фазо- вым детектором включен счетчик-дели- тель на п (рис. 9.72). На этой схеме для каждого функционального блока указан коэффициент передачи. Это понадобится нам для проведения расчетов по устойчи- вости. Обратите особое внимание на то, что фазовый детектор преобразует фазу в напряжение, а ГУ Н - напряжение в про- изводную фазы по времени (т. е. частоту).. Отсюда важное следствие-если фазу в са- мой нижней части схемы рассматривать как переменную, то ГУН будет действо- вать как интегратор Фиксированное вход- ное напряжение рассогласования приво- дит к линейно возрастающему фазовому рассогласованию на выходе ГУН. Фильтр нижних частот и делитель на п имеют коэффициенты передачи меньше единицы. Устойчивость и фазовые сдвиги. На рис. 9.73 показаны диаграммы Боде, с по- мощью которых можно оценить устойчи- вость ФАПЧ второго порядка. ГУН рабо- тает как интегратор с характеристикой 1// и запаздывающим фазовым сдвигом 90° (т.е. его характеристика пропорциональ- на 1//со, а конденсатор заряжается от источника тока). Для того чтобы иметь приличный запас по фазе (разность между 180° и фазовым сдвигом на частоте, при которой общий коэффициент передачи контура равен 1), в фильтр нижних частот последовательно с конденсатором вклю- чают резистор для того, чтобы приоста- новить спад характеристики на некоторой частоте (с причудливым названием «нуль»). Комбинация этих двух характеристик дает показанную на рисунке характери- стику контура. До тех пор пока спад коэффициента передачи контура будет со- ставлять 6 дБ/октава (в области единич- ного коэффициента передачи), контур бу- дет устойчив. Это делается с помощью фильтра нижних частот по типу «опереже- ние-отставание» с соответствующим образом выбранными свойствами (точно также, как компенсация опережения - отставания в операционных усилителях). Дальше вы увидите, как это делается. Расчет коэффициента передачи. На рис. 9.74 приведена схема ФАПЧ для синтеза- тора частоты 61 440 Гп. Фазовый детектор и ГУН входят в состав КМОП ИМС ФАПЧ 4046. В этой схеме мы использова- ли вариант фазового детектора с запу- ском по фронту (в ИМС 4046 имеются оба варианта). Его выходной сигнал выраба- тывается двумя КМОП-транзисторами, которые формируют насыщенные импуль- сы с уровнями Ссс или 0 В Фактически, это выход с тремя состояниями, как было
Сопряжение цифровых и аналоговых сигналов 6' Рис. 9.73. Диаграммы Боде ФАПЧ. нч-фильтр („опережение - отставание “) изложено выше, поскольку он находится в состоянии высокого импеданса, за исклю- чением интервала времени, когда действу- ет импульс фазового рассогласования. Минимальная и максимальная частоты ГУН, соответствующие управляющим на- пряжениям О В и Ucc, устанавливаются подбором величин Rv R2 и Сх согласно некоторым схемным характеристикам. Выбранные нами величины показаны на рисунке. Следует отметить, что ИМС 4046 страдает «хроническим заболева- нием» - повышенной чувствительностью к напряжению питания, поэтому прове- ряйте характеристики по паспортным данным. Остальные компоненты контура выбираются по стандартным для ФАПЧ процедурам. После того как необходимый диапаз ГУН выбран, остается лишь произвес расчет фильтра нижних частот. Это она ответственная часть. Начнем с того, 41 выпишем составляющие коэффипиен передачи контура, учитывая каждую ко? поненту (табл. 9.7 и рис. 9.72). Придерживайтесь одних и тех же едиш измерения; не переходите с/на го или. 41 еще хуже, с герц на килогерцы. Нам ост лось определить только Кф Сделаем эт записав общее выражение для коэфф циента передачи контура, но не забыва что ГУН-это интегратор, Кых — f ^2^ГУН^ Общий коэффициент передачи контур Рис. 9.74. Применения умножителя ФАПЧ для формирования тактовых сигналов, синхронных с частотой се переменного тока.
678 Глава 9 Таблица 9.7. Расчет коэффициента передачи ФАПЧ Узел Функция Коэффи- циент передачи Расчет коэффициента передачи (17сс = 10 В) Фазовый детектор 1/, =КдатД<р От 0 до L7cc<-> от 0° до 360° НЧ-фильтр Ь'2 = кФ1Л *0 1 + усо R-л С? “ф 1+У<о(Л3С2 + 7?4С2) 7 ГУН ^Ф»Н1 _ dt - ГУН 2 КГУН 20 кГц([/2 = 0) до 200 кГц (17, = 10 В) -* Кгун = 18 кГц/B = 1,13 105 рад/(В с) Делитель на п _ 1 фкомп —фвых п ^дел к -1-J- /хлсл . * п 1024 равен К,„т = = 1 + усо/?4С2 — 1 59 х--------------—-------- 1 + j®(/?3C2 + Т?4С2) 1,13 105 1 Х > Х 1024' Теперь наступает этап выбора частоты, на которой коэффициент передачи контура должен проходить через единицу. Идея заключается в том, что частота единичной передачи выбирается достаточно высо- кой, чтобы контур мог должным образом отслеживать изменения входной частоты, но и достаточно низкой, чтобы обеспе- чить свойства «маховика» и сглаживать помехи и скачки входного сигнала. На- пример, система ФАПЧ, предназначенная для демодуляции входных ЧМ-сигналов или декодирования последовательностей высокоскоростных тональных сигналов, должна иметь высокое быстродействие (для входных ЧМ-сигналов полоса пропу- скания контура должна соответствовать входному сигналу, т.е. равняться макси- мальной частоте модуляции, а для деко- дирования тональных сигналов время отклика должно быть меньше, чем про- должительность тонального сигнала). С другой стороны, контур, предназначен- ный для генерации фиксированной часто- ты, кратной некоторой стабильной и мед- ленно меняющейся входной частоте, дол- жен иметь низкую частоту единичной пе- редачи. Это позволит уменьшить фазо- вый шум на выходе и сделать систему ФАПЧ нечувствительной к шумам и выб- росам на входном сигнале. Будут едва заметны даже кратковременные пропада- ния входного сигнала, поскольку напря- жение, запоминаемое на конденсаторе фильтра, заставит ГУН продолжать фор- мирование той же самой выходной часто- ты. В данном случае мы выбрали частоту единичной передачи /2, равной 2 Гц или 12,6 рад в секунду. Это значительно ниже опорной частоты и вряд ли можно ожи- дать, что реальные отклонения сетевой частоты превысят эту величину (следует учитывать, что электроэнергия вырабаты- вается крупными генераторами с огром- ной механической инерцией). По неглас- ному правилу точку излома характеристи- ки фильтра нижних частот (ее «нуль») следует выбрать на частоте по крайней мере в 3-5 раз ниже чтобы обеспечить достаточный запас по фазе Вспомните, что фазовый сдвиг простой RC-цепи ме- няется от 0 до 90° в диапазоне частот от 0,1 до 10 относительно частоты — ЗдБ («полюс»), при которой сдвиг равен 45°. Выберем частоту нуля j\ равной 0.5 Гц, или 3,1 рад/с (рис. 9.75). Точка излома А определяет постоянную времени R4C2' R^C2 = \ I2nf\. Попробуем взять С2 = = 1 мкФ и А4 — 330 кОм Осталось лишь выбрать R3 так, чтобы коэффициент пере-
Сопряжение цифровых и аналоговых сигналов 6 дачи контура был равен 1 на частоте f2. Полученный результат: А3 = 4,3 МОм. Упражнение 9.5. Покажите, что при выбранных компонентах фильтра единичный коэффициент пере- дачи контура получается действительно на частоте /2 = 2 Гц. Иногда параметры фильтра могут ока- заться не совсем подходящими и вам придется подстраивать их или смещать частоту единичной передачи. Получен- ные значения соответствуют ФАПЧ на КМОП-элементах (типовой входной импе- данс ГУН составляет 1012 Ом). Для ФАПЧ на биполярных транзисторах (например, типа 4044) возможно потребуется согла- сование импедансов с помошью внешнего операционного усилителя. В этом примере для упрощения фильтра мы использовали фронтовой (типа 2) фазовый детектор. На практике возможно это и не самое лучшее решение для ФАПЧ, синхронизированной с сетевой частотой 60 Гц, поскольку сигналы с частотой 60 Гц содержат сравнительно высокий уровень шума. При тщательном выборе аналоговой входной схемы (например, после фильтра нижних частот включить триггер Шмитта) можно добиться хоро- шей работы схемы; в противном случае следует использовать фазовый детектор типа 1 со схемой ИСКЛЮЧАЮЩЕЕ ИЛИ. Метод проб. Для некоторых людей искусство схемотехники заключается в том. чтобы подбирать компоненты фильт- ра до тех пор, пока контур не заработает Если вы относитесь к их числу, то мы вынуждены просить вас пересмотреть свои взгляды. Мы представили деталь- ный расчет контура ФАПЧ потому, что. как мы подозреваем, плохая penyrai ФАПЧ - это следствие как раз такого п< хода. Тем не менее не можем удержать чтобы не дать совет фанатикам мет< проб и ошибок. R3C2 определяет вре сглаживания контура, а )?4/Д3-демп( рование, т.е. отсутствие перегрузки г скачкообразном изменении частот Можете начинать с Т?4 = 0,27?3. Формирование тактовых импульсов д видеотерминала. Другим полезным щ менением высокочастотного генерато синхронизированного с сетевой частот 60 Гц, является формирование видеос налов для буквенно-цифрового термина компьютера. Стандратная скорость с* ны изображения в терминалах составл! 30 кадров в 1 с. Если отсутствует точ1 синхронизация частоты синхроимпульс по вертикали и сетевой частоты, то в ci зи с неизбежными сетевыми наводка изображение будет испытывать медл-i ную «боковую качку». Система ФА1 превосходно решает эту проблему. Вьи кочастотный ГУН (около 15 МГц) сш ронизируется заранее определенной ’ стотой, кратной 60 Гц; путем делен этой тактовой частоты можно послед вательно сформировать точки каждс отображаемого символа, число символ в каждой строке и число строк в кажд кадре 9.30. Захват и слежение в системе ФАПЧ Очевидно, что, войдя в синхронизм, сис; ма будет в нем оставаться до тех пс пока входной сигнал не выйдет за щ делы допустимого диапазона сигнал обратной связи. Интересно знать, как ci тема ФАПЧ входит в синхронизм в гк вый раз. Ведь начальное частотное р{ согласование вызывает появление пери дического выходного сигнала на фазове детекторе разностной частоты. Пос фильтра нижних частот этот сигнал умег шается до медленно меняющихся колес ний небольшой амплитуды, но никак является хорошим постоянным сигнале рассогласования
680 Глава 9 Процесс захвата. Ответ на этот вопрос не так уж и прост. Контур первого поряд- ка всегда будет синхронизироваться, по- скольку там отсутствует ослабление сиг- нала рассогласования на низкой частоте. Синхронизация контура второго порядка зависит от типа фазового детектора и по- лосы пропускания фильтра нижних ча- стот. Кроме того, фазовый детектор по схеме ИСКЛЮЧАЮЩЕЕ ИЛИ (типа 1) имеет ограниченный диапазон захвата, зависящий от постоянной времени фильт- ра (это обстоятельство можно использо- вать, если вы хотите сделать систему ФАПЧ, синхронизация которой происхо- дит в пределах определенного частотного диапазона). Процесс захвата происходит следую- щим образом: когда сигнал фазового рас- согласования приближает частоту ГУН к опорной частоте, его изменения стано- вятся более медленными и наоборот. Сиг- нал рассогласования поэтому является асимметричным и меняется более медлен- но в той части цикла, в течение которой /гун ближе подходит к /оп. В результате появляется ненулевая средняя компонен- та, т. е. постоянная компонента, которая и вводит ФАПЧ в синхронизм. Если вни- мательно посмотреть на управляющее на- пряжение ГУН в процессе захвата, то можно увидеть что-то похожее на сиг- нал, показанный на рис. 9.76. Последний всплеск на этом сигнале имеет весьма интересную причину. Даже в том случае, когда частота ГУН достигает требуемого значения (об этом можно судить по пра- вильному управляющему напряжению ГУН), в системе не обязательно происхо- дит захват (из-за несоответствия фазы). Это и может быть причиной всплеска. Каждый процесс захвата индивидуален и каждый раз он выглядит по-разно- му! Полоса захвата и слежения. При исполь- зовании фазового детектора по схеме ИСКЛЮЧАЮЩЕЕ ИЛИ (тип 1) полоса захвата ограничена постоянной времени фильтра нижних частот. В этом есть опре- деленный смысл, так как, если различие по частоте велико, сигнал рассогласова- ния будет ослабляться фильтром настоль- ко, что контур никогда не сможет осу- ществить захват. Очевидно, что увеличе- ние постоянной времени фильтра умень- шает полосу захвата, так как это приво- дит к пониженному коэффициенту переда- чи контура. Оказывается, что фронтовой фазовый детектор не имеет подобного ограничения. Полоса слежения для обоих типов детекторов определяется диапазо- ном управляющих напряжений ГУН. 9.31. Некоторые примеры применения систем ФАПЧ Мы уже упоминали об использовании ФАПЧ для умножения частот. Целесооб- разность такого применения, как это сле- дует из рассмотренного примера, настоль- ко очевидно, что сомнений в применении ФАПЧ не должно быть. В простых умно- жителях (например, для генерации более высокой тактовой частоты в цифровых системах) не возникает никаких проблем, связанных с помехами на опорном сигна- ле, поэтому здесь можно использовать системы первого порядка. Рассмотрим еще несколько примеров применения ФАПЧ, интересных с точки зрения разнообразия областей использо- вания Детектирование ЧМ-сигналов. При час- тотной модуляции кодирование информа- ции осуществляется путем изменения час- тоты несущего сигнала пропорционально изменению информационного сигнала ЧМ и другие виды модуляции мы рас- смотрим в гл. 13 более подробно Сущест- вуют два метода восстановления инфор- мации из модулированного сигнала с по- мощью фазовых детекторов или систем ФАПЧ. Под термином «детектирование»
Сопряжение цифровых и аналоговых сигналов Рис. 9.77. ЧМ-дискриминатор с ФАПЧ. мы будем понимать процесс демодуля- ции. Самым простым методом является синхронизация системы ФАПЧ приходя- щим сигналом. Напряжение, управляю- щее частотой ГУН, пропорционально входной частоте и, следовательно, явля- ется требуемым модулирующим сигналом (рис. 9.77). Полосу пропускания фильтра в такой системе можно сделать достаточ- но широкой для того, чтобы пропустить модулирующий сигнал, т. е. время реак- ции ФАПЧ должно быть меньше, чем минимальное время отклонения восста- навливаемого сигнала. Как показано в гл. 13, сигнал, используемый в ФАПЧ, не должен быть реально передаваемым коле- банием; он может быть сигналом «проме- жуточной частоты» (ПЧ), формируемым в приемной системе с помощью смесите- ля при преобразовании Для того чтобы избежать в этом методе ЧМ-детектирова- ния искажений на звуковых частотах, сле- дует обеспечить высокую линейность ГУН. Второй метод ЧМ-детектирования использует фазовый детектор, но не в составе контура ФАПЧ Принцип реали- зации этого метода показан на рис 9 78 Входной сигнал и его копия со сдвинутой фазой подаются на фазовый детектор, который вырабатывает некоторое вход- Рис. 9 78. Квадратурное ЧМ-детектирование. + НЧ -фильтр — — Амплитудно/ П Демодул! модулированная II ванный с несущая Т Рис. 9.79. АМ-детектирование. ное напряжение. Фазосдвигающая сх должна быть так хитроумно сделана, ч бы фазовый сдвиг линейно зависел частоты в диапазоне входных частот ( достигается обычно с помощью резон* ных LC-схем). Выходное напряжение дет зависеть, таким образом, от вход! частоты. Этот метод называют «двойщ балансным квадратурным ЧМ-детекти ванием». Он применяется во многих И1 усилителей/детекторов промежуток] частоты (например, САЗ 189). Детектированием AM-сигналов. Трс ется: способ формирования выходи сигнала, пропорционального мгновеш амплитуде высокочастотного сипи Обычно используется выпрямление (j 9.79). На рис. 9.80 показан весьма свое разный метод на основе ФАПЧ («гс*1 данный прием»). ФАПЧ вырабатыв прямоугольные сигналы с частотой, с падающей с модулированной несущей помощью умножения входного сигн. на это прямоугольное колебание фор* руется выпрямленный двухполупери ный сигнал; остается только пропусг его через фильтр нижних частот для тс чтобы удалить остатки несущей и вы лить огибающую. Если в системе ФА1 используется фазовый детектор по ext ИСКЛЮЧАЮЩЕГО ИЛИ. то выход! сигнал сдвигается на 90е относител! опорного сигнала. В связи с этим на щ сигнала к умножителю следует ввести ( зовый сдвиг 90е. Синхронизация импульсов и восстанов ние сигнала. При цифровой передаче с налов по каналу связи передается бито! последовательность, содержашая инф мацию Информационные сигналы moj быть по своей природе цифровыми я аналоговыми сигналами, представлен! ми в цифровом виде. как. например, «импульсно-кодовой модуляции» (ИК см. разд. 13.20). Очень похожей ситуац!
682 Глава 9 Рис 9 80 Гомодинное детектирование. является декодирование цифровой инфор- мации, считываемой с магнитной ленты или диска. В обоих случаях могут появ- ляться помехи и изменения частоты сле- дования импульсов (например, за счет растягивания ленты), поэтому желательно иметь чистый сигнал синхронизации на той же частоте, что и считываемые инфор- мационные сигналы. Система ФАПЧ бу- дет работать здесь превосходно Фильтр нижних частот исключил бы только дро- жание и помехи на входной синхронизи- рующей последовательности, но медлен- ные изменения скорости ленты остались бы В качестве другого примера синхрони- зации сигналов можно взять схему из разд. 8.31, в которой для получения пре- восходного синусоидального сигнала используется точный сигнал «60 Гц», сформированный цифровым способом (в действительности его частота находится где-то между 50 и 70 Гц) Для того чтобы преобразовать прямоугольное колебание в синусоидальное мы использовали в этой схеме 6-звенный фильтр нижних частот Баттерворта Здесь заманчиво было бы использовать ИМС ГУН с синусоидаль- ным выходным сигналом (например, ИМС 8038), работающей синфазно с точ- ным прямоугольным сигналом. Это га- рантировало бы постоянную амплитуду синусоидального сигнала обеспечило ши- рокий диапазон изменения частоты и поз- волило бы избавиться от «дрожания» на выходе умножителя частоты. LC-генератор. На рис 9.81 показан при- мер системы ФАПЧ, в которой использо- ван LC-генератор и цифровое сравнение по фазе на более низкой частоте При этом потребовался стабильный преци- зионный источник частоты 14,4 МГц, ра- ботающий синхронно с задающим генера- тором 10 МГц. Варактор (настроечный диод, см разд. 5.18) осуществляет точ- ную настройку LC-генератора на поле- вом транзисторе в соответствии с выход- ным сигналом фазового детектора типа 2 (’НС4046). Обратите внимание на то, что диапазон настройки варактора 18- 30 пФ (от 5 до 1 В соответственно) обеспе- чивает изменение параллельной емкости LC-цепи в пределах 2 пФ (от 8,2 до 10 пФ), что дает диапазон настройки +0,5% час- тоты генератора. Мы намеренно сделали диапазон настройки узким для того, что- бы обеспечить хорошую стабильность ге- нератора Частоты опорного и выходного сигна- лов с помощью цифровых средств делятся до частоты 400 кГц, на которой фазовый детектор работает лучше. Заметьте, что для преобразования синусоидального сиг- нала в сигнал с логическими уровнями используется вентиль типа ’НС со смеще- нием на логическом пороге с помощью резистора обратной связи большого но- минала. Обратите внимание также на вы- ходную ступень обычного эмиттерного повторителя (с ограничением по току), предназначенную для работы на 50-омныи кабель, как показано на рис. 9.42. При настройке схемы ферритовый сердечник генератора подстраивается до получения полного размаха на выходе фильтра фазо- вого детектора. Фирма Motorola выпускает прекрасную серию недорогих ИМС «ФАПЧ-синтеза- тор частоты» МС145145-59, которые со- держат фазовые детекторы типа 2 и дели- тели по модулю п и для входного и для опорного сигналов; оба делителя прог- раммируются, точность 14 разрядов и бо- лее. Держите эти схемы на примете на тот случай, когда вам потребуется синтезиро- вать какие-нибудь необычные частоты.
Рис. 9.81. ФАПЧ с варакторной настройкой.
684 Глава 9 ПСЕВДОСЛУЧАЙНЫЕ ДВОИЧНЫЕ ПОСЛЕДОВАТЕЛЬНОСТИ И ГЕНЕРАТОРЫ ШУМА 9.32. Цифровые методы генерации шума Псевдослучайные двоичные последова- тельности являют собой пример гармо- ничного сочетания аналоговой и цифро- вой техники. Оказывается, можно необы- чайно просто генерировать последова- тельности бит (или слов), с хорошими стохастическими свойствами, т. е. после- довательности, которые будут обладать такими же вероятностными и корреля- ционными свойствами, какими обладает идеальная машина для подбрасывания монеты Поскольку эти последовательно- сти генерируются стандартными элемен- тами детерминированной логики (если быть точнее, регистрами сдвига), получа- ющиеся двоичные последовательности на самом деле являются предсказуемыми и повторяемыми хотя любой фрагмент та- кой последовательности во всех отноше- ниях выглядит как случайная последова- тельность 0 и 1. Всего с помощью несколь- ких ИМС можно получить последователь- ности, которые тянутся буквально на сто- летия без повторения; это очень простой и привлекательный способ получения цифровых двоичных последовательностей или аналоговых сигналов шума. Действи- тельно. существует даже недорогая ИМС «цифровой источник шума», выпускаемая в корпусе мини-DIP (ММ5437 фирмы Na- tional). а генераторы шума на регистрах сдвига входят в состав технических средств многих ИМС, создающих звуковые эф- фекты. Аналоговый шум. С помощью простой фильтрации нижних частот псевдослучай- ной двоичной последовательности (ПСП) можно получить гауссов белый шум с ограниченной полосой, т. е. напряжение шума с плоским энергетическим спектром до некоторой частоты среза (более под- робно о шумах см. гл. 7). С другой сторо- ны. с помощью взвешенного суммирова- ния содержимого регистров сдвига (с ис- пользованием набора резисторов) можно осуществить цифровую фильтрацию. С по- мощью этого способа можно легко полу- чить плоский спектр шума в пределах нескольких мегагерц. Позже вы увидите, что источники аналогового шума, синте- зированные цифровым способом, имеют целый ряд преимуществ перед чисто ана- логовыми способами, использующими шумящие диоды или резисторы. Другие области применения. Кроме та- ких очевидных применений, как аналого- вые или цифровые источники шума, ПСП полезны в целом ряде других областей, ничего общего не имеющих с шумами. Их можно использовать для шифрования сообщений или данных, поскольку иден- тичный генератор ПСП на приемном кон- це дает ключ к шифру. ПСП широко используются в кодах, обнаруживающих и исправляющих ошибки, так как они позволяют видоизменить блоки данных таким образом, что правильные кодовые сообщения будут находится друг о г друга на максимально возможном «расстоянии Хэмминга» (измеряется числом позиции с разными данными). Обладая хорошими автокорреляционными свойствами, они являются идеальными как коды для ра- диолокационных систем, в которых ответ- ный сигнал сравнивается (точнее взаимно коррелируется) с передаваемой битовой последовательностью. Их можно исполь- зовать даже как компактные делители по модулю п. 9.33. Последовательности, генерируемые регистрами сдвиг а с обратными связями Наиболее известным (и самым простым) генератором ПСП является регистр сдви- га с обратной связью (рис. 9.82). Регистр сдвига длины т работает от тактовых импульсов с частотой /о. Входная после- довательность формируется с помощью Рис. 9.82. Генератор псевдослучайной двоично!' последовательности.
Сопряжение цифровых и аналоговых сигналов вентиля ИСКЛЮЧАЮЩЕЕ ИЛИ, на вход которого поступают сигналы от л-го и последнего (ш-го) разрядов регистра сдвига Такая схема проходит через неко- торое множество состояний (совокуп- ность состояний регистра сдвига после каждого тактового импульса), которые после К тактов начинают повторяться, т. е последовательность состояний явля- ется циклической с периодом К Максимальное число возможных сос- тояний ^-разрядного регистра равно К = = 2т, т. е числу w-битовых двоичных ком- бинаций Однако состояние «все нули» является «тупиком» для этой схемы, по- скольку на выходе вентиля ИСКЛЮ- ЧАЮЩЕЕ ИЛИ появляется 0, который вновь поступает на вход схемы. Таким образом, последовательность максималь- ной длины, которую может сформиро- вать данная схема содержит 2m — 1 бит Оказывается, что такую последователь- ность максимальной длины можно полу- чить только при правильном выборе т и п причем полученная последовательность будет псевдослучайной. (Критерием мак- симальной длины является неприводи- мость и примитивность многочлена 1 + + х" + х7” над полем Галуа). В качестве примера рассмотрим 4-разрядныи ре- гистр сдвига с обратной связью, показан- ный на рис. 9.83. Начиная с состояния 1111 (можно было бы начать с любого другого состояния, за исключением 0000), можно записать состояния в порядке их следования: 1111 0100 юн 0111 0010 0101 ООН 1001 1010 0001 1100 1101 1000 ОНО 1110 Мы записали эти состояния как 4-разряд- ные числа QaQbQc2d Здесь 15 = (24 - 1) различных состояний, затем они по ряются вновь. Значит это регистр ма мальной длины. Упражнение 9.6. Покажите что 4-разрядны1 гистр с обратной связью от второго и четвег разрядов не является регистром максимальной ны. Сколько существует различных последовать стей? Сколько состояний в каждой последовате сти? Отводы обратной связи. Сдвиговые гистры максимальной длины можно полнить с числом отводов в цепи об ной связи больше 2 (в этом случае исп зуются несколько вентилей ИСК. ЧАЮЩЕЕ ИЛИ, соединенных в i стандартного дерева четности, т. е. в i суммы по модулю 2 нескольких ра дов). На самом деле, для некоторых чений т регистр максимальной дл можно сделать только в том случае, к< число отводов будет больше 2. Ниже речислены все значения т до 40, которых регистр максимальной дл реализуется с использованием ровно j отводов, т. е. с обратной связью от и m-го (последнего) разрядов по 1 регистра, приведенного ранее Предс лены также значения п и длина цикла I числу тактов В некоторых случаях по, дут и другие значения п и во всех слу< п можно заменить на т — л; таким о зом, для предыдущего примера мо использовать отводы п = 1 и т — 4. т п Длина 3 2 7 4 3 15 5 3 31 6 5 63 7 6 127 9 5 511 10 7 1023 11 9 2047 15 14 32767 17 14 131071 18 11 262143 20 17 1048575 21 19 2097151 22 21 4194303 23 18 8388607 25 22 33554431 28 25 268435455 29 27 536870911 31 28 2147483647 33 20 8589934591 35 33 34359738367 36 25 68719476735 39 35 549755813887
686 Глава 9 Длина регистров сдвига обычно кратна 8 и, возможно, как раз такую длину вы захотите использовать. В этих случаях может потребоваться более двух отводов. Вот эти магические числа: т Отводы Длина 8 4, 5, 6 255 16 4, 13. 15 65535 24 17, 22, 23 16777215 В ИМС ММ5437 (генератор шума) используется 23-разрядный регистр с от- водом от 18-го разряда. Внутренний так- товый генератор обеспечивает работу на частоте около 160 кГц; схема генерирует белый шум в диапазоне до 70 кГц (затуха- ние ЗдБ) с временем цикла около 1 мин. На рис. 7.61 эта ИМС была использована в схеме генератора «розового шума». При использовании 33-разрядного регистра, работающего на частоте 1 МГц, время цикла будет около 2 ч. Время цикла 100- разрядного регистра, работающего на ча- стоте 10 МГц, будет в миллион раз боль- ше, чем возраст Вселенной! Свойства последовательностей макси- мальной длины. Псевдослучайную после- довательность двоичных символов мы по- лучаем путем тактирования одного из таких регистров и наблюдения последова- тельных выходных двоичных символов. Выход можно взять от любого разряда регистра; обычно в качестве выхода исполь- зуют последний (nt-й) разряд. Последова- тельность максимальной длины обладает следующими свойствами: 1. В полном цикле (К тактов) число «1» на единицу больше, чем число «0». Доба- вочная «1» появляется за счет исключения состояния «все нули». Это свидетельст- вует о том, что «орлы» и «решки» равно- вероятны (дополнительная «1» большой роли не играет; 17-разрядный регистр бу- дет вырабатывать 65 536 «1» и 65 535 «0» за один цикл). 2. В одном цикле (К тактов) половина серий из последовательных «1» имеет дли- ну 1, одна четвертая серий-длину 2. одна восьмая-длину 3 и т. д. Такими же свой- ствами обладают и серии из «0» с учетом пропущенного «0». Это говорит о том. что вероятности «орлов» и «решек» не зависят от исходов предыдущих «подбра- сываний» и поэтому вероятность того, что серия из последовательных «1» или «0» закончится при следующем подбрасы- вании равна 1/2 (вопреки обывательскому пониманию «закона о среднем). 3. Если последовательность полного цикла (К тактов) сравнить с этой же последовательностью, но циклически сдви- нутой на любое число символов п(п не является нулем или кратным К), то число несовпадений будет на единицу больше, чем число совпадений. Научно выражаясь, автокорреляционная функция этой после- довательности представляет собой дель- та-функцию Кронекера при нулевой за- держке и равна- 1/К при любой другой задержке. Отсутствие «боковых лепестков» автокорреляционной функции-это как раз то свойство, которое делает ПСП очень полезными в радиолокационных системах. Упражнение 9.7. Покажите, что последователь- ность. полученная с помощью 4-разрядного реги- стра сдвига (с отводами п = 2, т = 4), удовлетворяет этим свойствам. В качестве «выхода» возьмите QA: 100010011010111. 9.34. Формирование аналогового шума с использованием последовательностей максимальной длины Преимущества шума, полученного цифро- вым способом. Как ранее уже отмечалось, цифровой выход регистра сдвига с обрат- ной связью, вырабатывающего последо- вательность максимальной длины, можно преобразовать в белый шум с ограничен- ной полосой, используя фильтр нижних частот, частота среза которого существен- но ниже тактовой частоты регистра. Преж- де чем вдаваться в детали, покажем неко- торые преимущества аналогового шума, полученного цифровым способом. Поми- мо всего прочего, при таком подходе появляется возможность генерировать шум с заданными спектром и амплитудой с подстройкой полосы (путем подстройки тактовой частоты), используя надежные и простые в обращении цифровые схемы. Здесь отсутствуют нестабильность генера-
Сопряжение цифровых и аналоговых сигналов торов на шумящих диодах, проблемы взаимовлияния и помех, которые не дают покоя чувствительным маломощным ана- логовым схемам диодных или резистор- ных генераторов шума Наконец, цифро- вые схемы генерируют повторяемый «шум»; если его отфильтровать с по- мощью взвешенного цифрового фильтра (более подробно об этом несколько поз- же), то можно получить повторяемые ко- лебания шума, независящие от тактовой частоты 9.35. Энергетический спектр последовательности, сформированной при помощи регистра сдвига Спектр выходного сигнала, генерируемо- го регистром сдвига максимальной дли- ны, составляют колебания шума от часто- ты повторения всей последовательности до тактовой частоты и выше. До частоты 12% от тактовой спектр имеет плоскую часть с неравномерностью + 0,1 дБ, затем наблюдается быстрое па- дение до уровня —0,3 дБ на частоте 44% /такт. Таким образом, фильтр нижних час- тот с частотой среза в верхней области 5-10% от тактовой частоты будет преоб- разовывать выходной сигнал регистра сдвига в аналоговое напряжение шума с ограниченной полосой. Для этой цели достаточен даже простой 7?С-фильтр, хо- тя, если возникает необходимость в точной полосе шума, то желательно использо- вать активные фильтры с крутой характе- ристикой на частоте среза (см гл. 5). Для того чтобы эти утверждения звуча- ли более убедительно, обратимся к вы- ходному сигналу регистра сдвига и его спектру. Обычно желательно исключить постоянную составляющую в цифровом сигнале, формируя выходной сигнал, в ко- тором «1» соответствует напряжение +а В, а «0»-а В (рис. 9 84) Это можно легко сделать с помощью двухтактного транзи- сторного каскада. показанного на рис 9 85. Можно также использовать МОП-транзисторы, схемы стабилизации напряжения с фиксирующими диодами, быстродействующий операционный уси- V вых Рис 9.84. + 3 О Рис 9.85. Прецизионная биполярная вых ступень с низким Z„,„. литель с регулировкой тока постоят составляющей в точке суммирования КМОП-ключ ’4053, работающий от + с двумя входами, подключенными к точникам питания. Как мы отмечали выше, автокорр ционная функция последовательности. волов на выходе содержит один пик. г состояния на выходе представить числ + 1 и — 1, то цифровая автокоррелящ ная функция будет иметь вид, показан) на рис 9.86; (цифровая автокоррелхЦ это сумма произведении соответсп ших разрядов при сравнении послед тельности двоичных символов с ее cj нугой копией). +2" -1( = К) -1 01234567 К~2 \ К \ К-1 К' Сдвиг в периодах тактовой частоты Рис. 9.86. Дискретная автокорреляционная фук для полного цикла максимальной последова ности.
688 Глава 9 Рис. 9.87. Непрерывная автокорреляционная функция для полного цикла максимальной последователь- ности. Не путайте ее с непрерывной автокорре- ляционной функцией, которую рассмот- рим несколько позже. Функция на этом графике определена только для сдвигов, соответствующих целому числу тактов. Для всех ненулевых сдвигов и сдвигов, не кратных общему периоду К, автокорреля- ционная функция постоянна и имеет зна- чение — 1 (поскольку в последовательно- сти есть дополнительная 1); по сравнению со значением функции при нулевом сдвиге (К) величина — 1 пренебрежимо мала. Если же неотфильтрованный выход ре- гистра сдвига рассматривать как аналого- вый сигнал (принимающий только два значения +а и —о), то нормализованная автокорреляционная функция будет, как показано на рис. 9.87, непрерывной. Дру- гими словами, при сдвигах более чем на один такт вправо и влево корреляция между значениями сигнала полностью от- сутствует. Энергетический спектр неотфильтрован- ного сигнала на выходе регистра сдвига можно получить по автокорреляционной функции, используя стандартные матема- тические средства. В результате получа- ются равноудаленные серии пичков (дель- та-функций), начинающихся с частоты повторения всей последовательности fr2actjK и затем идущих через равные интервалы То, что спектр состоит из совокуп- ности дискретных спектральных линий, отражает тот факт, что последователь- ность время от времени (периодически) повторяется. Пусть вас не удивляет стран- ный вид спектра; он будет выглядеть не- прерывным при любых изменениях и при- ложениях, которые занимают время, мень- шее чем время цикла регистра. Огибаю- щая спектра неотфильтрованного сигнала на выходе регистра показана на рис. 9.88. Она пропорциональна квадрату функции (sin х)/х. Обратите внимание на одно не- обычное свойство-на тактовой частоте и ее гармониках энергия шума равна ну- лю. Напряжение шума. При генерации ана- логового шума используется, разумеется, только часть низкочастотной области спектра Оказывается, что удельную мощ- ность шума на герц несложно выразить через половинную амплитуду а и такто- вую частоту Лакт- Мощность, выраженная через среднеквадратичное напряжение шу- ма, будет иметь вид (Т \ 1/2 в/Гц12 Jтакт' (/^0,2/такт). Рис. 9.88. Энергетический спектр неотфильтрован- ного сигнала на выходе регистра сдвига. Это относится к нижней части спектра, т е к той части, которая обычно исполь- зуется (для того чтобы определить плот- ность мощности в любой части спектра можно использовать огибающую) Предположим, например, что регистр сдвига максимальной длины работает на частоте 1,0 МГц и организован та- ким образом, что выходное напряжение изменяется от -t-10,0 до —10,0 В Вы- ходной сигнал пропускается через RC- фильтр нижних частот с затуханием 3 дБ на частоте 1 кГц (рис. 9.89). Можно точно вычислить среднеквадратичное напряже- ние шума на выходе. Из предыдущего
Сопряжение цифровых и аналоговых сигналов Рис 9.89. Простой источник псевдослучайного шума. выражения мы знаем, что среднеквадра- тичное напряжение на выходе преобра- зователя уровней равно 14.14 мВ/Гц1/2. Из разд. 7.21 мы знаем также, что полоса шума НЧ-фильтра составляет (тс/2) (1,0 кГц) или 1,57 кГц. Поэтому выходное напряжение шума будет равно <7ср кв = = 0,01414-(1570)1'2 = 560 мВ, а его спектр будет соответствовать низкочастотному /?С-фильтру. 9.36. Низкочастотная фильтрация Аналоговая фильтрация. Полезный спектр шума, создаваемого генератором псевдо- случайной последовательности, простира- ется от низкочастотной границы, обрат- ной периоду повторения (/такт/К), до вы- сокочастотной границы, равной примерно 20% от тактовой частоты (на этой частоте мощность шума на герц падает на 0,6 дБ). Простая низкочастотная фильтрация с использованием 7?С-звеньев, как показано в предыдущем примере, равнозначна уста- новке точки 3 дБ намного ниже тактовой частоты (например, ниже 1% /такт). Для того чтобы использовать часть спектра более близкую к тактовой частоте, жела- тельно применить фильтры с более кру- тым срезом, например фильтры Баттер- ворта или Чебышева. В этом случае пло- скость результирующего спектра будет определяться параметрами фильтра, ко- торые должны быть измерены, поскольку отклонения в параметрах могут вызывать колебания коэффициента передачи в поло- се пропускания С другой стороны, если требуется точное значение напряжения шума на Гц1 2, то необходимо измерить реальный коэффициент передачи фильтра по напряжению Цифровая фильтрация. Недостаток ; лотовой фильтрации заключается в i что если тактовая частота изменяете, несколько раз, то требуется подстрс частоты среза фильтра. В тех случаях, это необходимо, изящное решение j цифровая фильтрация, которая осуще ляется с помошью взвешенной анал< вой суммы последовательных выход разрядов (нерекурсивная цифровая фи. рация). С ее помощью эффективная ча та среза подстраивается под измене тактовой частоты. Кроме того, цифр< фильтрация позволяет спуститься до i дельно низких частот среза (доли гер где аналоговая фильтрация станов! практически беспомощной. Для того чтобы осуществить взвеи ное суммирование одновременно несю ких последовательных выходных ра дов, можно просто воспользоваться личными параллельными выходами рядов регистра сдвига и использовать зисторы различного номинала, поде ченные к суммирующей точке операщ ного усилителя. Для НЧ-фильтра весе коэффициенты должны быть nponopi нальны (sinx)/x; обратите внимание, скольку весовые коэффициенты м< быть обоих знаков, потребуется инве< рование некоторых уровней Так кл этой схеме не используются конденс. ры, выходной сигнал будет состоят! набора дискретных уровней выхода напряжения Используя весовую функция для б< шого числа разрядов последовательно можно улучшить приближение к гауа шуму. Более того, в этом случае анал вый выходной сигнал становится факт ски непрерывным сигналом. По этим 1
690 Глава 9 Рис 9.90 Лабораторный генератор шума с широким частотным диапазоном. чинам желательно использовать как мож- но больше выходов регистра сдвига, используя в случае необходимости до- полнительные ступени регистра сдвига, включенные в обратную связь с вентилем ИСКЛЮЧАЮЩЕЕ ИЛИ. Как и ранее. для задания стабильных цифровых уров- ней напряжения следует использовать ре- зисторную «подвеску» к питанию или КМОП-ключи (для этих целей КМОП-ло- гика является идеальным решением, по- скольку выходы при этом точно соответ-
Сопряжение цифровых и аналоговых сигналов 3,24 kUm 10,0 кОм 0,0 В (ср), 2,0 В (Эфф.), 8,68 В (макс.) 100 пФ 10,0 кОм 1% 10,0 кОм LF411 иъ LT1010 49,9 0м От 0-50 кГц \ и- по 24 10,0 кОм 1% 100 пФ Ф , 10,0 кОм tUL™ 1% iz,x|lf412 10 L г/^i г* Выходной О-ИЖ ги ~ аналоговый шум 1 в (Зфф.) при нагрузке 50 Ом + 12 12 кОм 15 кОм Выход смещения нуля 3,24 кОм -12 ствуют Ucc и земле) Схема, показанная на рис. 9.90, генери- рует псевдослучайный аналоговый шум, полосу которого можно менять с исполь- зованием рассмотренного способа в огром- ном диапазоне. Сигнал кварцевого гене- ратора с частотой 2,0 МГп поступает 24-разрядный программируемый делит» 14536, который формирует тактовую стоту от 1,0 МГц до 0,12 Гц с коэффици том деления кратным 2. 32-разрядн регистр сдвига охвачен обратной связ
692 Глава 9 от 31- и 18-го разрядов и генерирует последовательность максимальной длины с миллиардом состояний (на максималь- ной тактовой частоте полный цикл ре- гистра проходит за полчаса). Здесь мы использовали взвешенное суммирование с функцией (sinx)/x на 32 последователь- ных разрядах последовательности. Эле- менты Yj и У2 усиливают инвертирован- ные и неинвертированные выходы соот- ветственно и запускают дифференциаль- ный усилитель У3. Коэффициенты усиле- ния выбираются таким образом, чтобы сформировать на 50-омной нагрузке на- пряжение 1,0 В ср. кв. без постоянной составляющей (2,0 В ср. кв. на холостом ходу). Обратите внимание на то, что эта амплитуда шума не зависит от тактовой частоты, т.е. от общей полосы. Такой цифровой фильтр имеет частоту среза на 0,05 /такт и формирует спектр белого шума от полосы постоянный ток —50 кГц (мак- симальная тактовая частота) до полосы постоянный ток — 0,006 Гц (минимальная тактовая частота) на 24 диапазонах. Схе- ма вырабатывает выходной сигнал от + 1,0 до —1,0 В. Относительно этой схемы можно сде- лать несколько важных замечаний. Обра- тите внимание на то, что в этой схеме в обратной связи используется инвертиру- ющий вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ, поэтому инициализацию регистра можно осуществить простым обнулением Исполь- зование инверсии входных последователь- ных сигналов исключает состояние «все единицы» (а не «все нули» как при исполь- зовании обычного вентиля ИСКЛЮЧА- ЮЩЕЕ ИЛИ), но все другие свойства остаются в силе Взвешенное суммирование конечного числа битов не может сформировать на- стоящий гауссов шум из-за ограничения по амплитуде пика. Можно показать, что выходная амплитуда пика (на 50 Ом) составляет + 4,34 В что дает коэффициент формы 4.34. Эти цифры имеют важное значение, поскольку для того, чтобы исключить эффект ограничения, коэффи- циент усиления Yj необходимо с помощью У2 поддерживать достаточно низким Внимательно приглядитесь к методам, используемым для формирования выход- ных сигналов с нулевой постоянной со- ставляющей из уровней КМОП со сред- ним значением +6,0 В (низкий уровень- 0 В, высокий - + 12 В). Описанный метод цифровой низкоча- стотной фильтрации последовательностей максимальной длины используется во многих коммерческих генераторах шума. 9.37. Краткое заключение Несколько заключительных замечаний относительно последовательностей, гене- рируемых регистрами сдвига, как источ- ников аналогового шума. На основании трех перечисленных ранее свойств регист- ров максимальной длины вы, возможно, склонны заключить, что выходная после- довательность «чересчур случайна» в том смысле, что она имеет точное число серий заданной длины и т.п. Настоящая слу- чайная машина для подбрасывания моне- ты не генерировала бы на единицу больше «орлов», чем «решек», а ее автокорреля- ционная функция не была бы абсолютно плоской для конечной последовательно- сти. Посмотрите на это с другой стороны Если бы вы использовали единицы и нули, вырабатываемые регистром сдвига, для управления случайным блужданием, дви- гаясь вперед на один шаг при получении единицы и назад на один шаг при получе- нии нуля, то оказались бы на расстоянии ровно в один шаг от начальной точки после того, как регистр пройдет весь цикл. Этот результат уж никак нельзя назвать случайным' Вместе с тем упомянутые свойства ре- гистра сдвига верны только для всей по- следовательности из 2" — 1 бит взятой как одно целое. Если вы используете фраг- мент полной битовой последовательно- сти, то его свойства будут довольно точно аппроксимировать случайный автомат для подбрасывания монеты. Представьте себе аналогичный процесс - извлечение красных и синих шаров наугад из урны, в которую вначале помешены К шаров (половина красных, половина синих) Если вы вытаскиваете шары без возвраще-
Сопряжение цифровых и аналоговых сигналов 69 ния, то сначала вы рассчитываете полу- чить почти случайную статистику По ме- ре убывания шаров в урне статистика изменяется за счет того, что общее число красных и синих шаров должно оставать- ся тем же самым. Представление о том, как это происхо- дит, можно получить, вновь вернувшись к случайному блужданию. Если предполо- жить, что единственным «неслучайным» свойством последовательности является точное равенство «1» и «О» (не обращая внимание на одну лишнюю «1»), то мож- но показать, что описанное случайное блуждание после г вытаскиваний из об- щей «популяции» К/2 единиц и К/2 нулей приведет к среднему расстоянию от на- чальной точки, равному X = [r(K - r)/(K - I)]1''2. (Этим выражением мы обязаны Е. М. Pur- cell.) Поскольку при полностью случай- ном блуждании X равно корню квадрат- ному из г, коэффициент (К — г)/(К — 1) отражает влияние конечных содержимых урны. Пока г «К, случайность блуждания чуть-чуть отличается от случая абсолют- но случайного блуждания, и генератор псевдослу чайной последовательности прак гически неотличим от реального автомата. Мы проверили это на несколь- ких тысячах случайных блужданий под управлением ПСП (каждое в несколько тысяч шагов) и обнаружили идеальную случайность по этому простому крите- рию. Тот факт, что генераторы ПСП выдер- живают этот тест, разумеется, не гаранти- рует. что они будут удовлетворять и бо- тее сложным тестам на случайность, на- пример тестам на корреляцию более вы- сокого порядка. Такие корреляционные зависимости также оказывают влияние на свойства аналогового шума, генерируемо- го путем фильтрации ПСП Несмотря на то что амплитуда шума имеет гауссово распределение, возможно наличие корре- ляции амплитуд более высокого порядка, не свойственной настоящему случайному шуму. По этому поводу сейчас принято считать, что чем больше отводов участ- вует в обратной связи (предпочтительно порядка ш/2), тем «лучше» шумовые сво ства (при использовании для формиров ния последовательных входов дерева че ности на элементах ИСКЛЮЧАЮЩ ИЛИ). Те, кто проектирует генераторы шумо должны познакомиться со сдвиговым р гистром переменной длины в КМОП-л гике 4557 (от 1 до 64 разрядов), конечн вы должны использовать его в сочетай! с регистром с параллельным выхода (типа 4015 или ’164) для того, чтоб обеспечить п отводов. В разд. 7.20 обсуждается вопрос о ш мах и приводится пример генератора «р зового» шума на ИМС регистра макс мальной длины ММ5437. 9.38. Цифровые фильтры Последний пример затронул интересну тему цифровой фильтрации, в данно случае формирование НЧ-сигнала пути взвешенного суммирования 32 значени псевдослучайной последовательност каждое из которых соответствует уровн напряжения 0 или + 12 В. На вход тако< «фильтра» поступают сигналы, которь могут иметь только два уровня напряж ния Вообще говоря, то же самое можи сделать с аналоговым сигналом на вход образуя взвешенную сумму его значенг (х{), распределенных во времени чер равные интервалы к- = Е Vi-k- к — — со Здесь х, являются дискретными выбо.] ками из входного сигнала. hk- весов: коэффициенты, а у,-значения выходног сигнала В реальных условиях цифров. фильтр будет суммировать только коне ное множество входных значении, ка например в генераторе шума где бы, использовано 32 члена. На рис. 9 91 сх матично показано, как это происходит. Заметьте, что такой фильтр мож. об задать интересным свойством симме ричности во времени т.е. усреднение прошлого и будушего для того, чтоб сформировать текущее значение выход;
694 Глава 9 Направление движения данных Рис. 9.91. Нерекурсивный цифровой фильтр Разумеется, реальные аналоговые фильт- ры умеют лишь смотреть в прошлое и соответствуют цифровым фильтрам с не- нулевыми весовыми коэффициентами только при к 0. Частотная характеристика симметрично- го фильтра. Можно показать, что частот- ная характеристика симметричного фильт- ра (hk = h_k) имеет вид 00 H(f) = h0 + 2 X hkcos2nkftorc, к - 1 где ZOTC-время между выборками (отсче- тами). Нетрудно заметить, что hk пред- ставляют собой коэффициенты разло- жения в ряд Фурье требуемой частот- ной характеристики. Это объясняет, по- чему в случае представленной ранее схе- мы генератора весовые коэффициенты были выбраны в соответствии с функцией (sm х)/х: они являются компонентами Фурье заграждающего НЧ-фильтра. В та- ких симметричных фильтрах фазовый сдвиг на любой частоте либо равен О, либо 180°. Рекурсивные фильтры. Можно получить интересный класс цифровых фильтров если на вход фильтра в дополнение к внешнему входному сигналу подать соб- ственный выходной сигнал фильтра. Та- кой фильтр можно рассматривать как фильтр с обратной связью. Он имеет при- чудливое название рекурсивный фильтр (или с бесконечной импульсной характе- ристикой) в противоположность рассмот- ренному выше нерекурсивному (с конеч- ной импульсной характеристикой) фильт- ру. Можно, например, сформировать вы- ходной сигнал в соответствии со следую- щим выражением. У, = Ау^к + (1 - Л)х,- Это соответствует низкочастотной харак- теристике, эквивалентной той, которой обладает простой низкочастотный RC- фильтр А — e~tmc^RC, где готс-интервал времени между после- довательными выборками х, из входного сигнала. Эта ситуация, конечно, не явля- ется идентичной ситуации с аналоговым низкочастотным фильтром, работающим с аналоговым сигналом, по причине диск- ретной природы отсчетов Пример НЧ-фильтра. В качестве число- вого примера предположим, что вам тре- буется отфильтровать ряд числовых зна- чений, соответствующих сигналу с затуха- нием 3 дБ на частоте /3дБ = 1/20готс. Та- ким образом, постоянная времени соот- ветствует интервалу времени 20 последо- вательных отсчетов В этом случае А — 0.95123, а выходной сигнал определяется по выражению у{ = 0,95123у, _ х + 0,04877 х,. С увеличением постоянной времени относительно времени между отсчетами
Сопряжение цифровых и аналоговых сигналов 695 /отс приближение к реальному НЧ-фильт- ру улучшается. Для обработки данных уже представ- ленных в виде дискретных отсчетов, как, например, массив данных в компьютере, вы, возможно, предпочтете использовать такой фильтр. Рекурсивный фильтр при этом будет реализовываться с помощью однократного прохода по данным с три- виальной арифметической обработкой. Программа НЧ-фильтра на языке Форт- ран будет выглядеть следующим обра- зом: А = ехр( —TS/TC) В= 1. - А DO 10/ = 2,N 10Х(/) = А*Х(1 — 1) + где Xматрица данных, TS-интервал времени между отсчетами (т. е. TS = 1/£,тс), а ТС-выбранная постоянная времени фильтра. Эта маленькая программа осу- ществляет фильтрацию на месте, т.е. она заменяет первоначальные данные отфильтрованными. Можно, конечно, создавать из отфильтрованных данных отдельный массив НЧ-фильтр с коммутацией. Такой же фильтр можно построить аппаратным пу- тем. используя схему, показанную на рис. 9.92 Ключи на полевых транзисторах Sj и S2 коммутируются с некоторой тактовой частотой, периодически заряжая конденса- тор до входного напряжения и затем передавая его заряд на конденсатор С2. Если С2 имеет напряжение U2, а Сг заря- жается до входного уровня Е\, то при подключении Сг к С2 напряжение на обоих конденсаторах будет определяться соот- ношением U = (C1Ul + C2U2)I{C2 + С2). что эквивалентно рассмотренному ранее рекурсивному фильтру при = С2/(С1 + С2)у;_ г + ^/(Ci + C2)xf. Приравнивая эти коэффициенты к задан- ному ранее значению А, получим ЛдБ = (1/2я)/„е1п(С1 + С2)/С2. Упражнение 9.8. Покажите, что этот результат правилен. Этот фильтр практичен во всех отноше- ниях и обладает одной привлекательной особенностью - электронной настройкой посредством тактовой частоты /ОТС. В обычных схемах используются КМОП- ключи, а емкость С\ берется много боль- ше С2. Поэтому сигнал переключения должен быть несимметричным и боль- шую часть времени тратить на замыкание Приведенная схема представляет собой простой пример фильтра с коммутацией; в этот класс фильтров входят фильтры, выполненные на матрицах коммутируе- мых конденсаторов. Они имеют периоди- ческую частотную характеристику, что де- лает их удобными для использования в качестве гребенчатых и узкополосных ре- жекторных фильтров Для всех фильтров классического типа (Баттерворта, Чебышева и т.п.) можно построить их приближенные дискретные аналоги в форме ВЧ, НЧ, полосовых и заграждающих фильтров, как симметрич- ных во времени, так и с истинным време- нем запаздывания. Такие фильтры очень удобны при обработке квантованных дан- ных, перед которыми, безусловно, боль- шое будущее. В настоящее время выпускают большое число недорогих ИМС фильтров с комму- тируемыми конденсаторами. ИМС MF4 фирмы National, например, является -+- звенным НЧ-фильтром Баттерворта, раз- мещенным в корпусе мини-DIP; он не требует внешних компонентов и работает от одного источника питания с напряже- нием от -5 до -*-14 В. Частота среза фильтра (0,1 Гц мин. и 20 кГЦ макс.) устанавливается с помощью внешней так- товой частоты frai.r = ИМС MF5 и MF10 («универсальные фильтры с ком- мутируемыми конденсаторами») работа-
696 Глава 9 ют несколько иначе. С помощью внешних резисторов устанавливаются тип фильтра (ВЧ, НЧ, полосовой, заграждающий) и характеристика фильтра (Баттерворта, Чебышева и т. п.), а с помощью тактовой частоты, как и раньше, устанавливается частота среза. Другими изготовителями фильтров с коммутируемыми конденсато- рами являются фирмы American Microsy- stems (AMI), Linear Technology (LTC) и Re- ticon. Фирма LTC как всегда использова- ла несколько хитроумных приемов для улучшения технических характеристик Ее фильтры LTCI062 и МАХ280 похожи на MF4, но являются 5-звенными и имеют нулевую ошибку на постоянном токе! По- следнее свойство реализовано путем раз- мещения фильтра вне пути постоянного тока (рис. 9.94). Гибкие фильтры серии МАХ260 допускают управление важными Рис. 9.93. сигналов. Цифровой генератор синусоидальных Рис. 9.94. Цифровой НЧ-фильтр с малым сдвигом по постоянному току
Сопряжение цифровых и аналоговых сигналов 697 параметрами фильтра от микропроцессо- ра. Вообще говоря, такие фильтры с ком- мутируемыми конденсаторами работают только на верхнем конце звукового диапа- зона. В них также наблюдается сущест- венное проникновение тактовой частоты на выход обычно в пределах 10-25 мВ. Это ограничивает их динамический диа- пазон в тех применениях, где тактовая частота находится внутри полосы пропу- скания (например, ВЧ-фильтры). Они мо- гут иметь значительный шум, ограничи- вающий динамический диапазон до 80 дБ и менее (сравните со 140 дБ и выше для хорошего операционного усилителя). С другой (положительной) стороны, фильт- ры на коммутируемых конденсаторах просты в применении и позволяют осу- ществлять эффективную настройку (с по- мощью тактовой частоты). Фильтры та- кого типа широко используют в модемах (при передаче данных по телефонным ли- ниям) и в других областях связи. См. разд. 5.11. Формирование синусоидальных колеба- ний цифровым способом. С нерекурсивной цифровой фильтрацией связан интерес- ный способ синтеза синусоидальных ко- лебаний с использованием взвешенных сумм сигналов с выходов счетчика Джон- сона (кольцевой счетчик с коэффициентом пересчета, вдвое превышающим число разрядов). Схема на рис. 9.93 показывает способ реализации такого генератора ИМС 4015 представляет собой 8-разряд ный регистр сдвига с параллельным выхо дом. Подавая на вход инвертированньп сигнал с последнего разряда, можно орга низовать счетчик Джонсона, который бу дет проходить через 16 состояний (в общел случае 2и состояний для «-разрядного ре- гистра сдвига). Начиная с состояния «во нули», происходит заполнение счетчика слева направо «1» (марш «1») до полной заполнения всеми единицами, затем начи нается марш «0» и т. д. Показанное ш рисунке взвешивание формирует 8-уров невое приближение к синусоидальному колебанию с частотой, равной 1/16 такто вой частоты, и с первым ненулевым чле- ном искажения на 15-й гармонике, имею- щей затухание 24 дБ. СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ 9.39. Удачные схемы На рис. 9.95 приведено несколько приме- ров сопряжения логических и линейных схем. 9.40. Негодные схемы На рис. 9.96 показаны схемы с основными грубыми ошибками сопряжения. Для каж- дой схемы попытайтесь объяснить, в чем состоит ошибка и как ее устранить. Логика МОП СХЕМЫ а Рис. 9.95. а-преобразователь отрицательных уровней в уровни ТТЛ; б-управление нагрузкой; подключенно! на землю;
698 Глава 9 Рис 9.95. Продолжение. в-амплитудный дискриминатор; г-схема управления соленоидом; д- 8-канал ьныи мультиплексор с диффере циальным входом; е-фазовый детектор с подавлением 60 дБ; ж-прецизионный триггер Шмитта.
Сопряжение цифровых и аналоговых сигналов 699 а д Рис. 9.96. а—формирователь задержанного фронта; б-индикатор логического состояния, в-сопряжение эле- мента Г ГЛ с высокоомным выходом (две неудачные схемы); г повышение нагрузочной способности элемента Л ГЛ с помощью повторителя; д—проводное ИЛИ на вентилях с активной нагрузкой; е—счетчик пересечений нуля; ж- RS-тригтер; з—сопряжение элемента ТТЛ со схемами высоких уровней.
700 Глава 9 Символ ♦Дешифрация адреса символа О О 1 О О 1 Рис. 9.97. МЗЦ ♦ сзц ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ (1) Постройте схему для обнаружения кратковремен- ного пропадания напряжения питания +5 В Схема должна иметь кнопку СБРОС и светодиод для индика- ции НЕПРЕРЫВНОГО ПИТАНИЯ Сделайте так, чтобы она работала от напряжения +5 В. (2) Почему нельзя построить 2л-разрядный ЦАП с помощью двух л-разрядных ЦАП и пропорциональ- ного суммирования их выходов (BEIXj + ВЫХ2/2")? (3) Убедитесь в том, что максимальное значение сигнала на выходе псевдослучайного генератора шума на рис. 9.90 равно +8,68 В. (4) Эксперимент осуществляется под управлением программируемого вычислителя, соединенного с раз- личными исполнительными и измерительными прибо- рами. Вычислитель дает приращение различным пере- менным, находящимся под его управлением (напри- мер, длине волны излучения, поступающего от мо- нохроматора), и обрабатывает результаты соответст- вующих измерении (например, количество переданно- го света, скорректированное с учетом известной чувст- вительности детектора). В результате образуются па- ры значении х, у. Задача состоит в том. чтобы спроектировать схему для вычерчивания графика на аналоговом графопостроителе. Выходы вычислителя для каждой пары значений представляют собой два 3-разрядных (двоично-деся- тичных) символа. Для уменьшения количества связей числа представляются по одному в единицу времени («бит-параллельно, символ-последовательно») в со- провождении 2-разрядного адреса. Импульс СИМ- ВОЛ ВЕРЕН показывает, что данные и адрес правиль- ны и их можно, например, зафиксировать. Уровень х'/у сообщает о том, какому числу принадлежит выводимый символ (х или у). Это показано на рис. 9.97. Данные передаются в следующем порядке: х„(МЗЦ) х„(СЗЦ). у„(МЗЦ) . уп(СЗЦ); таким образом, после поступления СЗЦ величины у (Аг = 0, А2 = 1, х'/у = 1) известно, что вы получили всю пару х, у. В этот момент вы должны обновить цифры, посту- пающие на ЦАП (не обновляйте их по одной). Нет необходимости присваивать ИМС отдельные номера; дайте им общее наименование, например D-триггер или дешифратор «1 из 10». Укажите, в ка- ких местах входы или выходы инвертируются (с помощью маленьких кружочков). Считайте, что в ва- шем распоряжении имеются ЦАП. которые восприни- мают 3-разрядные двоично-десятичные символы с ло- гическими уровнями и обладают токовыми выходами от 0 до 1 мА. соответствующие входным кодам от 000 до 999. Поскольку двухкоординатный графопострои- тель имеет 10-вольтовую полную шкалу, вам придет- ся преобразовать ток в напряжение. Проверьте свою изобретательность, введя дополнительное усложне- ние: предположите, что размах выходного сигнала ЦАП всего 1 В
ПРЕДМЕТНО-ИМЕННОЙ УКАЗАТЕЛЬ Акселерометр 683 Активный фильтр 278-300 — Баттерворта 284 — биквадратный 293 — Бесселя 286 — гиратор 296 - ИНУН 289 — Чебышева 283 АЛУ 522 Аналоговые ключи 133, 151 Аналого-цифровой преобразователь 640, 648- 659 --выбор 660 Анодная вольтметрия 697 Аттенюатор 26 Байт 499 Блокировочный конденсатор 44 Боде диаграммы 260. 265 Буферы 439 Вентили логические 501 - - И 502 - - ИЛИ 502 - - И-НЕ ИЛИ-НЕ 503 --исключающее ИЛИ 503 --каталог 507 --обозначения 506 --открытый коллектор 511 --передающие 519 --проводное ИЛИ 514 --схемы 509 --с тремя состояниями 511 ВМОП 167 Всплеск 539 Выпрямитель мостовой 539 «Гасяший» резистор 348 Генераторы 300 Гиратор 296 Дарлингтона схема 103 Демультиплексор 520 Детектор 231, 561-571 — фазовый 672 Дешифратор 520 — таблица истинности 524 Диод 50-61 — варактор 315 — Зенера см. Стабилитрон — ограниченный 56-59 Дифференциатор 239 Дребезг контактов 530 Дроссель ВЧ 35 Емкость нагрузочная 439 627 — перехода 112 Захват фазы 479 Защита нагрузки по напряжению 329-340 Измерения 473 Искажения нелинейные 101, 434 Исключенные (запрещенные) состояния 537 Источник (генератор) тока 79 - на ПТ 132 - трехвыводной стабилизатор 400 Кабельные связи 630 Карно карта 516 Каскодная схема 112 Класс А (усилителей) 99 - АВ 102 - В 101 Ключи на ПТ 149 КМОП, входные характеристики 616 - выходные характеристики 595 --элементы 615 — инвертор 162 — ключи 161 — линейный усилитель 165 — логические уровни 497 — недостатки 578 — сопряжение с ТТЛ 590. 597 Код Грея 501 — двоично-десятичный 499 — двоичный 500 — дополнительный 500 — прямой 500 — смещенный 500 Колпитца генератор 315 Комбинационные логические схемы 515-529 Коммутационный фильтр 695 Компаратор 245, 605 — чисел 523 Конденсатор 27
702 Предметно-именной указатель — балластный 46 — блокировочный 44 — накопитель энергии 49 — полное сопротивление 36 — ток утечки (компенсация) 416 — фильтрующий 49, 347 — эффект запоминания 416 Коррекция (компенсация) частотная 260, 429- 437 Коррелятор 523 КОСС 109, 191 Кулонометр 59, 667 Логические состояния 496 — уровни 497 Массив 519 Миллера эффект 111-113 Минимизация 516 Модуля схема выделения 296 МОП 615 Моргана теорема 506 Мультивибратор моностабильный 540 Мультиплексор 151, 524, 698 Обратная связь 248 --устойчивость 260 Ограничитель (фиксатор) 57, 236 — тока 333 Одновибратор 540 Операционный усилитель 181-245, 412-444 Опорный источник с напряжением запрещенной зоны 349 Память 564 Переменный ток, управление нагрузкой 614 Плавкий предохранитель 345 Плата макетная 628 Положительная обратная связь 654 Помехи импульсные («всплески») 540 Порты 400 Последовательная логика 529-540 Преобразователь кодов 504, 520 — напряжения постоянного тока 385 — тока в напряжение 190 Пробой база — эмиттер 75 — диода 50 Разъем 63 - BNC 63 Регистр сдвига 550 Резервные батареи питания 56 Резисторы 10 Система синхронизации 534-540 Следящая связь 105, 190 Составной транзистор см. Дарлингтона схема Стабилизатор напряжения 34. 75, 401 — тока диодный 136 Стабилитрон 20, 349 Сумматор 522 Счетчик 535, 548 Таблица истинности 501, 524 Тактовые сигналы входные 531 --реального времени 507 --скос фронтов 576 Теплоотвод 329 Ток, ограничение 327 Токовое зеркало 96, 241 Транзистор 68-120 Триггер 529-538 — Шмитта 246, 605 ТТЛ 510 Угловая частота 22 Усилитель буферный 539 — дифференциальный 545 — переменного тока 83-87, 185 Фазовыравнивающая цепь 281 ФАПЧ (фазовая автоподстройка частоты) 671- 684 Фиксатор 547 Фильтр коммутационный 695 — рекурсивный 694 Характеристическое сопротивление 633 «Холодное» переключение (управление) 477 Цезиевый стандарт частоты 320 Цифро-аналоговый преобразователь 642-647 --выход по напряжению 643 --с умножением 646 --токовые ключи 643 Цифровая логика 133 Шина 629 — адреса 637 - данных 629 — передающая 630 Шифратор 522 Шум 454-479 — цифровая генерация 684 Шунт емкостный 49 Эберса-Молла модель 87 Эмитгерный повторитель ’’2 Эрли эффект 82, 89
ОГЛАВЛЕНИЕ Предисловие. Перевод О. А. Соболевой .5 Предисловие к первому изданию. Перевод О. А. Соболевой ...........6 ГЛАВА 1. ОСНОВЫ ЭЛЕКТРОНИКИ. Перевод О. А. Соболевой............8 Введение..............................8 Напряжение, ток и сопротивление.......8 Сигналы..............................22 Конденсаторы и цепи переменного тока.27 Индуктивности и трансформаторы.......35 Полное и реактивное сопротивление....36 Диоды и диодные схемы................50 Другие пассивные компоненты..........61 Дополнительные упражнения............66 ГЛАВА 2. ТРАНЗИСТОРЫ. Перевод О.А. Соболевой............68 Введение.............................68 Некоторые основные транзисторные схемы.............................70 Модель Эберса — Молла для основных транзисторных схем................87 Некоторые типы усилительных каскадов.99 Некоторые типичные транзисторные схемы............................114 Схемы, не требующие пояснений.......116 Дополнительные упражнения...........120 ГЛАВА 3. ПОЛЕВЫЕ ТРАНЗИСТОРЫ. Перевод Б.Н. Бронина.............121 Введение............................121 Основные схемы на ПТ................132 Ключи на ПТ.........................149 Схемы, не требующие пояснений .......180 ГЛАВА 4. ОБРАТНАЯ СВЯЗЬ И ОПЕРАЦИОННЫЕ УСИЛИТЕЛИ. Перевод О. А. Соболевой...........181 Введение.............................181 Основные схемы включения операционных усилителей.......................184 Калейдоскоп схем на операционных усилителях.......................190 Подробный анализ работы операционных усилителей.......................196 Подробный анализ работы некоторых схем на операционных усилителях..........227 Диэлектрическое поглощение...........235 Работа ОУ с одним источником питания..240 Компараторы и триггер Шмитта.........245 Обратная связь и усилители с конечным усилением .........................248 Некоторые типичные схемы с операционными усилителями........................255 Частотная коррекция усилителей с обратной связью.............................260 Схемы, не требующие пояснений........268 Дополнительные упражнения............268 ГЛАВА 5. АКТИВНЫЕ ФИЛЬТРЫ И ГЕНЕРАТОРЫ. Перевод М.Н. Микшиса............273 Активные фильтры...................278 Схемы активных фильтров............288 Генераторы.........................300 Схемы, не требующие пояснений......321 Дополнительные упражнения..........323 ГЛАВА 6. СТАБИЛИЗАТОРЫ НАПРЯЖЕНИЯ И ИСТОЧНИКИ ПИТАНИЯ. Перевод А. И. Коротова..........324 Базовые схемы стабилизаторов на основе классической ИМС 723.............324 Проектирование теплоотвода мощных схем............................329 Нестабилизированные источники питания.........................343 Источники опорного напряжения.......349 Трехвыводные и четырехвыводные стабилизаторы............... 360 Источники питания специального назначения......... .............3894 Схемы, не требующие пояснений.......405 Дополнительные упражнения...........411 ГЛАВА 7 ПРЕЦИЗИОННЫЕ СХЕМЫ И МАЛОШУМЯЩАЯ АППАРАТУРА Перевод Б.Н. Бронина..........412 Разработка прецизионной аппаратуры на операционных усилителях......412 Дифференциальные и измерительные усилители...........................445 Шумы усилителей.......................454 Измерение шума и источники шума.......473 Помехи: экранирование и заземление...479
704 Оглавление Схемы, не требующие пояснений........489 Дополнительные упражнения..... . .. .493 ПАВА 8. ЦИФРОВЫЕ СХЕМЫ Перевод Ю.В Чечёткина.....................495 Основные логические понятия......... 495 Логические уровни................... 497 ТТЛ и КМОП...........................507 Комбинационная логика................515 Последовательная логика..............529 Моностабильные мультивибраторы.......540 Последовательностные функции, реализуе мые на стандартных ИМС............547 Некоторые типовые цифровые схемы.....567 Патология в логических схемах........574 Схемы, не требующие пояснений......580 Дополнительные упражнения . .......583 ГЛАВА 9 СОПРЯЖЕНИЕ ЦИФРОВЫХ И АНАЛОГОВЫХ СИГНАЛОВ Перевод Л. В Поспелова .........590 Сопряжение логических КМОП и ТТЛ-элементов.......................590 Цифровые ешналы и длинные цепи. .626 Аналоге цифровое преобразование....640 Примеры А/Ц преобразования.........664 Схемы фазовой автоподстройки частоты ... 671 Псевдослучайные двоичные последователь- ности и 1 енераторы шума....... . . 684 Схемы, не требующие пояснений......697 Дополнительные упражнения..........700 Научное издание Пауль Хоровиц, Уинфилд Хилл ИСКУССТВО СХЕМОТЕХНИКИ Издание 5-е. переработанное Заведующая редакцией Т.Г. Хохлова Ведущий редактор А. Г. Шемятенков Художественный редактор Н.В Дубова Технический редактор Л.П. Бирюкова Лицензия ЛР № 010174 от 20.05.97 г. Подписано к печати 29.09.98. Формат 70 х 100'/i6. Бумага офсетная. Печать офсетная Гарнитура тайме. Объем 22 бум. л. Усл. печ. л. 57,20. Уч.-изд. л 63.03. Изд. № 6/8013. Тираж 5000 экз. Зак. 626. С018. Издательство «Мир» Государственного комитета Российской Федерации по печати. 129820, Москва, И-110, ГСП, 1-й Рижский пер, 2 Отпечатано в полном соответствии с качеством предоставленных диапозитивов в ОАО «Можайский полиграфический комбинат». 143200, г. Можайск, ул. Мира, 93.