Text
                    ЦИФРОВЫЕ УСТРОЙСТВА
СИСТЕМ ПРИВОДОВ
ЛЕТАТЕЛЬНЫХ АППАРАТОВ


ФЕДЕРАЛЬНОЕ АГЕНТСТВО ПО ОБРАЗОВАНИЮ МОСКОВСКИЙ АВИАЦИОННЫЙ ИНСТИТУТ (государственный технический университет) Б.Н. ПОПОВ ЦИФРОВЫЕ УСТРОЙСТВА СИСТЕМ ПРИВОДОВ ЛЕТАТЕЛЬНЫХ АППАРАТОВ Допущено Учебно-методическим объединением высших учебных заведений Российской Федерации по образова¬ нию в области авиации, ракетостроения и космоса в качестве учебного пособия для студентов высших учебных заведений РФ, обучающихся по направлению подготовки дипломированного специалиста 160600 «Интегрированные системы летательных аппаратов» и специальности 160603 «Системы приводов летательных аппаратов» Москва Издательство МАИ-ПРИНТ 2008
ББК 34.447 П 58 П58 Попов Б.Н. Цифровые устройства систем приводов летатель¬ ных аппаратов: Учебное пособие. — М.: Изд-во МАИ-ПРИНТ, 2008. — 124 с.: ил. ISBN 978-5-7035-1945-5 Изложены основы теории цифровых устройств и методы их про¬ ектирования. Рассмотрены комбинационные и последовательностные цифровые устройства, преобразователи информации, применяемые в системах автоматики. Большое внимание уделено вопросу минимиза¬ ции логических функций. Приведены примеры цифровых устройств, используемых в системах приводов ЛА, в том числе устройства управ¬ ления двигателями. Предназначено для студентов авиационных вузов, обучающихся по специальности «Системы приводов летательных аппаратов» и смеж¬ ным специальностям. Рецензенты: кафедра «Системы автоматического управления» Тульского госу¬ дарственного университета (зав. каф. д-р техн, наук, проф. О.В. Горя¬ чев)-, канд. техн, наук В.П. Андреев ISBN 978-5-7035-1945-5 © Московский авиационный институт (государственный технический университет), 2008 © Попов Б.Н., 2008
1. ВВЕДЕНИЕ В ЦИФРОВУЮ ЭЛЕКТРОНИКУ 1.1. Место и назначение цифровых устройств в следящих приводах В состав современных систем приводов ЛА входят разнообраз¬ ные цифровые либо микропроцессорные устройства. Такие приво¬ ды называют цифровыми следящими приводами (ЦСП). Блок-схема современного автономного ЦСП представлена на рис. 1.1, где приняты следующие обозначения: ЦУМ — цифровая управляющая машина; СМВ — специализированный микропроцес¬ сорный вычислитель; ЦАП — цифроаналоговый преобразователь; АЦП — аналого-цифровой преобразователь; ИУ — исполнительное устройство, состоящее из усилителя мощности, исполнительного двигателя и механической передачи; ЦЭУПУ — цифровое электрон¬ но-усилительное преобразовательное устройство. ЦУМ реализует требуемый закон движения выходного звена привода и передает его в виде двоичного «-разрядного кода Кв на вход привода. СМВ получает «-разрядные коды Кв и К,-, вычисляет код ошиб¬ ки: Кд= Кв — Кс и далее — код управления Ку. СМВ обеспечивает реализацию требуемых динамических свойств привода, т.е. реали- Место цифровых устройств Рис. 1.1. Блок-схема ЦСП 3
зует цифровую коррекцию, экстраполяцию, пересчет координат, обработку информации с первичных датчиков, алгоритмы встроен¬ ного контроля. ЦАП преобразует код управления в маломощный электричес¬ кий аналоговый сигнал. ИУ — это электро- (пневмо-, гидро-) механический преобразо¬ ватель, который преобразует маломощный электрический сигнал в перемещение (угловое либо линейное). АЦП преобразует механическое перемещение в «-разрядный двоичный код Кс. ЦЭУПУ представляет собой управляющий информационный канал следящего привода. 1.2. Условные обозначения цифровых интегральных микросхем Основные определения, используемые в цифровой электрони¬ ке, приведены в ГОСТ 17021-88. Интегральная микросхема (ИС) — микроэлектронное изделие, выполняющее определенную функцию преобразования и обработки сигналов. ИС состоит из элементов (транзистор, конденсатор, ре¬ зистор, диод). Совокупность элементов реализуется в технологичес¬ ком процессе. В состав ИС могут входить компоненты — самосто¬ ятельные микроэлектронные изделия (например, бескорпусной тран¬ зистор), в этом случае ИС называют гибридной. ИС бывают аналого¬ вые и цифровые. В данном пособии рассматриваются преимуществен¬ но цифровые ИС. ИС разрабатываются и выпускаются предприятиями в виде се¬ рий. К серии относят совокупность ИС, которые выполняют различ¬ ные функции, но имеют единое конструктивное и технологическое исполнение. Таким образом, у всех ИС одной серии одинаковый вид корпуса. Корпус — часть конструкции ИС, предназначенная для защиты микросхемы от внешних воздействующих факторов и для соединения с внешними электрическими цепями с помощью выво¬ дов. По конструктивно-технологическому исполнению все серии ИС делятся на три группы: полупроводниковые, гибридные, прочие. Этим группам в системе условных обозначений присвоены цифры: 4
1, 5, 6, 7 — полупроводниковые ИС; 2, 4, 8 — гибридные ИС; 3 — прочие ИС. По функциональному признаку ИС делятся на подгруппы (на¬ пример, логические элементы, триггеры, преобразователи, схемы арифметических и дискретных устройств). Обозначение ИС состоит из четырех обязательных полей. Первое поле — цифра, определяющая конструктивно-техноло¬ гическую группу. Второе поле — две или три цифры, характеризующие порядко¬ вый номер серии внутри данной технологической группы. Таким образом, первые два поля составляют три или четыре цифры и оп¬ ределяют полный номер серии ИС. Третье поле — две буквы, соответствующие подгруппе и виду ИС. Подгруппа определяет функциональное назначение ИС (логи¬ ческие элементы — Л, триггеры — Т, схемы цифровых устройств — И и т.д.). Вид конкретизирует функциональное назначение ИС внут¬ ри подгрупп (триггеры: универсальные — В, счетные — Т, с задер¬ жкой — Ми т.д.). Четвертое поле — порядковый номер разработки ИС серии внут¬ ри в данного вида, может состоять из одной или двух цифр. Впереди обозначения могут быть одна или две буквы. Если буква одна, то это условия изготовления на заводе (номер приемки мик¬ росхемы). После четвертого поля также может стоять буква (А, Б, В, Г), характеризующая электрический разброс параметров. В качестве примера рассмотрим ИС КМ555ЛАЗ (рис. 1.2). п К М 5 55 Л АЗ 1Т Номер разработки ИС данного вида Вид (по функциональному признаку) Подгруппа (по функциональному назначению) Порядковый номер данной серии Группа (по конструктивно-технологическому исполнению) Особенности конструктивного исполнения Условие приемки на заводе-изготовителе Рис. 1.2. Обозначение интегральной схемы 5
По степени интеграции (число элементов на кристалле) микро¬ схемы делятся на простые интегральные схемы (до 100), средней сте¬ пени интеграции (до 1000), большие интегральные схемы (до 10000) и сверхбольшие ИС (свыше 10000). 1.3. Параметры цифровых интегральных микросхем Базовым элементом любой цифровой микросхемы является транзистор, работающий в режиме переключения и обеспечивающий представление двоичной информацйи. В зависимости от типа ис¬ пользуемых транзисторов различают биполярные и МДП (металл — диэлектрик — полупроводник) микросхемы. По способу представ¬ ления двоичной информации (0 и 1) цифровые микросхемы делят¬ ся на потенциальные и импульсные. Для ИС потенциального типа уровни напряжения, соответствующие логическим нулю и единице при стандартном пятивольтовом напряжении питания, показаны на рис. 1.3. Параметры цифровых микросхем разделяют на электрические и динамические. К первым относят: U® — уровень напряжения, соответствующий логическому нулю. ТТЛ Уровень логического НУЛЯ Уровень логической единицы Рис. 1.3. Уровни напряжения для ИС потенциального типа 6
Ul — уровень напряжения, соответствующий логической еди¬ нице. /«х > — входной ток, соответствующий логическим нулю и единице. N— коэффициент разветвления по выходу (нагрузочная спо¬ собность). М — коэффициент объединения по входу (число логических входов элемента). Р — потребляемая мощность. t/n — напряжение питания. К динамическим параметрам относят коэффициенты задержки <°). В настоящее время существует устойчивая тенденция к перехо¬ ду на пониженное напряжение питания ИС. Ряд микросхем уже сей¬ час имеет напряжение питания 3,3 В и 1,8 В. Это автоматически при¬ водит к изменению уровней напряжения, соответствующих логичес¬ ким нулю и единице. 1.4. Условные графические обозначения цифровых устройств на принципиальных электрических схемах По виду элементов, входящих в состав изделия, связей между ними и назначению схемы подразделяют на виды и типы по ГОСТ 2.701-84 (табл. 1.1). Таблица 1.1 Вид схемы Обозначение Тип схемы Обозначение Электрическая Э Структурная 1 Гидравлическая Г Функциональная 2 Пневматическая П Принципиальная 3 Кинематическая К Монтажная 4 Оптическая Л (соединений) Комбинированная С Подключения 5 Энергетическая Р Общая 6 Расположения 7 Совмещенная 0 7
На схеме электрической принципиальной (ЭЗ) указывают все элементы, необходимые для построения цифрового устройства, связи между элементами и элементы, которые заканчивают входные и выходные цепи. Правила построения условных графических обозначений (УГО) элементов и устройств цифровой техники устанавливает ГОСТ 2.743- 91, согласно которому элементы схемы — УГО изделия или части изделия, реализующие функцию или систему функций алгебры ло¬ гики, например, микросхема интегральная, микросборка, набор эле¬ ментов, их части и совокупности. К элементам цифровой техники относят ряд аналоговых элемен¬ тов и устройств (например: конденсатор, операционный усилитель, резистор), используемых совместно с цифровыми элементами. Как показано на рис. 1.4, УГО элемента имеет форму прямоу¬ гольника, к которому подводят линии выводов. Оно состоит из ос¬ новного поля и может содержать одно или два дополнительных поля. Основное поле является обязательным. Дополнительные поля ис¬ пользуются по необходимости, как правило, при изображении УГО сложных элементов. Рекомендуется входы элемента изображать с левой стороны УГО, а выходы — с правой. В первой строке основного поля УГО поме¬ щают обозначение функции элемента. Надпись выполняют пропис¬ ными буквами латинского алфавита, арабскими цифрами, специаль¬ ными знаками, записанными без пробелов. Надпись состоит не бо¬ лее чем из шести символов. Рис. 1.4. УГО элемента (по ГОСТ 2.743-91) 8
Все выводы имеют указатели и могут иметь метки. Указатели определяют тип поступающего сигнала и его актив¬ ное состояние. Метка — функциональное обозначение вывода, помещается в дополнительном поле напротив соответствующего вывода и также содержит не более чем шесть символов. Функционально подобные метки выводов с помощью горизонтальных линий объединяют в зоны. Наиболее часто используемые варианты указателей выводов, ре¬ комендуемые ГОСТом, показаны на рис. 1.5, где а — прямые стати¬ ческие; б — инверсные статические; в — прямые динамические; г — инверсные динамические; д — не несущие логической информации. Размер УГО схемы по горизонтали определяется наличием или отсутствием дополнительных полей. Рекомендуется, чтобы сумма ширины двух дополнительных полей была равна ширине основного поля. Размер УГО по вертикали определяется количеством выводов с той стороны, где их больше, и параметром С (рис. 1.6). 4 Ф 4? О- О- 4} 4~ а) б) г) д) Рис. 1.5. Условное обозначение указателей выводов 9
При изготовлении принципиальных электрических схем реко¬ мендованная ширина основного поля составляет 10 мм, дополни¬ тельного — 5 мм, высота строки (размер Q — 5 мм. Наиболее часто встречающиеся формы УГО цифровых элемен¬ тов приведены на рис. 1.6. Элементы на принципиальных электри¬ ческих схемах имеют сплошную нумерацию, проставляемую сверху графического обозначения элемента. При этом цифровые ИС реко¬ мендуется обозначать DD, аналоговые — DA. Если элементы, при¬ надлежащие одной ИС, расположены в разных местах принципи¬ альной схемы, они обозначаются как DD1.1, DD1.2, DD1.3. Соединение цифровых элементов между собой в более сложные устройства осуществляют с помощью проводников (в том числе печатных), кабелей и шин. Их изображения на принципиальных электрических схемах определяются в ГОСТ 2.755-87. Для уменьшения числа проводников (соединительных линий) на принципиальных электрических схемах применяются шины. Шина — это соединительная линия утроенной толщины, использующая внутреннюю адресацию. Для соединения двух и более цифровых блоков между собой используют разъемы. В основном применяют разъемы двух типов: круглые и плоские. На принципиальных электрических схемах разъе¬ мы обозначаются в виде таблиц, имеющих две колонки: большая часть называется «цепь», меньшая — «контакт» (К). В колонке «цепь» указывают функциональное назначение сигнала. Вто¬ Цепь К АО 1 А 1 2 А2 3 АЗ 4 D13 10 D 14 11 D 15 12 рая колонка предназначена для указания порядкового номера контакта (рис. 1.7). Для круглых разъемов ис¬ пользуется цифровая нумерация, для плоских (мно¬ горядных) — буквенно-цифровая: каждый ряд обо¬ значается буквой, внутри ряда — цифрой. Для разработки цифровых устройств используют¬ ся многочисленные пакеты автоматизированного проектирования, которые основаны на западных стандартах УГО цифровых ИС. В табл. 1.2 даны не¬ которые сравнительные изображения отечественных и импортных УГО. Рис. 1.7. УГО разъема 10
Таблица 1.2 Тип элемента Российский стандарт (ГОСТ 2.743-91) Западный стандарт (MIL/ANSI) НЕ 1 > И & о НЕ-И & =г> ИЛИ 1 о СУММА ПО МОДУЛЮ 2 =1 э > D-триггер D > тт Q ■ D Q CLR Т 2. КОМБИНАЦИОННЫЕ ЛОГИЧЕСКИЕ СХЕМЫ 2.1. Основные определения Логической переменной называют электрический (гидравличес¬ кий, пневматический и т.д.) сигнал, принимающий два существен¬ но различных значения, известные как состояния ИСТИНА (TRUE) и ЛОЖЬ (FALSE). В практике истинное и ложное состояния часто обозначают терминами: «высокий-низкий уровни», «логическая 1 — 11
логический 0». Обозначение логической переменной в виде 1 и О является наиболее удобным. Логической схемой называют такую схему, которая выполняет логические операции над одной или несколькими логическими пе¬ ременными. Комбинационной логической схемой называют такую схему, вы¬ ходное состояние которой определяется только комбинацией вход¬ ных логических переменных в данный момент времени. Раздел математики, посвященный исследованию свойств пере¬ менных величин, принимающих только два значения, называется алгеброй логики или булевой алгеброй — по имени английского мате¬ матика Джорджа Буля (1815—1864). Булева алгебра применяется при формальном описании и синтезе цифровых блоков, устройств и систем. По аналогии с заданием любых математических функций, задание логических функций означает определение правила (зако¬ на, алгоритма), согласно которому всем возможным значениям ар¬ гумента ставится в соответствие значение функции. Особенностью логических функций является то, что аргументы (переменные) и сами функции могут принимать лишь два значения: 1 и 0. Функции, не зависящие ни от каких переменных, называются константами. Оче¬ видно, что в булевой алгебре имеются две константы: 1 и 0. 2.2. Логические функции одной переменной Логические функции удобно задавать таблично. Рассмотрим логическую функцию одной переменной, заданную таблично (табл. 2.1, где i — номер набора логической переменной; X— логи¬ ческая переменная (аргумент); Fo ... F3 — логические функции). Таким образом, как следует из табл. 2.1, существуют четыре функции одной логической переменной. Функции Fo и F3 не зави¬ сят от значения аргумента и соответственно называются КОНСТАН¬ ТА 0 и КОНСТАНТА 1. Значение функции Fj повторяет значение Таблица 2.1 i X Fo F, F2 F3 0 0 0 0 1 1 1 1 0 1 0 1 12
аргумента X F2 s X. Эта функция применяется достаточно редко. Наибольший интерес для теории и практики представляет функция F которая очень часто используется и называется ИНВЕРСИЯ (ОТ¬ РИЦАНИЕ, НЕ). Она обозначается верхним подчеркиванием аргу¬ мента, F2 — X (читается F2 равно не X). Логический элемент (схе¬ ма), реализующий функцию ИНВЕРСИЯ, называется инвертором. На рис.2.1 показаны принципиальная схема простейшего инвер¬ тора (с) и его условное графическое обозначение на электрических принципиальных схемах (б). Рис. 2.1. Принципиальная схема (а) и УГО (б) инвертора 2.3. Логические функции двух переменных Задать логическую функцию нескольких переменных — значит определить ее значения 0 или 1 на всех возможных наборах (комби¬ нациях) значений этих переменных, причем две логические функ¬ ции считаются различными, если их значения не совпадают хотя бы на одном наборе значения переменных. Рассмотрим логические функции двух переменных, заданные таблично (табл. 2.2). Из табл. 2.2 следует, что существует 16 логических функций двух переменных. Функции, симметрично расположенные относительно линии, разделяющей F7m Fs, взаимно инверсны. Функции Fo и FJS по аналогии с логическими функциями одной переменной называются КОНСТАНТА 0 и КОНСТАНТА 1. Функ¬ ция Fj называется КОНЪЮНКЦИЯ (ЛОГИЧЕСКОЕ УМНОЖЕ¬ НИЕ, И). Функция Fj (рис. 2.2,а) принимает значение логической 1 только тогда, когда оба аргумента принимают значения логичес- 13
Таблица 2.2 i X, х0 Fo F, Р2 F3 F< Fs F6 F7 Fs F9 Fl0 F„ F,2 Fl3 F„ FIS 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 0 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 2 1 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 3 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 а) б) в) г) кой 1. Симметричная ей функция F14 (рис. 2.2,6) - ОТРИЦАНИЕ КОНЪЮНКЦИИ (НЕ-И). Функ¬ ция F7 (рис. 2.2,в) называется ДИЗЪЮНКЦИЯ (ЛОГИЧЕСКОЕ СЛОЖЕНИЕ, ИЛИ) и принимает значение логической 1 только тог¬ да, когда хотя бы одна логическая Рис. 2.2. УГО элементов, реализующие простейшие логические функции переменная принимает значение ло¬ гической 1. Симметричная ей фун¬ кция 7>(рис. 2.2,г) - ОТРИЦАНИЕ ДИЗЪЮНКЦИИ (НЕ-ИЛИ). Одной из наиболее часто ис¬ пользуемых в цифровых устройствах следящих приводов логических фун¬ кций является F6 (рис. 2.2,6) — СУММА ПО МОДУЛЮ 2. Инверс¬ ная ей функция F9 называется РАВ¬ НОЗНАЧНОСТЬ (рис. 2.2,е). При¬ ведем ряд форм записи элементарных функций двух переменных: 14
2.4. Основные соотношения булевой алгебры Булева алгебра, как и другие разделы математики, основывает¬ ся на ряде постулатов, из которых следует ряд соотношений, наибо¬ лее часто используемых на практике. Двойное отрицание (отрицание отрицания) переменной равно самой переменной: Х = Х. Остальные 20 соотношений можно получить из табл. 2.2: Avl = l; AvO = A; AvA = A; AvA = l; X 1 = А; X 0 = 0; X Х = Х; X А = 0; Avl = 0; AvO = A; XvX = X; AvA = 0; X -1 = А; X 0 = 1; X х = х, АА = 1; А®1 = А; А®0 = А; х®х=о- АФА = 1. (2.1) Первая строка соответствует функции F7, вторая — третья — Fs, четвертая — FJ4 и пятая — F6. Все соотношения получены из табл. 2.2 при условии, что Xj=X При этом для первого столбца спра¬ ведливо: Хд — 1; для второго — Хд= 0; для третьего — Хо = X; для четвертого — Хо = X . Основные соотношения булевой алгебры можно распространить на функции п переменных. Например, выражение Av 1=1 для фун¬ кции трех переменных имеет вид A2vA2vA7v 1 = 1 или AjXjXjV 1 = 1. 2.5. Формулы де Моргана Формулы де Моргана определяют законы двойственности, со¬ гласно которым устанавливается связь между функциями конъюнк¬ ции и дизъюнкции: A2vA; = A2A/; (2.3) Х2^Х^Х2-Х^, 15
(2.4) Х2 -Х^Х^Х,, Х2Х}=Х~2^1. (2.5) На примере выражения (2.2) покажем справедливость формул де Моргана. Для этого методом перебора докажем, что тождество верно для всех наборов переменных Х2 и Х2 (табл. 2.3). Таблица 2.3 / Х2 X, X2vXj *2 X, х2 Xi 0 0 0 0 1 1 1 1 1 0 1 1 0 1 0 0 2 1 0 1 0 0 1 0 3 1 1 1 0 0 0 0 Из табл. 2.3 следует, что функции, стоящие в левой и правой части выражения (2.2), принимают одинаковые значения на каж¬ дом из возможных наборов переменных. Формулы де Моргана можно распространить на функции п пе¬ ременных. Например, выражение (2.3) для функции четырех пере¬ менных имеет вид: Х4 v Х2 v Х2 v Xj = Х4 • Хз ■ Х2 • Xi. Формулы де Моргана позволяют выражать дизъюнкции через конъюнкции и отрицания (или конъюнкции через дизъюнкции и отрицания). 2.6. Основные свойства логических функций Рассмотрим три наиболее часто используемых свойства логичес¬ ких функций и проиллюстрируем их на примере функций двух пе¬ ременных. При этом отметим, что эти свойства можно распростра¬ нить на логические функции п переменных. 1. Свойство коммутативности', порядок переменных при вы¬ полнении операции не влияет на результат этой операции: 16
X,vX2=X2v Xj, X1x2=x2x1-, (26) XjX2=X2-Xj. 2. Свойство ассоциативности', переменные можно группировать в любом порядке, например для операций И и ИЛИ: Xj v Х2 v Х3 = (Xj v Х2) vX3=XjV (Х2 v Х3); Х1Х2Х3^(Х1Х2)Х3=Х1(Х2Х3). (2-7) 3. Свойство дистрибутивности', общие части конъюнкций (дизъ¬ юнкций) можно выносить за скобки, если они объединены знаком дизъюнкции (конъюнкции). В случае необходимости можно раскры¬ вать скобочные выражения: Xj- Х2 V Xj • Х3 = Xj • (v Х3); (2.8) (XjvX2)(XjvX3)^XjvX2-Х3. (2.9) Докажем тождество (2.9): (Xj vX2)(XjvX3) = Xj-XjvXj-X3vXj-X2vX2-X3. Согласно основным соотношениям (2.1): Xj-Xj=Xj, тогда XjXjvXjX3vXj-X2vX2X3=Xj vXj-X3vXj-X2 vx2-x3. Согласно свойству дистрибутивности (2.8), общие части конъ¬ юнкций можно вынести за скобку, тогда: XjvXj-X3 vXj-X2vX2-X3=Xj(lvX3 vl2)v Х2-Х3. Выражение в скобках в соответствии с (2.1) равно единице, а конъюнкция любой переменной с логической единицей дает логи¬ ческую переменную. Выражение (2.9), таким образом, доказано. 17
Практический интерес представляют два следствия из свойства дистрибутивности. Пусть в выражении (2.9) Xt=A - Х2 = Л, Х3 = В ; (2.Ю) тогда, подставляя (2.10) в (2.9), получим (AvA)(AvB) = AvA-B. Очевидно, что выражение в первых скобках равно единице, откуда окончательно следует: Av А-В = Av В. Аналогично (2.11) можно показать, что: Av Л В = Av В. (2.11) (2.12) Выражения (2.11, 2.12) используются для минимизации логических функций. 2.7. Совершенная дизъюнктивная нормальная форма Для перехода от табличного представления логических функций к алгебраическому каждому набору переменных ставится в соответ¬ ствие минтерм — конъюнкция всех переменных, которые входят либо в прямом виде, если значение данной переменной на наборе равно 1, либо в инверсном виде, если значение переменной на на¬ боре равно 0. Для к переменных составляются q = 2к минтермов: т0, mq_j. Значение функции Fj, соответствующее f-му набору перемен¬ ных, будем обозначать f... Совершенной дизъюнктивной нормальной формой (СДНФ) будем называть алгебраическое представление функции Fj как логической суммы минтермов, для которых f.. =1. В общем случае произвольную логическую функцию можно определить как Выражение (2.13) и называется СДНФ. 18
В качестве примера приведем все минтермы для функций двух переменных (табл. 2.4) и найдем СДНФ для функции F9. Таблица 2.4 i х, Хо F, Минтермы 0 0 0 1 т0 = Xi Хо 1 0 1 0 nil — X1 Xq " 2 1 0 0 т2 = Хг Хо 3 1 1 1 т3 = 1 ' *0 Как следует из табл. 2.4 и выражения (2.13), СДНФ функции F9 есть логическая сумма минтермов, соответствующих наборам пере¬ менных, для которых f9i =1: F9 = f90m0 v f91ml V ff2m2 V f93m3 =^<X1X0)v vO ■ (Yj xg) v 0 • (x, • то) v I - (Xj x0)=x~, • Yo v xt • x0. По СДНФ просто построить схему любого логического устрой¬ ства, реализующего заданную функцию. Но СДНФ не является минимальной формой записи логической функции для ее реализа¬ ции. Она часто служит исходной формой логической функции для ее последующей минимизации. 2.8. Полные системы логических функций Так как любую логическую функцию можно представить в виде СДНФ, а сама СДНФ записывается с помощью трех элементарных функций КОНЪЮНКЦИЯ, ДИЗЪЮНКЦИЯ и ОТРИЦАНИЕ, то это означает, что эти три функции представляют полную систему логических функций или базис. Минимальным базисом называют такую полную систему логических функций, удаление из которой хотя бы одной функции превращает ее в неполную систему. Базис КОНЪЮНКЦИЯ, ДИЗЪЮНКЦИЯ и ОТРИЦАНИЕ не является минимальным. Это следует из того, что в соответствии с формулами де Моргана ДИЗЪЮНКЦИЮ можно выразить через 19
КОНЪЮНКЦИЮ и ОТРИЦАНИЕ, поэтому система логических функций КОНЪЮНКЦИЯ и ОТРИЦАНИЕ также является бази¬ сом, и при том минимальным. Аналогично минимальным базисом является система логических функций ДИЗЪЮНКЦИЯ и ОТРИ¬ ЦАНИЕ, поскольку КОНЪЮНКЦИЯ по формуле де Моргана мо¬ жет быть выражена через ДИЗЪЮНКЦИЮ и ОТРИЦАНИЕ. Ми¬ нимальным базисом являются также логические функции НЕ-И и HE-ИЛИ. Отсюда следует один очень важный практический вывод: любую, сколь угодно сложную логическую функцию или цифровое ус¬ тройство можно реализовать с помощью ИС одного типа — двух¬ входовых элементов НЕ-И (HE-ИЛИ). В качестве примера приве¬ дем реализацию функции Fk (СУММА ПО МОДУЛЮ 2) в трех ба¬ зисах И, ИЛИ, НЕ (рис. 2.3,а), НЕ-И (рис. 2.3,6), НЕ-ИЛИ (рис. 2.3,в). Для второго и третьего случаев сформулируем правило: Если необходимо реализовать некоторую логическую фун¬ кцию, заданную в виде СДНФ, с помощью элементов НЕ-И (HE-ИЛИ), предварительно следует с помощью формул де Моргана привести функцию к виду, в котором исключена ДИЗЪЮНКЦИЯ (КОНЪЮНКЦИЯ). 2.9. Минимизация логических функций Минимизация логических функций позволяет решить задачу уменьшения веса, габаритов, потребляемой мощности и стоимости изделий электронной техники. Большинство известных методов минимизации основано на применении следующих трех операций. 1. Операция склеивания. Назовем соседними две такие конъюнк¬ ции, которые имеют одну длину (число переменных, объединенных знаком конъюнкции) и отличаются знаком инверсии только одной переменной. Например, конъюнкции X5X4X3X2Xj и XsX4JT3X2Xj отличаются знаком инверсии Х3. Для каждой конъюнкции п пере¬ менных существует п соседних конъюнкций. 20
Рис. 2.3. Реализация функции «Сумма по модулю 2» в трех базисах Запишем дизъюнкцию этих соседних конъюнкций и преобразу¬ ем ее согласно свойству дистрибутивности: Выражение в скобках согласно основным соотношениям буле¬ вой алгебры равно 1, алогическое умножение некоторого выраже¬ ния на 1 равно самому выражению и окончательно: 21
Таким образом, две соседние конъюнкции склеиваются, т.е. вместо их дизъюнкции можно записать одну конъюнкцию, длина которой на единицу меньше длины исходных конъюнкций, и в нее не входит переменная, по которой исходные конъюнкции являются соседними. Окончательно запишем: Р XvP Х = Р, где Р— произвольная конъюнкция. 2. Операция поглощения (в общем виде): РХуР=Р. Приведем доказательство этого выражения: Р XvP = P XvP l = P(Xvl) = P 1 = Р. 3. Операция неполного склеивания: Р XvP X = PvP XvP X. Согласно операции склеивания левая часть этого тождества равна Р. Докажем, используя операцию поглощения, что и правая часть тождества равна Р. PvP XvPX = (PvP X)vP X = PvP X = P. Можно показать также, что Р XvP X = PvP X; Р XvP X = PvP X. Из операции неполного склеивания следует, что каждая из скле¬ иваемых конъюнкций может быть использована для склеивания с другими соседними конъюнкциями. Рассмотрим пример минимизации логической функции. Пусть некоторая функция четырех переменных задана таблично (табл. 2.5). 22
Таблица 2.5 i Х3 Х2 X, Хв F 0 0 0 0 0 0 1 0 0 0 1 1 2 0 0 1 0 0 3 0 0 1 1 0 4 0 1 0 0 1 5 0 1 0 1 1 6 0 1 1 0 0 7 0 1 1 1 0 8 1 0 0 0 0 9 1 0 0 1 1 10 1 0 1 0 0 11 1 0 1 1 0 12 1 1 0 0 0 13 1 1 0 1 1 14 1 1 1 0 0 15 1 1 1 1 0 Запишем СДНФ логической функции: F = Xз • Х2 • Xi • Хд v Xз • Х2 • Xi Хо v ^Хз ■ Х2 Xi Хд vХ3 -Х2 -Хз Хд v vX3 -Х2 -Xj -Хд. Попарно соседними конъюнкциями являются конъюнкции с номерами 1 и 5, 4 и 5, 9 и 13. Проведя операции склеивания для пар соседних конъюнкций, получим: F = Хз ■ Xi • Хо v Хз ■ Х2 • Xi v Х3 • Xi ■ Хо. Очевидно, что в данном выражении первая и третья конъюнкции являются соседними по переменной Х3 и могут быть склеены: F = Х1Х0чХзХ2Х1. (2.14) Так как дальнейшее применение операций склеивания и погло¬ щения невозможно, то последнее выражение для минимизируемой функции называют тупиковой дизъюнктивной нормальной формой (ТДНФ). 23
При склеивании могут получится несколько различных ТДНФ. Самая простая из всех возможных ТДНФ называется минимальной дизъюнктивной нормальной формой (МДНФ). 2.10. Карты Карно (Диаграммы Вейча) 2.10.1. Общие понятия Карты Карно реализуют графоаналитический метод представ¬ ления и упрощения булевых выражений. Карта Карно — это таблица специального вида, имеющая 2я клеток, где п — число логических переменных. Каждой клетке кар¬ ты Карно соответствует определенный минтерм. Карты Карно для п = 2, 3, 4, 5, 6 показаны на рис. 2.4. Переменные в карте Карно расположены так, что при переходе от каждой клетки к соседней изменяется состояние только одной переменной (рис. 2.4). В карте Карно п переменных имеется 2п зон, из которых п — прямые зоны и ровно столько же инверсных зон. Зоной будем называть часть карты Карно, в которую соответствую¬ щая переменная входит либо только без знака инверсии (Aj), либо только со знаком инверсии (Xi). Прямой зоной карты Карно назовем такую ее часть, в которую переменная Xi входит без знака инверсии. Инверсной зоной карты Карно назовем ту часть, в которую пере¬ менная Xt входит со знаком инверсии. Линии, разделяющие карту Карно на зоны А^.и Xk, назовем ося¬ ми карты Карно (Ох,). Каждая клетка карты Карно имеет уникальный номер (адрес), который является десятичным эквивалентом соответствующего мин- терма. Существует несколько схем увеличения размерности карт Кар¬ но. В настоящее время наиболее часто используется ступенчатая форма. При ступенчатой схеме развертывания карт Карно выбирается новая ось, совпадающая либо с нижней стороной карты Карно, если исходная карта прямоугольная, либо с правой стороной карты, если карта — квадрат. Далее, относительно этой оси зеркально отобра¬ жается исходная карта. Вся новая часть карты Карно считается но- 24
.0x0 Хо хо Хо 0 1 5 4 2 3 7 6 10 11 15 14 8 9 13 12 0 1 5 4 20 21 17 16 2 3 7 6 22 23 19 18 .10 11 15 11 30 31 27 26 8 9 13 12 —Я 29 25 24 Хз Хо Хо 0 1 5 4 20 21 17 16 2 3 7 6 22 23 19 18 10 11 15 14 30 31 27 26 8 9 13 12 28 29 25 24 40 41 45 44 60 61 57 56 42 43 47 46 62 63 59 58 34 35 39 38 $4 55 51 50 32 33 37 36 52 53 49 48 Хз Х5 Х2 Х4 Рис. 2.4. Карты Карно для п = 2, 3, 4, 5, 6 вой зоной. Проводится нумерация клеток новой зоны, при этом нумерация старых клеток не меняется. Введем понятие покрытие единичных значений логической фун¬ кции в карте Карно как совокупность клеток карты, в которых про¬ ставлены единицы. При этом покрытие должно состоять из 2Г кле¬ ток, где г = 0,1, 2,..., (п — 1) называют рангом покрытия карты Карно п переменных. Произвольная единичная клетка является покрыти¬ ем 0-го ранга. 25
Две единичные клетки составляют покрытие первого ранга (одна ранее найденная и любая симметричная ей относительно какой-либо оси карты). Четыре единичные клетки образуют покрытие второго ранга (одно ранее найденное покрытие первого ранга и любое симметрич¬ ное ему покрытие первого ранга относительно какой-либо оси кар¬ ты). Восемь единичных клеток составляют покрытие третьего ранга (одно ранее найденное покрытие второго ранга и любое симметрич¬ ное ему покрытие второго ранга относительно какой-либо оси кар¬ ты). Каждому покрытию соответствует минтерм, причем, чем выше ранг покрытия, тем меньшее число переменных в конъюнкции. На рис. 2.5 и 2.6 приведены примеры покрытий первого и второго ран¬ гов для карт Карно трех и четырех переменных соответственно. Основным достоинством карт Карно является то, что с их по¬ мощью можно быстро и просто отыскивать МДНФ. Отыскание МДНФ по карте Карно состоит из двух этапов: — покрытие всех единичных значений функции минимальным числом покрытий максимально высокого ранга. При этом одна и та же единичная клетка может входить в разные покрытия; — объединение всех найденных покрытий (конъюнкций) зна¬ ком дизъюнкции. Сформулируем два правила, согласно которым каждому макси¬ мальному покрытию ранга г можно поставить в соответствие конъ¬ юнкцию ТДНФ. Хо 7 л 1 2) 7 1 (L Рис. 2.5. Покрытия первого ранга Х2 Рис. 2.6. Покрытия второго ранга 26
Рис. 2.7. Варианты соседних клеток для карты Карно при п = 4 1. Если покрытие пересекается осью Охр т.е. часть этого покры¬ тия лежит в зоне Xi, а часть — в зоне X., то переменная Xi в соот¬ ветствующую этому покрытию конъюнкцию не войдет. 2. Если покрытие целиком лежит в зоне Xt( X.), то в соответствующую этому покрытию конъюнкцию ТДНФ войдет переменная Xi(Xi). Каждой клетке карты Карно п пе¬ ременных соответствует п соседних клеток. Все соседние клетки симмет¬ ричны относительно какой-либо оси карты. На рис. 2.7 для карты Карно четы¬ рех переменных показаны варианты соседних клеток, которые используют¬ ся для отыскания максимальных по¬ крытий. 2,10.2, Минимизация полностью определенных логических функций Полностью определенной называют такую логическую функцию п переменных, значения которой определены (0 или 1) на всех 2п наборах переменных. Логическую функцию удобно задавать картой Карно, поместив в клетке с номером i соответствующее значение (О либо 1). В качестве примера рассмотрим минимизацию логической фун¬ кции, заданной табл. 2.5, с помощью карты Карно. Поставим в клетках карты Карно с номерами i соответствую¬ щие значения функции F(pHC. 2.8,я). В качестве исходного покры¬ тия 0-го ранга выберем единичную клетку с номером 1. Покрытие 1-го ранга можно получить, присоединяя к клетке 1 симметричную ей относительно оси Ох2 единичную клетку с номером 5. Далее на¬ ходим симметричное найденному покрытию 1-го ранга относительно оси Ох3 покрытие 1-го ранга, составленное единичными клетками с номерами 9 и 13. Таким образом, четыре единичные клетки 1, 5, 9 и 13 образуют покрытие 2-го ранга. Увеличить ранг этого покрытия 27
I I Г I Хо i I 11 1 5 1 4 0 2 0 3 0 7 0 6 ~ОхЗ Хз Xi 0 10 0 11 0 15 0 14 °в 19 1 0 12 I I Х2 '0x2 Хо 0 <) 0 0 0 0 0 0 ■о 0 0 '"■Г Т 0 Х2 Хз а) б) Рис. 2.8. Минимизация полностью определенной логической функции нельзя, так как в карте Карно отсутствуют четыре единичные клет¬ ки, симметричные ранее найденным (1, 5, 9, 13) относительно ка¬ кой-либо оси карты. В найденное покрытие не вошла единичная клетка с номером 4, которую примем за новое исходное покрытие 0-го ранга. Увеличить ранг этого покрытия можно, присоединяя к клетке 4 симметричную ей относительно оси Ох0 единичную клетку с номером 5. Найденное покрытие (4, 5) является максимальным, так как ранг этого покрытия увеличить нельзя. Таким образом, все единичные клетки карты Карно для рассматриваемой функции «на¬ крываются» двумя максимальными покрытиями: 2-го ранга (1, 5, 9, 13) и 1-го ранга (4,5). Оба покрытия показаны на рис. 2.8,5. Объе¬ диняя конъюнкции, соответствующие найденным покрытиям зна¬ ком дизъюнкции, запишем МДНФ: F = XiX0vX3X2Xi, что полностью совпадает с выражением (2.14). 2.10.3. Минимизация не полностью определенных логических функций Не полностью определенной называют такую логическую функ¬ цию п переменных, значения которой определены не на всех 2" на¬ 28
борах значений переменных. Неопределенность значения функции обозначают символом «~», либо «х». Если функция не определена на к наборах переменных, то су¬ ществует 2К вариантов доопределения, только один из которых при¬ ведет к МДНФ. Не полностью определенная логическая функция должна быть доопределена таким образом, чтобы все единичные значения функции накрывались минимальным числом покрытий возможно более высокого ранга. Пусть есть некоторая не полностью определенная логическая функция Fn, заданная с помощью карты Карно (рис. 2.9,а). Хо Хо Рис. 2.9. Минимизация не полностью определенной логической функции После того как все единичные значения функции накрыты по¬ крытиями, во все остальные клетки проставляют нули. После доопределения карта Карно примет вид, показанный на рис. 2.9,6, при этом МДНФ функции: Fn = Xi X0vXtX0vX3X2. 2.11. Мажоритарные логические схемы Мажоритарной схемой называют такую логическую схему, зна¬ чение выходного сигнала которой равно большинству значений вход¬ ных сигналов. Элемент, реализующий мажоритарную функцию, носит назва¬ ние кворум-элемент. 29
Все кворум-элементы имеют нечетное количество входов. На практике используются схемы с тремя, пятью, реже — с семью вхо¬ дами. Рассмотрим пример простейшей мажоритарной схемы выборки 2 из 3. Составим таблицу истинности (табл. 2.6). Таблица 2.6 i х2 х, Хв ' 0 0 0 0 0 1 0 0 1 0 2 0 1 0 0 3 0 1 1 1 4 1 0 0 0 5 1 0 1 1 6 1 1 0 1 7 1 1 1 1 По табл. 2.6 заполним карту Карно (рис. 2.10). Как следует из рис. 2.10, единичные значения функции Т2 3 на¬ крываются тремя покрытиями первого ранга: F2 3=X2X0^XjX0vX2Xr Отметим, что логические функции, описывающие мажоритарные схемы, име¬ ют конъюнкции одинаковой длины. Други¬ ми словами, в карте Карно все покрытия должны быть одного ранга. Функция мажоритарного элемента обо¬ значается как >п, где п — количество вы- (2.15) Рис. 2.11. УГО микросхемы К564ЛП13 Х1 Х2 ХЗ >2 Y1 Х4 Х5 Х6 >2 Y2 Х7 Х8 Х9 >2 Y3 Хо 0 0 т 0 0 (< 7 0 Х2 Рис. 2.10. Карта Карно для мажоритарной схемы «2 из 3» 30
борок. В качестве примера приведем УГО микросхемы К564ЛП13 (рис. 2.11), которая содержит три элемента, каждый из которых ре¬ ализует функцию F2 3. Отметим, что кворум-элементы широко используются для по¬ вышения надежности в системах встроенного контроля резервируе¬ мых рулевых приводных систем. 2.12. Коммутаторы (мультиплексоры и демультиплексоры) Мультиплексором (рис. 2.12,о) называют устройство коммутации нескольких источников данных на один приемник. Демультиплексором (рис. 2.12,6) называют устройство коммута¬ ции одного источника на несколько приемников. Разрядность управляющего сигнала /’определяется как nF=\og2N, (2.16) где N— количество источников (приемников). В качестве примера рассмотрим четырехразрядный мультиплек¬ сор «2 в 1» (рис. 2.13,а), который реализует систему функций (2.17). УГО реальной микросхемы К555КП11, реализующей (2.17), приве¬ ден на рис. 2.13,6, где вход SI? (эквивалентен F) управляет выбором а) б) Рис. 2.12. Функциональные схемы мультиплексора (а) и демультиплексора (б) 31
А = <А1А2АЗА4> В=<В1В2ВЗВ4> а) б) Рис. 2.13. Функциональная схема 4-разрядного мультиплексора «2 в 1» (а) и УГО микросхемы К555КП11 (б) каналов А или В. Дополнительный вход Е обеспечивает третье со¬ стояние на выходе: т = А1 FvBl F; D2 = A2FvB2F; D3 = A3FvB3F; D4 = A4FvB4F. (2.17) Мультиплексоры и демультиплексоры применяются в арифме- тическо-логических и микропроцессорных устройствах для увели¬ чения числа источников и приемников в операциях. 2.13. Сумматоры 2.13,1. Общие понятия Одной из основных операций при выполнении арифметических действий в цифровых устройствах следящих приводов является сло¬ жение. Наиболее часто используется алгебраическое сложение. На¬ пример, к функционально-необходимым операциям в ЦСП отно- 32
сится формирование кода ошибки (рис. 2.14). На основе суммато¬ ров строятся более сложные арифметическо-логические устройства и микропроцессоры. На базе сумматоров, кроме сложения и вычи¬ тания, реализуются операции умножения и деления. а) Рис. 2.14. Формирование кода ошибки (а) на основе сумматора (б) Цифровые сумматоры используются во всех цифровых следящих приводах либо самостоятельно, либо в составе микропроцессоров и микроконтроллеров. Четвертъсумматором называют функцию СУМ¬ МА ПО МОДУЛЮ 2. 2.13.2. Полусумматор Рассмотрим принцип сложения двух одноразрядных чисел. Для одного разряда можно записать: О О 1 1 + + + + О 1 о 1 1 10 В последнем случае при сложении двух одноразрядных чисел сумма оказалась двухразрядным числом. Если сумму обозначить S, а перенос обозначить С (в соответствии с правилами двоичного сло¬ жения) и предположить, что суммировались не одноразрядные чис¬ ла, а разряды и «-разрядных чисел, то равный единице стар¬ ший разряд двухразрядной суммы является переносом в следующий по старшинству разряд. Таким образом, схему суммирования для од¬ ного разряда можно представить в виде 33
Ниже приводится таблица истинности полусумматора (табл. 2.7). Таблица 2.7 i X> Y G 0 0 0 0 0 1 0 1 о. 1 2 1 0 0 1 3 1 1 1 0 Из табл. 2.7 следует, что: (2.18) Таким образом, полусумматор — устройство, реализующее сис¬ тему уравнений (2.18). 2.13.3. Полный одноразрядный сумматор Общая схема суммирования для любого разряда может быть записана как Таким образом, каждый разряд полного одноразрядного сумма¬ тора (ПОС) должен иметь три входа: по одному входу для каждого из разрядов складываемых чисел и один вход для сигнала переноса, который может возникнуть в предыдущем разряде (рис. 2.15,я). За¬ пишем таблицу истинности ПОС (табл. 2.8). Для получения аналитического выражения для воспользуем¬ ся картой Карно (рис. 2.15,6) St vCi-iY.Xt vC^Xf = ^Ci-iiYiX^Y.XtyvC.^YiXi vFJ,.) = = Ci-iZ.vCi_1Zi=Ci_I ®Yj ®Xr (2.19) 34
Таблица 2.8 i с,., Y> X ■ С; X 0 0 0 0 0 0 1 0 0 1 0 1 2 0 1 0 0 1 3 0 1 1 1 0 4 1 0 0 0 ' 1 5 1 0 1 1 0 6 1 1 0 1 0 7 1 1 1 1 1 0 а 0 а а 0 а 0 в) Рис. 2.15 Функциональная схема ПОС (а), карта Карно для функци и Sj (б), схема реализации функции (в) Таблица истинности для функции переноса Cz полностью совпа¬ дает с таблицей истинности мажоритарной схемы «2 из 3» — F2 3. Поэтому, учитывая, C/w/~ Х2 , XI , Л>Х0, имеем Y^C^ Х^-Х, (2.20) Таким образом, система логических функций (2.19-2.20) опи¬ сывает работу ПОС. Схема реализации для ПОС с учетом того, 35
что и являются функциями СУММА ПО МОДУЛЮ 2 перемен¬ ных (X; и ) и ( и Cz_7) соответственно, показана на рис. 2.15,в. 2.13.4. Полный п-разрядный сумматор На практике в цифровых устройствах систем приводов приме¬ няют многоразрядные сумматоры. Функциональная схема полного л-разрядного сумматора показана на рис. 2.16. Рис. 2.16. Полный л-разрядный сумматор Рис. 2.17. УГО К564ИМ1 Если суммирование осуществляется в дополнительном коде, то на вход С 7 пода¬ ется «О» и разряд Cn_j теряется. Если суммирование осуществляется в обратном коде, то перенос из старшего раз¬ ряда Cn_j циклически соединяется с С_г Суммирование в дополнительном коде требует в два раза меньше времени, чем в обратном. В качестве примера на рис. 2.17 приведено УГО четырехразрядного сумма¬ тора со схемой ускоренного переноса К564ИМ1. 2.14. Шифраторы и дешифраторы Шифратор (кодер) представляет собой преобразователь код-код. Как правило, шифраторы преобразуют десятичный код в двоичный либо в двоично-десятичный. При этом шифратор может иметь до 36
2п входов и п выходов. В любой момент времени в активном состо¬ янии находится только один разряд входного кода. Таблица истинности (табл. 2.9) иллюстрирует работу двоично¬ десятичного шифратора К555ИВЗ, УГО которого показано на рис. 2.18 (CD — coder: кодер или шифратор). Таблица 2.9 19 18 17 16 15 14 13 12 п А8 А4 А2 А1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1' 0 0 0 1 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 1 0 0 1 1 0 0 0 0 0 1 0 1 0 0 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 0 0 0 1 0 1 1 1 0 1 1 0 0 0 1 1 0 0 1 Частным случаем шифратора, используемого в преобразовате¬ лях напряжение-код по методу мгновенного кодирования, являют¬ ся приоритетные шифраторы, таблицы истинности которых отли¬ чаются тем, что вместо знака неопределенности (см. табл. 2.9) стоят логические единицы. Дешифратором (декодером) называют комбинационную схему, имеющую п входов и в общем случае до 2" выходов. Выходные фун¬ кции Dj при этом имеют вид: О0(Х)^Хп-1...ХзХ2‘Х1 Хо\ D1(X) = Xn-i...X3-X2XiX0', <D2(X) = Xn^...X3X2X1X0; (2.21) D2„_,(X) = Xn_I...X3.X2X1-X0. Дешифратор (ДШ), таким образом, преобразует л-разрядный Двоичный код в 2л-разрядный код. При этом каждой комбинации 37
Рис. 2.18. УГО шифратора К555ИВЗ Рис. 2.19. УГО дешифратора К155ИД1 переменных на входе ДШ соответствует активный сигнал только на одном из выходов. Система функций D} (X) является совокупностью всех возможных минтермов, которые можно образовать от л пере¬ менных. В качестве примера на рис. 2.19 (DC — decoder) показано УГО двоично-десятичного дешифратора К155ИД1, которому соот¬ ветствует табл. 2.10. Дешифраторы делятся на полные и неполные. Первые реализу¬ ют полную систему функций (2.21) для п разрядов, вторые — лишь некоторые из функций Dj (X). Как правило, наиболее часто исполь¬ зуются неполные дешифраторы, к числу которых относится двоич¬ но-десятичный дешифратор. Таблица 2.10 Входы Выходы J4 13 12 11 А9 А8 А7 А6 А5 А4 АЗ А2 А1 АО 0 0 0 0 0 1 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 1 1 1 0 1 1 0 1 1 1 1 1 1 0 1 1 1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 0 0 0 1 1 1 1 1 1 1 1 0 1 1 0 0 1 1 1 1 1 1 1 1 1 1 0 38
к числу широко использу¬ емых в практике принадлежит дешифратор семисегментного индикатора. Семисегментные индика¬ торы на светодиодах являются одним из наиболее распростра¬ ненных устройств отображения десятичных чисел. На рис. 2.20,а показан общий вид од¬ ного индикатора, а на рис. 2.20,6 — все десять цифр, по¬ лучаемые с его помощью. Семь сегментов индикатора обозна¬ чаются латинскими буквами от Рис. 2.20. Семисегментный индикатор а до g. Когда ключ замкнут, ток от источника (C/n = +5В) течет через светодиод, вызывая его свечение. Последовательно включенный ре¬ зистор ограничивает ток до уровня = 20 мА. Без ограничивающего резистора светодиод может выйти из строя. Обычно работой семи¬ сегментного индикатора управляют не механические, а электронные ключи. На практике их роль реализуют семисегментные дешифра¬ торы — формирователи (табл. 2.11). Таблица 2.11 АЗ А2 А1 АО Fa Fb Fc Fd Fe Ff Fg 0 0 0 0 1 1 1 1 1 1 0 0 0 0 1 0 1 1 0 0 0 0 0 0 1 0 1 1 0 1 1 0 1 0 0 1 1 1 1 1 1 0 0 1 0 1 0 0 0 1 1 0 0 1 1 0 1 0 1 1 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 1 0 0 0 0 1 0 0 0 1 1 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 39
2.15. Преобразователи кодов В следящих приводах, а именно в цифровых датчиках (ЦД) об¬ ратной связи находят применение специальные непозиционные коды. К числу наиболее часто используемых относятся код Грея и код Джонсона. Так как ЦД являются подмножеством АЦП (см. рис. 1.1) и сигнал с их выхода поступает в СМВ, который произво¬ дит вычисления в двоичном арифметическом коде, требуется пре¬ образование кодов. 2.15.1. Код Грея. Синтез преобразователя: код Грея — двоичный арифметический код Формирование кода Грея основывается на ряде положений. 1. Код Грея является кодом без избытка. Это означает, что для кодирования некоторого числа состояний в коде Грея и в двоичном арифметическом коде требуется одинаковое число разрядов. 2. Код Грея является помехозащищенным кодом. Это означает, что каждая последующая комбинация отличается от предыдущей значением только одного разряда. 3. Код Грея является циклическим кодом. Это означает, что пер¬ вая комбинация отличается от последней также значением только одного разряда. 4. Собственно формирование кода Грея происходит со стороны правых в сторону левых разрядов, причем введение каждого нового разряда осуществляется только после того, как израсходованы все возможные комбинации в предыдущих разрядах. Рассмотрим задачу преобразования четырехразрядного кода Грея в двоичный код (табл. 2.12). При этом приняты следующие обозначения: — разряды кода Грея (исходного кода); 2? — разряды двоичного арифметического кода (искомого кода); iG — номер набора логических переменных для кода Грея; iB — номер набора логических переменных для дво¬ ичного кода. Табл. 2.12 иллюстрирует соответствие между кодом Грея и дво¬ ичным арифметическим кодом. Синтез преобразователя кодов будем вести поразрядно с помо¬ щью карт Карно. При этом отметим два положения: 40
Таблица 2.12 Код Грея Двоичный арифметический код G3 G2 G1 Go *в в3 в. В, Во 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 3 0 0 1 1 2 0 0 1 0 2 0 0 1 0 3 0 0 1 1 6 0 1 1 0 4 0 1 0 0 7 0 1 1 1 5 0 1 0 1 5 0 1 0 1 6 0 1 1 0 4 0 1 0 0 7 0 1 1 1 12 1 1 0 0 8 1 0 0 0 13 1 1 0 1 9 1 0 0 1 15 1 1 1 1 10 1 0 1 0 14 1 1 1 0 11 1 0 1 1 10 1 0 1 0 12 1 1 0 0 11 1 0 1 1 13 1 1 0 1 9 1 0 0 1 14 1 1 1 0 8 1 0 0 0 15 1 1 1 1 1) разрядность исходного кода определяет размерность карт Карно; 2) разрядность искомого кода определяет число карт Карно. На рис. 2.21 показаны заполненные в соответствии с табл. 2.12 карты Карно с покрытиями для логических функций: В3 (одно по¬ крытие третьего ранга), В2 (два покрытия второго ранга), В3 (четы¬ ре покрытия первого ранга), Во (восемь покрытий нулевого ранга). Минимальные дизъюнктивные нормальные формы (МДНФ), полученные по картам Карно, для В3... Во имеют вид: В3 = G3, (2.22) 41
Go Вз 0 0 0 0 0 0 0 0 (L 1 1 1 1 1) G2 Go Bi 0 0 г "0 0 0 0 0 0 г "D 0 0 G2 Go B2 G2 Рис. 2.21. Карты Карно для синтеза 4-разрядного преобразователя код Грея — двоичный арифметический код B2=G3 G2vG3 G2; (2.23) В] = G3 G2 Gj v G3 • G2 • Gj v Gj • G2 • Gj v G3 • G2 • Gr, (2.24) Bq — G3 • G2 -G] -Gq v G3 G2 • Gj • Go v G3 • G2 -Gj GqV vG3 • Gj Gl • Go V Gj • G2 • Gj ’ Gq V Gj • G2 • Gi • Go V V Gj • Gj • Gl • Gq V Gj ' Gj ' Gj • Go • Подставим в (2.23) вместо G3 в соответствии с (2.22) В3, тогда: В2=В3 G2vB3 G2 (2.26) Преобразуем (2.24), используя свойства дистрибутивности и ассоциативности логических функций и учитывая (2.22). Тогда Bj = (В3 G2 vBj • G2) • Gi v(В3 G2 v В3 ♦ G2) Gj. 42
Учитывая (2.26) и то, что В г = Вз G2 v Bj G2, окончательно получим: Bj=B2Gi vB2 Gr (2.27) Преобразуем (2.25) с помощью свойства дистрибутивности и ассоциативности логических функций: Во = (вз G2^G3 G2) Gj Go v(G3 -G2vG3-G2)-Gj Go v v((r3 '&2V &3 ' G2V G3 G1 ' G0- С учетом (2.23) и (2.26) получим: Bq = В 2 Gj Go^ B2 G^ Go v B2 - Gj Gq v B2 ■ Gi • Go. Используя далее свойство дистрибутивности, учитывая (2.27) и то, что Bl - B2G1 V В2 Gj, запишем: Bq— BjGo v Bi Gq. (2.28) Анализируя выражения (2.26), (2.27) и (2.28), можно сделать вывод о том, что общая формула для /-го разряда преобразователя код Грея — двоичный арифметический код имеет вид: B^B.^GivBi+i-G.. (2.29) Формула (2.29) верна и для старшего разряда, так как в этом случае Bi+{ = 0 (то есть физически этот разряд отсутствует), тогда (2.29) переходит в 5,. = Gp что соответствует (2.22). 43
На рис. 2.22,а приведена принципиальная схема четырехразряд¬ ного преобразователя код Грея — двоичный арифметический код, а на рис. 2.22,6 — УГО. Рис. 2.22. Принципиальная схема (а) и УГО (б) 4-разрядного преобразователя код Грея — двоичный арифметический код G/B G3 ВЗ G2 В2 G1 В1 GO ВО Как следует из рис. 2.22 и выражения (2.29), преобразователь код Грея — двоичный арифметический код имеет регулярную струк¬ туру и каждый дополнительный разряд добавляет всего один эле¬ мент СУММА ПО МОДУЛЮ 2 в схему преобразователя. 2.15.2. Код Джонсона. Синтез преобразователя: код Джонсона — двоичный арифметический код Формирование кода Джонсона основывается на ряде положений. 1. Код Джонсона является кодом с избытком. Это означает, что для кодирования некоторого числа состояний в коде Джонсона тре¬ буется большее число разрядов (для числа разрядов больше 2), чем в двоичном арифметическом коде. Число состояний = 2п^, где «Дж — число разрядов в коде Джонсона. 2. Код Джонсона является помехозащищенным кодом. Это озна¬ чает, что каждая последующая комбинация отличается от предыду¬ щей значением только одного разряда. 3. Код Джонсона является циклическим кодом. Это означает, что первая комбинация отличается от последней также значением толь¬ ко одного разряда. 44
4. Собственно формирование кода Джонсона происходит со сто¬ роны правых в сторону левых разрядов, причем введение каждого нового разряда осуществляется до тех пор, пока все разряды не бу¬ дут заполнены единицами. После этого происходит в том же поряд¬ ке последовательное заполнение нулями до момента, когда в край¬ нем левом разряде находится единица, а в остальных — нули. Рассмотрим задачу преобразования четырехразрядного кода Джонсона в двоичный код (табл. 2.13). При этом приняты следующие обозначения: Jf — разряды кода Джонсона (исходного кода); Д, — разряды двоичного арифметичес¬ кого кода (искомого кода); ij — номер набора логических перемен¬ ных для кода Джонсона; iB — номер набора логических переменных для арифметического кода. Табл. 2.13 иллюстрирует соответствие между кодом Джонсона и двоичным арифметическим кодом. Как следует из табл. 2.13, код Джонсона, в отличие от кода Грея, является кодом с избытком, т.е. для кодирования восьми состояний необходимо четыре разряда, в то время, как при использовании двоичного арифметического кода, как и кода Грея, — только три. Синтез преобразователя кодов будем вести поразрядно с помо¬ щью карт Карно. На рис. 2.23 показаны заполненные в соответствии с табл. 2.13 карты Карно с покрытиями для логических функций В2 (одно по- Таблица 2.13 Код Джонсона Двоичный арифметический код h Л // Л в2 в. Во 0 0 0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 1 3 0 0 1 1 2 0 1 0 7 0 1 1 1 3 0 1 1 15 1 1 1 1 4 1 0 0 14 1 1 1 0 5 1 0 1 12 1 1 0 0 6 1 1 0 8 1 0 0 0 7 1 1 1 45
0 0 - - - 0 0 1 к - - 0 0 * (г 1 1 э - - 0 0 0 - !) Рис. 2.23. Карты Карно для синтеза 4-разрядного преобразователя код Джонсона — двоичный арифметический код крытие третьего ранга), В3 (два покрытия второго ранга), Во (четы¬ ре покрытия второго ранга). Минимальные дизъюнктивные нормальные формы (МДНФ), полученные по картам Карно, для В2...В0 имеют вид: B2^J3, (2.30) Bj=J3 Jiv73 Jj, (2.31) Bo =JjJovJiJgvJ3J2 vJ3 J2 (2.32) На рис. 2.24,а приведена принципиальная схема преобразова¬ теля 4-разрядного кода Джонсона в трехразрядный двоичный ариф¬ метический код, а на рис. 2.24,6 — УГО. Рис. 2.24. Принципиальная схема (а) и УГО (б) 4-разрядного преобразователя кода Джонсона в двоичный арифметический код J3 J2 Л JO J/B В2 В1 ВО 46
2.16. Схемы сравнения кодов (цифровые компараторы) Цифровые компараторы предназначены для сравнения двух двоичных чисел. Табл. 2.14 иллюстрирует связь между входами и выходами компаратора при сравнении двух одноразрядных чисел а и Ь, которые могут принимать значения 0 либо 1. В качестве примера приведем схему сравнения двух 4-разряд- ных чисел К555СП1 (рис. 2.25,а). Результатом сравнения является обнаружение одного из трех возможных состояний: А < В, А = В, А > В. Критерием равенства двух двоичных чисел является совпаде¬ ние их по всем разрядам. Выход схемы сравнения устанавливается в высокое состояние, если два числа равны; в противном случае вы- Таблица 2.14 Входы Выходы а ь Ра>ь Fa=b р га<Ь 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 0 1 0 а) б) Рис. 2.25. УГО (а) и схема реализации части (б) 4-разрядного цифрового компаратора 47
ход находится в низком состоянии (рис. 2.25,6). Микросхема имеет четыре сравниваемых входа чисел А=<А0,А 1 ,И2,ЛЗ > и 5=<50,51,52,53> и три дополнительных входа переноса А<В, А=В, А>Вдля сравнения чисел большей разрядности путем последователь¬ ного соединения компараторов в каскад. Схемы сравнения кодов используются в составе преобразовате¬ лей код-широтно-импульсный сигнал, применяемых в современных ЦСП. 2.17. Буферные (промежуточные) логические схемы Буферные (промежуточные) логические схемы используются в сложных цифровых устройствах, где требуется согласование элект¬ рических параметров. Существует два типа буферных схем: 1 — схемы с открытым коллектором, 2 — схемы с тремя состояниями. 2.17.1. Схемы с открытым коллектором Если объединить выходы двух стандартных логических схем (рис. 2.26,а), то всегда может возникнуть ситуация, когда на выходе одной из логических схем формируется логическая единица, а на вы¬ ходе другой — логический нуль. В этом случае возникает электри- а) б) Рис. 2.26. Стандартные ИС (я) и ИС с открытым коллектором (6) 48
ческая цепь, которая приводит к избыточному току и выходу схемы из строя. Этого недостатка лишены схемы с открытым коллектором (ОК), которые могут реализовать «монтажное ИЛИ» (рис. 2.26,6). Схемы с ОК обеспечивают возможность регулирования выходного тока благодаря выбору номиналов источников питания и номиналов со¬ гласующих резисторов. 2.17.2. Схемы с тремя состояниями Выход таких схем имеет три различных состояния. Для управ¬ ления третьим состоянием имеется специальный вывод (ОЕ — Output Enable). Когда на управляющий вход подан высокий потенциал, логика работы схемы не отличается от обычной (например, TTL- схемы). Третье состояние — Zхарактеризуется очень высоким вы¬ ходным сопротивлением и имеет место при подаче на управляющий вход низкого потенциала. Перевод в третье состояние обеспечивает логическое отключение выхода схемы от нагрузки. Приведем таблицу истинности (табл. 2.15) тристабильного ин¬ вертора (рис. 2.27). Схемы с тремя состояниями применяются в качестве интерфей¬ сных буферных схем (шинные формирователи). Таблица 2.15 X (вход) ОЕ Y (выход) 0 0 Z 1 0 Z 0 1 1 1 1 0 Рис. 2.27. Инвертор с тремя состояниями 3. ПОСЛЕДОВАТЕЛЬНОСТНЫЕ ЛОГИЧЕСКИЕ СХЕМЫ Последовательностной логической схемой называют такую схе¬ му, выходное значение которой зависит не только от комбинации входных сигналов, но и от последовательности входных и выход¬ ных сигналов в предыдущие моменты времени. Поэтому говорят, нто последовательностные схемы обладают памятью. 49
В основе всех последовательностных схем лежит устройство, которое носит название триггер. На базе триггеров строятся более сложные устройства — гене¬ раторы импульсов, регистры, счетчики. 3.1. Триггеры Триггером называют устройство с двумя устойчивыми взаимо- инверсными состояниями, которые устанавливаются при подаче на вход управляющих сигналов и могут хранить это состояние в тече¬ ние бесконечно долгого времени после снятия этих сигналов. Информационные сигналы ТТ Q 75 Тактовый сигнал Рис. 3.1. УГО обобщенного триггера, тактируемого по переднему фронту На рис. 3.1 показано условное обозначение триггера. Триггер име¬ ет прямой — Q и инверсный — Q выходы. Как правило, триггер имеет один (или несколько) информаци¬ онный вход и может иметь синхро¬ низирующий (тактовый) вход. В за¬ висимости от того, используется синхронизирующий сигнал или нет, триггеры делятся на синхронные (тактируемые) и асинхронные. Говорят, что триггер установлен, если выполнено условие: <2=ке=о). При этом Q = О (Q = 1) — состояние сброса. В практике наибольшее применение нашли следующие тригге¬ ры: R-S, D, J-K, Т В основе всех типов триггеров лежит один и тот же базовый эле¬ мент — бистабильная ячейка (БЯ) или R-S -триггер. 3.1.1. Бистабильная ячейка Рассмотрим принцип действия бистабильной ячейки, постро¬ енной, например, на основе элементов НЕ-И (рис. 3.2,л). Как сле¬ дует из рис. 3.2,я, БЯ представляет собой два элемента НЕ-И, объе- 50
Рис. 3.2. Бистабильная ячейка, построенная г— —> 0 0 0 0 б) на двух элементах 2-И-НЕ (а) и соответствующая карта Карно (б) диненные перекрестными положительными обратными связями. Таблица истинности БЯ дана ниже (табл. 3.1). Обратим внимание на два положения, которые важны при опи¬ сании работы последовательностных схем. 1. Перед началом объяснения принципа действия необходимо задаться исходным состоянием схемы. 2. Описание работы следует начинать с входных сигналов, име¬ ющих уровень логического нуля. Запрещенное состояние БЯ следует трактовать таким образом, что при одновременной подаче на входы DD1.1 и DD1.2 (рис. 3.2,а) сигналов логического нуля на выходах Q и Q устанавливается со- Таблица 3.1 i S" R" Q" Qn+I 0 0 0 0 ~(D 1 0 0 1 ~(1) 2 0 1 0 1 3 0 1 1 1 4 1 0 0 0 5 1 0 1 0 6 1 1 0 0 7 1 1 1 1 51
стояние Q = Q. Это противоречит определению триггера как устрой¬ ства с двумя взаимно инверсными выходами. Найдем уравнение, связывающее текущее состояние БЯ — Qn+l с управляющими сигналами S", Rn и предыдущим состоянием Qn Qn^ = f(Sn,Rn,Qn) с помощью карты Карно (рис. 3.2,6), заполненной по табл. 3.1. Как следует из рис. 3.2,6, уравнение БЯ имеет вид: Qa+I =Sa vRttQa. (3.1) Часто при описании триггерных устройств используют не пол¬ ные, а сокращенные таблицы. В этом случае из числа переменных исключается Q" , что возможно исходя из анализа полных таблиц. Действительно, как следует из табл. 3.1 для Qn+i строки: нуле¬ вая и первая, вторая и третья, четвертая и пятая, попарно дают один и тот же результат. Шестая и седьмая строки повторяют значения для Qn . Таким образом, сокращенная таблица для БЯ примет сле¬ дующий вид (табл. 3.2): Таблица 3.2 i s" R" Q"+/ 0 0 0 ~(D 1 0 1 1 2 1 0 0 3 1 1 Q" Карта Карно для БЯ, заполненная по табл. 3.2, показана на рис. 3.3. В том случае, если в карте Карно наряду с единицами, нулями и неопределенностями в некоторых клетках стоят логические пере¬ менные, процедура отыскания МДНФ состоит из двух этапов: 52
(- 0 .о] Рис, 3.3. Карта Карно для БЯ 1) покрытие всех единичных значений функ¬ ции (единичных клеток); 2) покрытие всех значений функции, равных логическим переменным (клеток с логическими пе¬ ременными). В обоих случаях необходимо, чтобы покрытия были максимально возможного ранга, а их число — минимальным. При этом для увеличения ранга покрытия к единичной клетке не может быть присоединена клетка с логической переменной, т.к. переменная может принимать значе¬ ния не только логической единицы, но и логического нуля. Поскольку при отыскании МДНФ накрывают не только единич¬ ные клетки, но и клетки, в которых расположены логические пере¬ менные, для увеличения ранга покрытия к клетке с логической пе¬ ременной может быть присоединена как единичная клетка, так и клетка с неопределенностью. Уравнение БЯ, полученное по карте Карно (рис. 3.3), совпадает с уравнением (3.1). Для определения минимального времени подачи входных сиг¬ налов следует учитывать задержку на один логический элемент 2-И-НЕ т = тах(т301,т31 °). Полное минимальное время для БЯ со¬ ставит 3 т. Кроме таблиц состояний, карт Карно и уравнений для описа¬ ния последовательностных схем, и триггерных — в частности, ис¬ пользуют временные диаграммы. Эти диаграммы показывают уров¬ ни напряжений и временные интервалы между входными и выход¬ ными сигналами. По оси абсцисс откладывают время, по оси орди¬ нат — уровень напряжения или уровень логического сигнала. Временные диаграммы позволяют показать все состояния ло¬ гического устройства в виде функций времени. Временная диаграмма работы БЯ показана на рис. 3.4. к S 7? Q 1 1 J Q t Рис. 3.4. Временная диаграмма для БЯ 53
Как следует из рис. 3.4, в течение интервалов времени, на кото¬ рых R = S = 0 , Q" = 0”, БЯ теряет свойства триггерного устройства. 3.1.2. Асинхронный R-S-триггер Асинхронным А-5-триггером называют логическое устройство с двумя устойчивыми состояниями, имеющее два информационных входа R и S, такие, что при S = 1 и R = 0 триггер принимает состо¬ яние Q = 1, Q = 0. При 5 = 0 и R = 1 триггер принимает состояние 0 = 0, 0 = 1 • На рис. 3.5,а показана принципиальная схема асинх¬ ронного Л-5-триггера на базе элементов НЕ-И. Вход 5 называют входом установки триггера (Set— установить), вход R — входом сброса (Reset — сбросить). Таблица состояний (табл. 3.3) полностью описывает функционирование Л-5-триггера. Рис. 3.5. Принципиальная схема (а) и карта Карно (б) асинхронного Л-5-триггера Таблица 3.3 i S" Rn 2"+/ 0 0 0 О' 1 0 1 0 2 1 0 1 3 1 1 ~(1) 54
Триггер Л-5-типа называют фиксатором или триггером с раз¬ дельными входами. На рис. 3.6 приведена временная диаграмма работы асинхронного триггера. Рис. 3.6. Временная диаграмма асинхронного Я-5-триггера ГП Г“1 1 1 1 1 1 1 “I 1 Уравнение асинхронного 2?-5-триггера, полученное с помощью карты Карно (см. рис. 3.5,6) имеет вид: Qn+i=Sn vRnQn. (3.2) Как следует из сравнения (3.1) и (3.2), уравнения БЯ и асинхрон¬ ного А-5-триггера совпадают. Полное минимальное время действия входных сигналов для асинхронного Л-5-триггера составляет 4 т. 3.1.3. Синхронный R-S-триггер В отличие от асинхронного, тактируемый Л-5-триггер имеет до¬ полнительный тактовый вход, который связан с обоими плечами триггера (рис. 3.7). Информация, поступающая на входы R и 5, может Рис. 3.7. Принципиальная схема (а) и карта Карно (б) синхронного Я-5-триггера 55
быть передана на БЯ только при поступлении тактирующего импуль¬ са С. Для синхронных триггеров информационные сигналы только подготавливают схему для изменения состояния. Собственно изме¬ нение осуществляется только по тактовому сигналу. Синхронизация может производиться уровнем, либо фронтом сиг¬ нала С. Табл. 3.4 полностью описывает работу синхронного Я-У-триг- гера при синхронизации уровнем сигнала С. Еслй в таблице в левой колонке заменить 1 на передний фронт сигнала С, она будет соот¬ ветствовать описанию состояний синхронного Я-У-триггера, такти¬ руемого фронтом сигнала. Таблица 3.4 1 С S1 Rn Q"+' 0 0 0 0 О' 1 0 0 1 о 2 0 1 0 о 3 0 1 1 о 4 1 0 0 о 5 1 0 1 0 6 1 1 0 1 7 1 1 1 ~(1) Уравнение синхронного Я-У-триггера, полученное по карте Карно (рис. 3.7,6) имеет вид бл+' = СяУлмСябямЯл(2л. (3.3) Из общего уравнения синхронного Я-У-триггера (3.3) можно получить два частных, соответствующих режимам записи и хране¬ ния. Условием режима записи является: С”= I. Подставив это ус¬ ловие в (3.3) получим: Qn+J =Sn\fRnQn. Сравнение этого уравнения с (3.2) показывает, что в режиме записи уравнение синхронного Я-У-триггера совпадает с уравнени¬ ем асинхронного Я-У-триггера. Режим хранения может быть обес¬ печен при выполнении одного из двух условий: 56
1) ся = о. 2) S" = R” = 0. Подставляя первое уравнение в (3.3), получим: Q”+J =Qnv RnQn =Qn(lvR") = Qn. Подставляя второе уравнение в (3.3), получим: Qn+I = C"Qa v Q” = Q"(l v С") = Q”. Как следует из сравнения, оба условия приводят к одному ре- зультату: (3.4) Уравнение (3.4) характеризует режим хранения. Временные диаграммы, поясняющие принцип работы синхрон¬ ного Я-дУ-триггера, тактируемого уровнем и фронтом, приведены со¬ ответственно на рис. 3.8, а и б. S R С Q Ъ гиг s R с Q Q Рис. 3.8. Временные диаграммы работы синхронного 1?-5-триггера Как следует из диаграммы, триггер, синхронизируемый уров¬ нем, чувствителен к изменениям управляющих сигналов 5 и R в течение всего периода, когда С = 1. Триггер, синхронизируемый фронтом, реагирует только на те значения 5 и R, которые имеются на входах в момент поступления положительного фронта С. 3.1.4. D-триггер Триггером D-muna, известным в литературе [7, 8] как «триггер задержки», называют логическое устройство с двумя устойчивыми состояниями, одним информационным и одним синхронизирующим входами. Асинхронный D-триггер эквивалентен двум последователь- 57
но соединенным инверторам и практического значения не имеет. На рис. 3.9,0 показан синхронный D-триггер, реализованный на эле¬ ментах НЕ-И. В таблице истинности D-триггера тактирующий сиг¬ нал С, как правило, не указывается (табл. 3.5). При этом синхрони¬ зация может осуществляться как уровнем, так и фронтом сигнала С. D-триггер находится в режиме хранения при пассивном состоя¬ нии сигнала С. Рис. 3.9. Принципиальная схема (а) и карта Карно (б) D-триггера Функционирование синхронного D-триггера описывается таб¬ лицей состояния (табл. 3.5). Таблица 3.5 1 е D” О"+/ 0 0 0 О' 1 0 1 О' 2 1 0 0 3 1 1 1 Уравнение синхронного D-триггера, полученное с помощью карты Карно (рис. 3.9,6), имеет вид: Qn+1 =c«Dn vCnQn. Из общего уравнения синхронного D-триггера (3.5) также мож¬ но получить два частных, характеризующих режимы записи (С"= 1) и хранения (Си = 0). 58
В результате подстановки соответственно получим: 1. ел+/ = ря. 2. Qn+I =Qn. В отличие от синхронного и асинхронного ^-S'-триггеров D- триггер не имеет запрещенного состояния. Это обеспечивается вве¬ дением дополнительной перекрестной положительной связи. Полное минимальное время действия входных сигналов — не менее 5 т. 3.1.5. J-K-триггер Триггером (рис. 3.10,я) называют устройство с двумя устойчивыми взаимно инверсными состояниями и двумя входами J и К, которое при условии J*X=1 осуществляет инверсию предыду¬ щего состояния. Это достигается за счет введения двух дополнитель¬ ных перекрестных обратных связей. В остальных случаях таблицы состояния /-Х-триггера и /^-S'-триггера подобны. При этом вход J эквивалентен S', а вход К — входу R. Работа синхронного J-X-триггера описывается таблицей состо¬ яния (табл. 3.6). Уравнение синхронного J-X-триггера, полученное с помощью карты Карно (рис. 3.10,6) имеет вид: Qn+1 =И.пQtt vC"Qn vJnCnQa. (3.6) Рис. 3.10. Принципиальная схема (а) и карта Карно (б) J-X-триггера 59
Таблица 3.6 1 (7 Z кп 0"+/ 0 0 0 0 О' 1 0 0 1 о 2 0 1 0 о 3 0 1 1 о 4 1 0 0 о 5 1 0 . 1 0 6 1 1 0 1 7 1 1 1 Qn Из общего уравнения синхронного /-^-триггера (3.6) можно получить два частных, характеризующих режимы записи (С = 1) и хранения (Ся = 0). В результате последовательной подстановки соответственно получим: 1. ел+/=лглбпм/я2и. 2. Qn+1 = 0я 3.1.6. Т-триггер Это чисто синхронный триггер, т.е. не имеющий информаци¬ онных входных сигналов. Он может быть построен на основе любо¬ го синхронного триггера. Исходным для получения схемы /-триг¬ гера будем считать уравнение /-триггера: Qn+1 =QnTn vQnTa. (3.7) Временная диаграмма /-триггера изображена на рис. 3.11,а. Частота выходного сигнала /-триггера в два раза ниже частоты тактового сигнала, по этой причине /-триггер называют делителем на два. Рассмотрим пример реализации /-триггера на базе синхронно¬ го D-триггера. Для этого сравним уравнения (3.5) и (3.7) при усло¬ вии, что сигналы С” и /я эквивалентны. Уравнение (3.5) после за¬ мены примет вид: 60
Рис. 3.11 Временная диаграмма (а) и принципиальная схема (б) Т-триггера Qn+i = TnDn vTnQa. (3.8) Для того чтобы привести уравнение (3.8) к (3.7), необходимо ис¬ ключить информационный вход D", подав на него сигнал Q" . Схема реализации 7-триггера показана на рис. 3.11,5. 3.1.7. Взаимные преобразования триггеров УГО рассмотренных типов триггеров на принципиальных электрических схемах приведены на рис. 3.12, где а — асинхронный Л-У-триггер; б— синхронный TW-триггер; в — синхронный D-триг¬ гер; г — синхронный /-^-триггер. Общим для всех типов триггеров является уравнение режима хранения, которое обеспечивает БЯ. Выше были показаны преобра¬ зования: 1. Я-5-С=> J-X-С (рис. 3.10,а).
2. D-C => T (R-S-C, J-K-C=> T) (рис. 3.11,6). На рис. 3.13 соответственно показаны преобразования: 1. R-S-C => D (a). 2. R-S-C => T(6). 3. J-K-C=> T(e). а) б) в) Рис. 3.13. Взаимные преобразования триггеров S1 D1 R1 ТТ S2 D2 С2 R2 ТТ Рис. 3.14. УГО ИС 564ТМ2 (комбинированного R-S-D-C- триггера) Промышленностью выпускаются как триггеры, имеющие только прямые выходы (однофазные), так и имеющие два выхода — прямой и инверсный (парафазные); выпуска¬ ются также и комбинированные триггеры. Пример: 564ТМ2 — комбинированный 7?-5-£>-С-триггер (здесь одна выходная БЯ) (рис. 3.14). 3.2. Генераторы импульсов Генераторы импульсов выполняют функ¬ ции синхронизации, являются времязадающи- ми устройствами и применяются во всех мик¬ ропроцессорных устройствах приводов. Генераторы импульсов делятся на ГОИ (генераторы одиночных импульсов, или одно¬ вибраторы) и ГТИ (генераторы тактовых импульсов, или мульти¬ вибраторы). 3.2.1. Одновибраторы С помощью элементов этого типа [8, 12 ] формируются одиноч¬ ные импульсы, параметры которых полностью определяются время- 62
задающими Я-С-цепями. Как правило, эти цепи находятся в обрат¬ ных связях одновибраторов. В качестве примера рассмотрим схему с использованием комбинированного триггера 564ТМ2. Принципи¬ альная схема (рис. 3.15,а) и временная диаграмма (рис. 3.15,6) пояс¬ няют принцип формирования одиночного импульса. Исходное со- S 77 С к I D I £ ~R < R Ч.ер R1 Q п ■и а) б) Рис. 3.15. Принципиальная схема (а) и временная диаграмма (6) одновибратора стояние характеризуется совокупностью сигналов: 5=Я=С=0, D=l. При подаче сигнала запуска С=1 триггер устанавливается в состоя¬ ние 0=1; по цепи 0 — Al — С1 — «земля» начинает протекать ток, и конденсатор С1 начинает заряжаться. По достижении на конден¬ саторе напряжения переключения С/пер (сигнал R переходит в состо¬ яние 1) выход триггера устанавливается в состояние 0=0, и начи¬ нается разряд конденсатора через сопротивление Я1 и низкоомное выходное сопротивление триггера. Длительность сформированного импульса Г-0,69/?С. Рекомендуемое минимальное значение сопро¬ тивления R ограничено максимально допустимым током триггера и составляет около 20 кОм. Изменяя значение R в диапазоне от 20 кОм до 10 МОм, можно менять длительность импульса более чем на два порядка. 3.2.2. Генераторы тактовых импульсов ГТИ можно построить на основе различных триггерных схем, в том числе на основе БЯ (рис. 3.16,а). Временные диаграммы, приведенные на рис. 3.16,6, поясняют принцип работы ГТИ. На рис 3.16,6 используются обозначения: 63
Рис. 3.16. Принципиальная схема (а) и временные диаграммы (6) ГТИ KF С/ип — напряжение источника питания, СА — напряжение пере¬ ключения. Схема имеет два устойчивых динамических состояния. В первом из них инверторы DD1. 1 и DDI.2 находятся соответствен¬ но в состояниях 1 и 0, что обеспечивает заряд конденсатора С через открытый р-транзистор DD1A, резистор R и открытый «-транзис¬ тор DD1 .2. В процессе заряда напряжение на входе DDIA растет и при достижении значения {7пер происходит скачкообразный переход во второе динамическое состояние, в котором инверторы DD1A и DD1.2 оказываются в состоянии 0 и 1 соответственно. В этом состо¬ янии происходит перезаряд конденсатора Стоком обратного направ¬ ления через открытый р-транзистор DD1.2, резистор R2 и открытый «-транзистор DD1A. При этом напряжение на входе DD1A начнет уменьшаться, и при достижении значения Спер происходит возврат в первое динамическое состояние. Таким образом, в схеме достигается устойчивый автоколебатель¬ ный процесс. Частота колебаний (1/7) зависит от отношения R2/C, а скважность (Тх/Т) — от отношения RX/K1. 3.3. Регистры Регистром называют устройство последовательностного типа, которое состоит из совокупности триггеров, объединенных по не¬ 64
которым управляющим входам, и предназначено для приема, вре¬ менного хранения и передачи данных. Данные в регистре хранятся в виде двоичного числа (кода), каж¬ дому разряду которого соответствует отдельный триггер. 3.3.1. Классификация регистров Основными классификационными признаками регистров явля¬ ются способ записи и способ считывания данных. Согласно этим признакам различают следующие типы регистров: 1. С параллельными записью и считыванием (рис. 3.17,6?). 2. С последовательной записью и параллельным считыванием (рис. 3.17,6). 3. С параллельной записью и последовательным считыванием (рис. 3.17,в). 4. С последовательными записью и считыванием (рис. 3.17,г). На рис. 3.17 приняты следующие обозначения: V— сигнал, по которому осуществляется параллельная (одновременная) запись входных данных в регистр; С — тактовый сигнал. В зависимости от типа используемых в регистре триггеров раз¬ личают регистры однофазного и парафазного вида. В парафазных регистрах, построенных, как правило, на основе Я-У-триггеров, данные поступают одновременно по двум каналам — прямому и инверсному. Однофазные регистры реализуются на базе Р-тригге- 65
ров, и данные поступают только по одному каналу (прямому или инверсному). Реальные схемы регистров могут являться комбинированными и сочетать различные способы записи и считывания данных. 3.3.2. Регистры с параллельными записью и считыванием Рис. 3.18. УГО многофунк¬ ционального регистра 588ИР1 Регистры данного типа называют регис¬ трами памяти. В качестве примера рассмот¬ рим восьмиразрядный многофункциональ¬ ный регистр 588ИР1 (рис. 3.18). Он предназ¬ начен для работы в асинхронных устройствах, в том числе в блоках бортовой автоматики. Сигналы R0 и W0 подтверждают соответ¬ ственно прохождение режимов чтения (R) и записи (W) байта данных, обеспечивая синх¬ ронизацию работы регистра в системе. Кро¬ ме этого, реализуется проверка и контроль данных на четность (сигналы В, C/Fn ER), а также считывание данных в прямом (/=1) или обратном (1=0) коде. Сигнал CS высокого уровня запрещает запись данных DI(0)-DI(7). Чтение данных происходит независимо от сигналов W и CS. При сигнале R высокого уровня выходы D0(0)-D0(7) переходят в третье состояние. Регистры памяти применяются в качестве входных, выходных и буферных регистров в составе преобразователей код — широтно¬ импульсный сигнал и код — напряжение. 3.3.3. Регистры сдвига Регистры, показанные на рис. 3.\7,б,в,г, называют регистрами сдвига. С помощью регистров сдвига реализуют три типа сдвигов (рис. 3.19): 1) логический сдвиг (рис. 3.19,л); 2) арифметический сдвиг (рис. 3.19,6); 3) циклический сдвиг (рис. 3.19,<?). 66
в) Рис. 3.19. Типы сдвигов По направлению сдвиги бывают левые и правые, поэтому пос¬ ледовательные входы и выходы могут быть как со стороны старших, так и со стороны младших разрядов. Логический и циклический сдвиги используются при побитной обработке данных. При арифметическом сдвиге, в отличие от логи¬ ческого и циклического, предполагается , что число записано в формате с фиксированной точкой, то есть левый, старший, разряд рассматривается как знаковый. Поэтому операции сдвига подверга¬ ется только мантисса, а значение знакового разряда не изменяется. На основе арифметических сдвигов реализуются сложные арифме¬ тические операции — двоичное умножение и двоичное деление. Наиболее часто сдвиговые регистры реализуют на основе синх¬ ронных D-триггеров. При этом все тактовые входы объединяются и на них подается синхронизирующий сигнал. Пример реализации регистров логического сдвига вправо (а) и влево (б) при подаче на последовательный вход логической едини¬ цы показан на рис. 3.20; соответствующие временные диаграммы — на рис. 3.21. 3.4. Счетчики Счетчик — это специальный тип регистра, который предназна¬ чен для подсчета импульсов (событий). Счетчики — один из наиболее часто используемых типов пос¬ ледовательностных устройств. 67
D ТТ Q0 1 С > ТТ ) ТТ 2 0-£ ) ТТ Q £ ' V zd zd б) ля Рис. 3.21. Временные диаграммы работы регистров сдвига 3.4.1. Классификация счетчиков Основной характеристикой любого счетчика является модуль счета — Кс. Модуль счета — число уникальных состояний, которое может принять счетчик. При поступлении на вход счетчика количества импульсов, чис¬ ленно равного модулю счета, он возвращается в исходное состояние. 68
Таким образом, счетчик относится к классу циклических авто¬ матов. Счетчики можно классифицировать по следующим признакам: 1. По организации: а) асинхронные (последовательные); б) синхронные (параллельные); в) комбинированные. 2. По направлению счета: а) суммирующие (Q" = Q" +1); б) вычитающие (Qn = Q" - D); в) реверсивные (Q" =Qn ± 1(D)). а) и б) — простые счетчики; в) — сложные счетчики; I— инк¬ ремент; D — декремент. 3. По модулю счета: а) с нормальным модулем счета Кс = 2"с, пс — число разрядов счетчика; б) с произвольным модулем счета Кс * 2"с. 4. По инкременту (декременту): а) 1(D) = const; б) 1(D) = var. 5. По порядку счета: а) естественный порядок счета ( по отношению к двоичному арифметическому); б) искусственный порядок счета. 3.4.2. Асинхронные счетчики Особенностью асинхронных счетчиков (рис. 3.22) является то, что тактовый сигнал приходит только на один, как правило, млад¬ ший разряд счетчика. Временная диаграмма работы 4-разрядного асинхронного счет¬ чика показана на рис. 3.23. Достоинством асинхронных счетчиков является их простота, недостатком — накопление задержки в цепях переноса. 69
Рис. 3.22. Схема реализации 4-разрядного асинхронного счетчика Рис. 3.23. Временная диаграмма работы 4-разрядного асинхронного счетчика 3.4.3. Синхронные счетчики В синхронных счетчиках тактовый сигнал поступает на все раз¬ ряды счетчика, причем практически одновременно (рис. 3.24). Как следует из рис. 3.24: C1=QO- CLK; С2= Q1Q0- CLK; С3= Q2 Q1Q0- CLK. Временная диаграмма работы 4-разрядного синхронного счет¬ чика показана на рис. 3.25. Рис. 3.24. Схема реализации 4-разрядного синхронного счетчика 70
А CLK QO С1 Q1 C2 Q2 C3 Q3 Рис. 3.25. Временная диаграмма работы 4-разрядного синхронного счетчика Достоинством синхронных счетчиков является отсутствие на¬ копления задержки в цепях переноса. Это обеспечивается за счет введения дополнительных элементов, то есть усложнения схемы счетчика. 3.4,4. Счетчики с произвольным модулем счета. Декадный счетчик Счетчики подобного типа основаны на обычных двоичных асин¬ хронных или синхронных счетчиках и дешифраторах требуемого мо¬ дуля счета. Для исключения ряда «лишних» состояний используют¬ ся входы сброса. Схема реализации декадного счетчика, поясняю- Рис. 3.26. Схема реализации декадного счетчика 71
Для счетчиков с естественным порядком счета, к которым от¬ носится и декадный счетчик, дешифратор может быть упрощенным — рассчитанным только на прием единичных значений кода. Временная диаграмма работы декадного счетчика показа на Рис. 3.27. Временная диаграмма работы декадного счетчика 3.4.5. Реверсивные счетчики Реверсивные счетчики обеспечивают подсчет импульсов в обо¬ их направлениях. Для этого используются либо два тактовых входа, либо один тактовый вход при наличии управляющего входа «направ¬ ление счета» (рис. 3.28). В качестве примера приведем ИС четырехразрядного реверсив¬ ного счетчика с предустановкой К555ИЕ7 (рис. 3.29). +(Сложение) -(Вычитание) Рис. 3.28. Фрагмент схемы реализации реверсивного счетчика Рис. 3.29. УГО ИС К555ИЕ7 Временная диаграмма работы К555ИЕ7 приведена на рис. 3.30. 72
в 0 двоично-десятичного числа 13 Рис. 3.30. Временная диаграмма К555ИЕ7 3.4.6. Синтез счетчиков на основе сдвиговых регистров (Словарный метод) В основе метода [10] лежит положение, что исходная схема счет¬ чика состоит из триггеров с известными словарями переходов и имеет вид, показанный на рис. 3.31. При этом предполагается, что значения прямых и инверсных выходов всех разрядов счетчика известны. Требуется определить соотношения, связывающие информационные входы всех разрядов счетчика с его выходами. Рис. 3.31. Исходная схема для синтеза счетчиков словарным методом 73
Синтез осуществляют следующим образом: 1. В таблицу заносят последовательности разрядов счетчика на п такте. 2. Справа от этой таблицы во вторую таблицу записывают пос¬ ледовательности разрядов счетчика на л+1 такте. 3. На основе сравнения двух первых таблиц формируют третью таблицу для функций переходов Fp причем: если Qin+1 = Qin, то 17 = 0/1; если Qin+1 =1, a Qiп =0, то Fi = А ; если Qin+1 =0, a Qiп =1, то 17 = V. 4. Выбирают тип триггера: R-S-C, J-K-C, D-С. В соответствии со словарем переходов выбранного типа триггера (табл. 3.7) форми¬ руют таблицу для входов выбранного триггера. Таблица 3.7 Тип перехода R-S J-K D R S J к 0-»0 X 0 0 X 0 0->1 0 1 1 X 1 1 ->0 1 0 X 1 0 1->1 0 X X 0 1 5. С помощью карт Карно определяют связь между входами и выходами триггеров. Полученные зависимости реализуют в задан¬ ном базисе элементов. Таблица 3.8 i 0‘s &2 0", 0% (Г'з &+,2 G"+Z; (Г'о F3 ?2 Го 0 0 0 0 0 0 0 0 1 0 0 0 ь 1 0 0 0 1 0 0 1 1 0 0 д 1 3 0 0 1 1 0 1 1 1 0 д 1 1 7 0 1 1 1 1 1 1 1 д 1 1 1 15 1 1 1 1 1 1 1 0 1 1 1 V 14 1 1 1 0 1 1 0 0 1 1 V 0 12 1 1 0 0 1 0 0 0 1 V 0 0 8 1 0 0 0 0 0 0 0 V 0 0 0 74
В качестве примера рассмотрим син¬ тез 4-разрядного счетчика Джонсона. Ре¬ ализация первых трех пунктов метода син¬ теза приведена в табл. 3.8. Для построения счетчика выбираем D- триггер с функциями перехода (табл. 3.7) и формируем таблицу (табл. 3.9) для вхо¬ дов выбранного триггера. Карты Карно (в соответствии с п.5) для Di = f(Qin) приведены на рис. 3.32. Зависимости, полученные по картам Карно (см. рис. 3.31) и связывающие вхо¬ Таблица 3.9 i D3 В2 D, В„ 0 0 0 0 1 1 0 0 1 1 3 0 1 1 1 7 1 1 1 1 15 1 1 1 0 14 1 1 0 0 12 1 0 ' 0 0 8 .0 0 0 0 ды и выходы всех разрядов счетчика, имеют вид: D3=Q2 Реализуя эти уравнения графически, получим схему, показан¬ ную на рис. 3.33. Временная диаграмма 4-разрядного счетчика Джонсона показана на рис. 3.34. 0 0 /- 0 1 1 1 0 V 0 0 1 1 1 0 0 Qi Q2 Q0 Do И 1 Оз 1 1 —J 0 0 0 0 Q2 Рис. 3.32. Карты Карно для синтеза 4-разрядного счетчика Джонсона 75
_nn Рис. 3.33. Схема реализации 4-разрядного счетчика Джонсона Рис. 3.34. Временная диаграмма работы 4-разрядного счетчика Джонсона 4. ЦИФРОВЫЕ УСТРОЙСТВА СИСТЕМ ПРИВОДОВ В следящих приводах используются разнообразные цифровые, цифроаналоговые и аналого-цифровые устройства. Они выполняют функции преобразования сигналов из аналоговой формы в цифро¬ вую и обратно, применяются в качестве управляющих устройств — контроллеров. Большое значение в следящих приводах имеют циф¬ ровые датчики скорости, тока, давления, расхода и, особенно, пе¬ ремещения. 4.1. Цифровые датчики обратной связи Цифровой датчик (ЦД) обратной связи (ОС) — это прецизион¬ ное (высокоточное) устройство, предназначенное для преобразова¬ ния перемещения (углового или линейного) в цифровой код. ТТД ОС является разновидностью АЦП, относится к измерительным устрой¬ ствам и во многом определяет точностные характеристики энерго¬ приводной системы в целом. В ЦСП используются цифровые датчики, основанные на раз¬ личных методах кодирования и физических принципах преобразо¬ вания [15]. 76
По методу кодирования все ЦД положения можно разделить на три группы: 1) с непосредственным преобразованием перемещения в код; 2) с косвенным преобразованием перемещения в код; 3) с непосредственно-косвенным преобразованием перемещения в код. В первом случае преобразованию подвергается непосредствен¬ но перемещение. Во втором случае измеряемое перемещение пред¬ варительно представляется в виде удобного аналогового параметра (напряжения, амплитуды, сдвига фаз), который затем преобразует¬ ся в цифровую форму. Третий случай является сочетанием первых двух, причем, как правило, старшие разряды кода формируются на основе непосредственного преобразования, а младшие — косвен¬ ного. Рассмотрим ЦД с непосредственным преобразованием переме¬ щения в код. Пример ЦД с косвенным преобразованием перемеще¬ ния в код будет описан ниже. ЦД с непосредственным преобразованием перемещения в код могут быть реализованы на следующих физических принципах: • электромеханические, основанные на эффекте резкого измене¬ ния сопротивления парных контактов при их замыкании и размы¬ кании; • электроакустические, основанные на эффекте изменения энер¬ гии поверхностной акустической волны; • электромагнитные (индуктивные, трансформаторные), исполь¬ зующие эффект периодического изменения индуктивности или вза¬ имоиндукции; • электростатические (емкостные — основанные на эффекте пе¬ риодического изменения емкости, пьезоэлектрические — основан¬ ные на эффекте возникновения электрического заряда на поверх¬ ности некоторого материалов при их деформации); • фотоэлектрические, использующие эффект периодического изменения освещенности. Среди существующих ЦД, в которых используется метод про¬ странственного кодирования, наиболее высокой точностью (до 22 двоичных разрядов на угол 2 я [15] ) обладают фотоэлектрические датчики. Они также отличаются высоким быстродействием, надеж¬ ностью конструкции, малыми массой и габаритами. 77
ЦД с непосредственным преобразованием перемещения в код можно разделить на два типа: считывающие с использованием про¬ странственного кодирования; накапливающие на основе счета с при¬ менением временного кодирования. В ЦД накапливающего типа измеряемые угол или линейный отрезок делится импульсной маской на равные участки, и с помо¬ щью счетчиковых схем определяется число таких участков (код). Как правило, импульсная маска (рис. 4.1, а) имеет две дорожки, сдви¬ нутые друг относительно друга на полсегмента. Это обеспечивает формирование двух последовательностей импульсов, сдвинутых друг относительно друга на л/2 (рис. 4.1,6). Фактически дорожкой счета служит внешнее кольцо. Внутрен¬ не кольцо определяет направление вращения. По тому, какая из последовательностей импульсов опережает другую, определяют на¬ правление вращения. Помимо двух квадратурных сигналов, датчи¬ ки обычно выдают и реперный сигнал, который вырабатывается один раз за оборот и используется для контроля и коррекции. В ЦД считывающего типа измеряемый угол делится специаль¬ ной кодовой маской на неодинаковые участки и код определяется комбинацией этих участков. На рис. 4.2,а приведен кодирующий диск, на который нанесен двоичный арифметический код, а на рис. 4.2,6 — код Грея. Функционально ЦД с непосредственным преобразованием пе¬ ремещения в код состоят из первичного (измерительного) и вторич¬ ного преобразователей (ВП). В состав первичного преобразователя входят (рис. 4.3) кодовая маска 7; блок чув¬ ствительных элементов, включающий ряд источников точечного излучения света 3; ряд П Дорожка счета р[—j pg [—| п П Г" ^Дорожка направления Дорожка начала ^тсчета а) б) Рис. 4.1. Импульсная маска (а) и временная последовательность импуль¬ сов, формируемая ею (6) 78
11001 110G0 10111 10110 10101 а) б) Рис. 4.2. Кодирующие диски с арифметическим (а) кодом и кодом Грея (б) Рис. 4.3. Элементы конструкции ЦД пространственного кодирования приемников излучения 4 с щелевой диафрагмой 5 и блок усилите¬ лей-формирователей 6. Кодовая маска жестко связана с выходным валом привода 2, который перемещается относительно неподвижного в пространстве блока чувствительных элементов. В каждый момент времени между соосно расположенными рядами источников и приемников излуче¬ ния находится один сектор маски. Кодовая маска состоит из про¬ зрачных и непрозрачных участков. В том случае, если свет прохо¬ 79
дит от источника к приемнику, формируется логическая единица; в противном случае — логический нуль. Щелевая диафрагма предох¬ раняет приемники от перекрестного излучения. Усилители-форми¬ рователи доводят уровни сигналов, полученных с приемников, до стандартных логических уровней. На практике применяют кодовые маски, рисунок которых со¬ ответствует одному из помехозащищенных кодов, с помощью кото¬ рых исключается эффект «гонок». Суть этого эффекта заключается в следующем. При использовании для кодирования двоичного ариф¬ метического кода (см. рис. 4.2,а) возможен, например, переход из одного соседнего сектора — 01111 к другому — 10000, то есть одно¬ временное изменение значений всех формируемых разрядов на про¬ тивоположное. Между тем, фотоприемники имеют технологический разброс параметров. Это приводит к тому, что часть фотоприемни¬ ков срабатывает с временной задержкой. При этом возможны крат¬ ковременные ложные состояния — помехи. При использовании помехозащищенных кодов значительно повышается надежность дат¬ чика. Наиболее часто используют коды Грея и Джонсона. Но так как вся обработка информации в СМВ (см. рис. 1.1) осуществляется в двоичном арифметическом коде, помехозащищенные коды всегда преобразуют в двоичный арифметический код с помощью ВП (см. раздел 2.15). 4.2. Устройства управления исполнительными двигателями 4.2.1. Мехатронные модули систем приводов Рассмотрим вариант структуры ЦСП, который обеспечивает принцип прямого цифрового управления исполнительным двигате¬ лем (рис. 4.4). В этом случае вместо ЦАПа используется управляю¬ щий логический автомат (УЛА), функции которого заключаются в реализации законов управления системой: «импульсный усилитель мощности — исполнительный двигатель» (ИУМ-ИД). Пунктиром выделен мехатронный модуль, в состав которого, кроме УЛА, ИУМ и ИД, могут входить устройства, реализующие обратные связи (ОС). ЦУМ формирует требуемый закон движения выходного вала привода в виде некоторой функции срв(О и передает его как задаю- 80
Рис. 4.4. Блок-схема ЦСП с прямым цифровым управлением щее воздействие на ЦСП в виде «-разрядного двоичного кода Кв. СМВ принимает этот код, «-разрядный двоичный код Кс и, возмож¬ но, сигналы с каких-либо датчиков первичной информации. На основе полученных сигналов СМВ формирует код ошибки Кд = Кв — — Кс, а при необходимости код управления Ку, включающий, кроме пропорциональной составляющей Кд, например, интегральную и дифференциальную. Код управления Ку в зависимости от порядка астатизма системы несет информацию о желаемом положении, ско¬ рости или ускорении. Ку поступает на УЛА, который может быть либо программируемым, либо реализовывать жесткую программу. УЛА реализует требуемый закон управления процессом коммутации ключевых элементов (КЭ), то есть преобразует код управления Ку в циклическую последовательность двоичных управляющих слов CW (Control Word) или состояний. ИУМ преобразует последовательность CW в среднее напряжение £/дв, которое ИД и механическая переда¬ ча (МП) преобразуют в перемещение <рс. АЦП преобразует угол по¬ ворота выходного вала <рс, в пропорциональный этому углу «-раз¬ рядный двоичный код Кс. Такая структура ЦСП обеспечивает прямое цифровое управле¬ ние исполнительным устройством (первой непрерывной координа¬ той при импульсном управлении является ток в обмотках двигате¬ ля). При этом сохраняются все преимущества микропроцессорной техники: высокая степень интеграции электронных элементов на кристалле кремния и, как следствие, малая потребляемая мощность; малые веса и габариты; высокая надежность. Эти качества особенно важны для электроприводов летательных аппаратов. 81
Развернутая блок-схема системы УЛА—ИУМ в общем виде по¬ казана на рис. 4.5. Рис. 4.5. Развернутая блок-схема системы УЛА—ИУМ На рис. 4.5 приняты обозначения: Un — напряжение источника питания; КЭ — ключевой элемент (рис. 4.6); А, В, С,..., Z— точки подключения обмоток двигателя. КЭ состоит из транзистора VT, об¬ ратного диода VD и резистора Як. На управляющие входы КЭ ИУМ поступают логические сигналы, принимающие только два значения: 0 и 1. Назовем эти сигналы управляю¬ щими логическими функциями (УЛФ) -1Л(/ит02), где I — номер КЭ; т02 — минимальный интер¬ Рис. 4.6. Ключевой элемент вал времени, определяемый генератором тактовых импульсов СМК; т = 0, 1, 2,... Нумерацию КЭ проведем таким образом, чтобы в одной стойке верхний ключ имел нечетный номер (IB =2/1 — 1), а нижний — четный (1н =2/1); п — число стоек ИУМ. Аргумент у УЛФ в целях упрощения выра- жений далее будем опускать. Совокупность всех УЛФ поступает одновременно на все управ¬ ляющие электроды транзисторов, образуя CW. Таким образом, в общем случае CW=<UN...U3 U2 Ul>, где N — число КЭ. Число разрядов CW, как следует из рис. 4.5, всегда четное и за¬ висит от числа управляемых обмоток двигателя и схемы их соеди¬ 82
нения. Часть CW, поступающую одновременно на КЭ одной стой¬ ки, назовем управляющим слогом CS {Control Syllable). Как видно из рис. 4.5, слог, описывающий функционирование каждой стойки, состоит из двух УЛФ с последовательными номерами: U(I+1) и UI. Импульсный метод регулирования скорости в системе ИУМ—ИД основан на циклическом изменении состояний КЭ, обеспечиваю¬ щих чередование двигательного и тормозного режимов работы [16]. Законом управления процессом коммутации КЭ (в дальнейшем для краткости — закон коммутации) в системе ИУМ—ИД будем на¬ зывать временную циклическую последовательность управляющих слов, которая в общем случае обеспечивает регулируемое по вели¬ чине и знаку напряжение в точках подсоединения нагрузки (обмо¬ ток двигателя) и, как следствие, регулируемое по направлению и скорости движение вала (штока) двигателя. Согласно введенному определению любой закон коммутации представляет собой совокупность (множество) состояний КЭ и со¬ вокупность (множество) переходов из состояния в состояние. Вве¬ дем эти понятия. Множеством располагаемых состояний TF" (верхний индекс здесь и ниже соответствует числу стоек ИУМ) в системе ИУМ—ИД назовем совокупность всех возможных CW={UN...U3 U2 U1}, уп¬ равляющих работой N КЭ. Очевидно, что общее число состояний системы — мощность множества, показанного на рис. 4.5: 7F" = 4", (4.1) где п = N/2 — число стоек ИУМ. Любому состоянию системы ИУМ—ИД соответствует один эле¬ мент множества TFn = {tfg, tfJt tf2,...tf(4n ^}. Нижний индекс здесь и ниже определяет порядковый номер элемента соответствующего множества (десятичный эквивалент CW). Множеством допустимых состояний Тп назовем совокупность CW, обеспечивающих один из возможных режимов работы элект¬ родвигателя (эти режимы определены на плоскости механических характеристик или, в более общем случае — пространством предель¬ ных динамических состояний исполнительного механизма [16]. При этом из четырех возможных логических комбинаций в любой из стоек одна, а именно U(I+1) = UI=1, (4.2) 83
является запрещенной, так как приводит к короткому замыканию источника напряжения питания. С учетом этого мощность множе¬ ства допустимых состояний Тп = Зп. (4.3) Любому допустимому состоянию системы ИУМ—ЭД соответствует один элемент множества Тп = {t0, tlf Множеством запрещенных состояний Fn назовем совокупность CW, реализующих нештатные режимы работы системы ИУМ—ЭД (например, короткое замыкание источника напряжения питания). Любое из состояний системы ИУМ—ЭД может принадлежать либо к множеству допустимых Тп, либо к множеству запрещенных Fn состояний и не может принадлежать к обоим множествам одновре¬ менно, т. е. Tn=F". (4.4) Множество располагаемых состояний TFn является объединением двух непересекающихся множеств: допустимых — Тп и запрещен¬ ных — Fn состояний. В связи с этим справедливыми являются соот¬ ношения: Тп c\Fn =0; TttuFn = l, (4‘5) где п — операция пересечения множеств; и — операция объеди¬ нения множеств. К традиционным способам описания процессов коммутации КЭ ИУМ относятся временные диаграммы [16]. Основным достоинством временных диаграмм являются наглядность и возможность доста¬ точно простого перехода к табличному, а затем — к аналитическому представлению УЛФ. Между тем, при широтно-импульсном управлении в зависимо¬ сти от скважности у (0<у<1) при отсутствии момента нагрузки возможны три принципиально различных режима работы системы ИУМ-ИД: • при у = 0 (за исключением случая торможением противовклю¬ чением) реализуется режим останова (£2дв =0 ); 84
• при у = 1 осуществляется движение с максимальной скорое- тью(Пдв=адвтах); • при 0 < у < 1 осуществляется движение с некоторой скоростью О < П < £2 дв дв шах Каждому из перечисленных значений у соответствует уникаль¬ ная временная диаграмма. При этом только временная диаграмма для случая 0< у<1 позволяет получить аналитические выражения для УЛФ. Кроме этого, для сложных законов коммутации, характе¬ ризующихся большим числом состояний (логических переменных), наглядность временных диаграмм теряется. Анализ процессов функционирования системы ИУМ—ИД по¬ зволяет сделать вывод о том, что эта система относится к классу ко¬ нечных автоматов. Существуют различные способы представления функций переходов и выходов. Ниже, вследствие большой нагляд¬ ности, будут использоваться графы переходов. При этом число вер¬ шин графа переходов равно числу состояний автомата и каждому со¬ стоянию автомата соответствует одна вершина графа переходов. Две вершины, соответствующие состояниям t- и , соединяются ребром, ориентированным от t( к 1}, если существует такой набор значений входных сигналов, что автомат из состояния tf под действием этого набора переменных переходит в состояние Таким образом, ребру, соединяющему вершину Г;с t}, соответствует условие перехода авто¬ мата из состояния t- в ty Это условие перехода может быть записано в виде булевой функции от входных сигналов. С целью упрощения граф-схем вырожденные переходы, соответствующие подтверждению предыдущего состояния, рассматриваться не будут. При широтно-импульсном управлении ИД основным парамет¬ ром, характеризующим скорость двигателя, является скважность у — отношение длительности импульса сигнала управления к периоду следования этих импульсов. Значения этого параметра лежат в ди¬ апазоне: 0 < у < 1, причем (без учета момента нагрузки) при у = 0 двигатель неподвижен, при у = 1 — вращается с максимальной ско¬ ростью. Таким образом, при широтно-импульсном управлении в зависимости от параметра у существует три принципиально различ¬ ных режима работы системы ИУМ—ЭД. 85
Для большей наглядности описания процесса управления ком¬ мутацией КЗ с помощью граф-схем ребра графов будем обозначать (рис. 4.7): • толстыми линиями при у = 1; • линиями обычной толщины при 0 < у < 1; • пунктирными линиями при у = 0. а) б) в) Рис. 4.7. Переходы-ребра графов при у = 1 (а); 0< ус 1(6); у = 0(в) В том случае, если состояние является соизмеримым по време¬ ни с периодом ШИС, вершины графа будем обозначать окружнос¬ тью большего диаметра (рис. 4.8,о). а) б) в) г) д) Рис. 4.8. Возможные состояния системы ИУМ—ИД В том случае, если состояние является кратковременным по сравнению с периодом ШИС, вершины графа будем обозначать окружностью меньшего диаметра (рис. 4.8,6). В том случае, если существуют состояния, соответствующие у = 0, будем обозначать их пунктирной окружностью (рис. 4.8,в). В том случае, если существуют состояния, соответствующие двум значениям у, будем обозначать их двойной окружностью, на¬ пример, при у = 0 и 0<у< 1 (рис. 4.8,г) или, например, при 0<у< 1 и у= 1 (рис. 4.8,6). Использование граф-схем удобно дополняет описание законов управления процессом коммутации КЭ с помощью временных ди¬ аграмм, так как позволяет на одном рисунке совместить три прин¬ ципиально различных состояния системы ИУМ—ЭД. Поэтому вре- 86
менные диаграммы будут приведены для наиболее общего случая: О < у < 1. Оба предельных случая будут показаны на граф-схемах. В тех же случаях, когда состояния системы не зависят от скважности, на граф-схемах ребра графов будут обозначены линиями обычной толщины. 4.2.2. Цифровое управление двигателем постоянного тока Мехатронный модуль на основе двигателя постоянного тока (ДПТ) показан на рис. 4.9. Рис. 4.9. Блок-схема мехатронного модуля на основе ДПТ Рис. 4.10. Схема подключения якорной обмотки ДПТ к ИУМ Л. t.. t.. tr. t,. t„. t„. t,n>. Схема подключения якорной обмотки ДПТ к ИУМ имеет вид, показанный на рис. 4.10. Для управления ДПТ используется четырехразрядное двоичное слово CW=<U4 U3 U2 Ul>. Мощность множества располагае¬ мых состояний для системы ИУМ— ДПТ, как следует из рис. 4.10, состав¬ ляет TF2 = 42 = 16. Мощность множества допустимых состояний составляет Т2 = З2 = 9. К множеству допустимых состояний от¬ носятся следующие элементы: Т2 —<t0, Исходя из того, что каждое состояние не может одновременно принадлежать к множеству допустимых и запрещённых состояний F2 = 1. Таким образом, из 16 располагаемых состояний девять относят¬ ся к множеству допустимых и семь — к множеству запрещенных со¬ стояний. Из девяти элементов множества допустимых состояний только два обеспечивают подключение источника питания к якор¬ ной цепи ДПТ: 0110 (Гб) и 1001 (Г9). Все остальные допустимые со¬ стояния обеспечивают отключение источника питания от нагрузки. SI
Временная циклическая последовательность управляющих слов определяет подключение и отключение источника питания от дви¬ гателя, что в итоге обеспечивает регулирование среднего напряже¬ ния в точках подключения нагрузки. В любом законе коммутации обязательно должно быть чередование одного из режимов подклю¬ чения и отключения источника питания. Из семи состояний, обеспечивающих отключение источника питания от якорной обмотки ДПТ, два состояния: 0101 (Г5) или 1010 (t10) обеспечивают режим электродинамического торможения. Все остальные (0000 — t0, 0001 — tp 0010 — t2, 0100 — t4, 1000 — tg) ре¬ ализуют режим рекуперативного или генераторного торможения. К основным функциям УЛА относятся следующие. 1. Выделение из кода управления Ку знака и абсолютного зна¬ чения |Ку|. При этом знак будет определять направление вращения, а модуль — среднюю скорость вращения. 2. Преобразование абсолютного значения кода управления в цифровой сигнал Q, длительность которого пропорциональна это¬ му значению кода управления. Реализация при необходимости дру¬ гих логических переменных. 3. Формирование управляющих логических функций. Выделение из кода управления Ку знака и абсолютного значения |Ку| Для определенности будем полагать, что код управления пред¬ ставлен в обратном коде: Ку =< %зн Хп_2 Хп_3... Х2 Х{ XQ>, тогда со¬ гласно основным соотношениям булевой алгебры: |К,1 = Х,п®Х! *».®*| W Старший (левый) разряд Ку несёт информацию о знаке и, как следствие, о направлении вращения двигателя, которое определяет¬ ся полярностью прикладываемого напряжения Un. Введём логичес¬ кую переменную: ГО, если Ку >0, SG 1, если Ку<0. (4 6) Будем предполагать, что при SG= 0 реализуется прямое враще¬ ние, а при SG = 1 — обратное вращение. 88
На рис. 4.11,а показана графическая интерпретация выражения (4.6). lSG ^Прямое вращение Обратное вращение •> * J ± а) б) Рис. 4.11. Графическая интерпретация переменной SG Логическая переменная Заявляется функцией координат ЦСП (код управления Ку несет полную информацию о текущем состоя¬ нии привода). Между тем, считывание Ку происходит в строго оп¬ ределенные моменты времени, поэтому значение переменной SG синхронизировано с формированием логических переменных, явля¬ ющихся функциями времени. В связи с этим в дальнейшем на вре¬ менных диаграммах переменная ЗС будет иметь вид, показанный на рис. 4.11,6. Преобразователи код — широтно-импульсный сигнал Преобразователи код — широтно-импульсный сигнал (ПКП1ИС) находят применение в составе ЦСП (см. рис. 4.4) в качестве цифро- аналоговых преобразователей. Их используют в системах, где тре¬ буется повышенная точность, малое энергопотребление, малые масса и габариты электронной части приводов. При широтно-импульсном управлении регулируют длительность (временной интервал), в течение которой к двигателю либо прикла¬ дывают напряжение одной полярности или обратной, либо осуще¬ ствляют динамическое или рекуперативное торможение. Алгоритм формирования логической переменной Q (широтно¬ импульсного сигнала), длительность которой пропорциональна мо¬ дулю кода управления |Ку| на одном периоде Т, основан на сравне¬ нии модуля кода управления с опорным кодом «пилообразной» фор¬ мы, который формируется счетчиком (рис. 4.12). В практике используется ПКШИС четырёх типов (рис. 4.13). ШИС фронтального типа реализуется с помощью либо сумми¬ рующих, либо вычитающих счетчиков. ПКШИС центрированного типа реализуется на основе ревер¬ сивных счётчиков. 89
Рис. 4.12. Принцип формирования ШИС Принцип работы ПКШИС фронтального типа. Рассмотрим прин¬ цип работы ПКШИС фронтального типа (рис. 4.14). 90
На рис. 4.14 приняты следующие обозначения: ГИ — генератор импульсов; СП — счетчик периода; СР — счет¬ чик рабочий; ОВ — одновибратор; ДШО — дешифратор нуля; И — конъюнкция. ГИ является времязадающим устройством и формирует беско¬ нечную последовательность униполярных импульсов с периодом т02. СП — счетчик периода, формирует опорный сигнал пилообраз¬ ной формы и обеспечивает постоянство периода ШИС: 7ф= Лед • т02, где A"cn — модуль счета, т.е. максимальный код, который может быть подсчитан СП; т02 — интервал времени, за который содержимое СП и СР изменяется на единицу. ОВ — формирует сигнал записи Зп один раз за период ШИС. СР предназначен для приема абсолютного значения кода управ¬ ления, его изменения путем сложения или вычитания и формиро¬ вания совместно с ДШО логической переменной Q, длительность которой пропорциональна абсолютному значению кода управления. Схема «И» обеспечивает прохождение импульсов с ГИ на СР при условии, что значение 0=1. ПКШИС позволяет формировать сигнал Q, длительность кото¬ рого на одном периоде ШИС: 0< Q< 1. При поступлении сигнала записи Зп в СР заносится абсолют¬ ное значение кода управления. В том случае, если абсолютное зна¬ чение не равно нулю (|Ку| * 0), ДШО сформирует сигнал Q = 1, схе¬ ма «И» откроется, и с этого момента оба счетчика начнут работать синхронно, уменьшая содержимое на 1 по каждому импульсу с ГИ. В том случае, если 0 < |К | < Ксп, наступит момент, когда Q= 1 -> 0. В этот момент времени схема «И» закроется, и счетчик рабочий будет находиться в нулевом состоянии до прихода следую¬ щего сигнала записи. В том случае, если |KJ =КСП, ДШО сформирует сигнал Q = 1 и схема «И» в течение всего текущего периода будет открыта. В том случае, если |Ку| = 0, ДШО сформирует сигнал Q = 0 и схе¬ ма «И» в течение текущего периода не откроется. 91
Таким образом, логическая переменная Q может быть выраже¬ на, как Q = 1, если (к - 1)Т < тх02 < (к - 1)Т + |Ку | • т02, О, если (к-1)Т+1^1 • х02 ^тх02 <К Т, (4.7) где m = + (к — 1) Ксп> /и1 = 1,2,3 ... A"cn, к = 1,2,3 — номер пе¬ риода. Принцип работы ПКШИС центрированного типа. Рассмотрим принцип работы ПКШИС центрированного типа с однократной записью данных на удвоенном периоде ШИС (рис. 4.15). 1КИ. РХУ I ™ t—н ов н Рис. 4.15. ПКШИС центрированного типа ГИ является времязадающим устройством и формирует беско¬ нечную последовательность униполярных импульсов с периодом т02. СПР — счетчик периода реверсивный, формирует опорный сиг¬ нал пилообразной формы и обеспечивает постоянство периода ШИС: = 2КСП' Х02 ■ РКУ — регистр кода управления, обеспечивает прием и хране¬ ние |Ку| в течение Тц. СС — схема сравнения, обеспечивает сравнение опорного пи¬ лообразного кода и |Ку| и формирование ШИС. ОВ — формирует сигнал записи Зп один раз на удвоенном пе¬ риоде ШИС. Логическая переменная QV, реализованная ПКШИС (рис. 4.15), может быть выражена следующей зависимостью (4.8): 92
1, если 2(к -1)Т< тхд2 < 2(к - 1)Т +1А, | хд2 или 2(к-Т)Т + (КСП-\Ку\)хд2<тх02<2кТ; О, если 2(к - 1)Т +1Ку | хд2 < тх02 < 2(к -1)Т + +(КСП -|Ху|)тС2, где т02 — период одного импульса, поступающего с генератора им¬ пульсов на преобразователь код—широтно-импульсный сигнал; m = 1, 2, 3,... — натуральное целое; к = Е( т /Ксп) +1 — номер периода; А^п — модуль счета счетчика периода преобразователя код— широтно-импульсный сигнал; Т= АГСП т02 — период широтно-им¬ пульсного сигнала; Е(.) — целая часть числа в скобках. Возможен ряд вариантов реализации ПКШИС. Наиболее перс¬ пективным является использование ПКШИС в составе УЛА на ос¬ нове базовых матричных кристаллов, например, 1515ХМ1, либо программируемых логических интегральных схем. Формирование управляющих логических Функций Управляющие логические функции для закона симметричной ком¬ мутации. При законе симметричной коммутации осуществляется тор¬ можение противовключением. При этом к двигателю прикладыва¬ ется напряжение: если U4 U3 U2 Ul = l, если U4 U3 U2 U1 = 1. (4.9) На рис. 4.16 приведены временные диаграммы {/цв, логических переменных SG, Q и УЛФ U4...U1, Табличные значения УЛФ U4...U1 и элементы множества Т2, соответствующие временной диаграмме (рис. 4.16), представлены в табл. 4.1. Минимальные аналитические выражения УЛФ закона симмет¬ ричной коммутации могут быть получены непосредственно по табл. 4.1: 93
Рис. 4.16. Временные диаграммы для закона симметричной коммутации Таблица 4.1 i SG Q U4 из U2 U1 Т2 0 0 0 0 1 1 0 tf 1 0 1 1 0 0 1 *9 2 1 0 1 0 0 1 *9 3 1 1 0 1 1 0 U1 = U4 = 5G®0; U2 = U3 = 5G©Q. (4.10) Граф-схема закона симметричной коммутации показана на рис. 4.17. Направление вращения двигателя (знаки «+» и «—») определя¬ ется длительностью состояний t6 и t9. Как следует из граф-схемы, при законе симметричной комму¬ тации отсутствует состояние, соответствующее нулевому сигналу Рис. 4.17. Граф-схема закона симметричной коммутации 94
управления: все четыре КЭ переключаются один раз за период ши¬ ротно-импульсного сигнала при движении в любом из двух направ¬ лений при любом сигнале управления. Во многих источниках, в том числе в [16], отмечено, что закон симметричной коммутации энергетически наименее выгоден. Управляющие логические функции для закона несимметричной коммутации. Для закона несимметричной коммутации КЭ применя¬ ется электродинамическое торможение. При этом имеется два аль¬ тернативных варианта реализации режима электродинамического торможения. Элементы t5 (торможение верхними КЭ) и *10 (тормо¬ жение нижними КЭ) множества Т2 определяют один из вариантов реализации и при данном законе коммутации одновременно суще¬ ствовать не могут. Элементы г9 и t6 множества Т2, как и для режима симметричной коммутации, определяют приложение к обмоткам двигателя напряжения питания ± <7П. При использовании для электродинамического торможения вер¬ хней пары КЭ (ts ) к двигателю прикладывается напряжение : +иа, если U4 U3 U2 Ul = l, если U4 U3 U2 Ul = 1, если U4 U3 U2 U1 = 1, а при использовании нижней пары КЭ (t10 ): +UB, если U4 U3 U2 Ш = 1, 0, если U4 U3 U2 Ul = l, -Ua, если U4 U3 U2 U1 = 1. (4.11) (4.12) На рис. 4.18,а,6 приведены временные диаграммы Um логи¬ ческих переменных SG, Qn УЛФ U4...U1, соответствующих (4.11), (4.12). Табличное представление УЛФ U4...U1 и элементов множества Т2 для случаев, показанных на рис. 4.18,а и б, приведено соответ¬ ственно в табл. 4.2,а и б. 95
14* SG Q U4 U3 U2 U1 прямое вращение [ обратное вращение t t t 6) Рис. 4.18. Временные диаграммы для законов несимметричной коммутации Таблица 4.2,а i SG Q U4 из U2 U1 r 0 0 0 0 1 0 1 h 1 0 1 1 0 0 1 *9 2 1 0 0 1 0 1 h 3 1 1 0 1 1 0 Таблица 4.2,6 i SG Q U4 из U2 U1 T 0 0 0 1 0 1 0 ho 1 0 1 1 0 0 1 h 2 1 0 1 0 1 0 ho 3 1 1 0 1 1 0 h Минимальные аналитические выражения УЛФ для закона не¬ симметричной коммутации могут быть получены непосредственно по табл. 4.2,а: U1 = 5G Q; U2 = U1 U3 = SG Q, U4 = U3 (4.13) 96
и по табл. 4.2,5: U1 = SG Q, U2 = U1 U3 = 5G Q; U4 = U3. (4.14) Интерес представляет сопоставление (4.13) и (4.14), так как в литературе одновременно оба выражения не приводились. Сравне¬ ние же, как и следовало ожидать, показывает, что сложность и вид выражений одинаковы с той лишь разницей, что Ul, U4 и U2,U3 меняются местами. Анализ выражений (4.13) и (4.14) показывает: • при Q = 1 = const, что соответствует у= 1, оба выражения, как и следовало ожидать, равны U1 = SG; U2 = U1; U3 = 5G; U4 = U3 и в зависимости от направления вращения УЛФ U1...U4 вырожда¬ ются в константы, соответствующие состояниям: t9 при SG = 0 и t6 при SG = 1, что определяет приложение к двигателю напряжение + Un (t9) или -Un (t6); • при 0 = 0 = const, что соответствует у = 0, оба выражения вы¬ рождаются в константы ts (торможение через верхние КЭ) или *10 (торможение через нижние КЭ). Обобщенная граф-схема закона несимметричной коммутации показана на рис. 4.19. Рис. 4.19. Граф-схема закона несимметричной коммутации Управляющие логические функции для закона поочередной ком¬ мутации. При законе несимметричной коммутации, как следует из временных диаграмм (см. рис. 4.18), при движении в одном из на¬ правлений два КЭ одной из стоек переключаются с периодом ши¬ ротно-импульсного сигнала, в то время как другие два КЭ не пере¬ ключаются, что приводит к неравномерной загрузке КЭ по току. Для 97
ликвидации этого недостатка применяют закон торможения пооче¬ редно верхними и нижними ключевыми элементами. Закон поочередной коммутации КЭ при движении в каждом из направлений использует оба варианта реализации режима электро¬ динамического торможения, что обеспечивает более равномерную загрузку КЭ по току [16] по сравнению с законом несимметричной коммутации. Это достигается за счет введения новой логической переменной Y: (4.15) Элементы t9 и t6 множества Т2, как и для режимов симметрич¬ ной и несимметричной коммутации, определяют приложение к об¬ моткам двигателя напряжения питания Un. Элементы t5 и Z10 мно¬ жества Т2 определяют реализацию электродинамического торможе¬ ния и используются поочередно. Длительность переменной Уобычно выбирают равной удвоен¬ ному периоду переменной Q. К двигателю при этом прикладывает¬ ся напряжение '+Ua, если U4 U3 U2-Ul = l, если U4 U3 U2 UlvU4 U3 U2-Ul = l, если U4 U3 U2 Ш = 1. (4.16) На рис. 4.20 показаны временные диаграммы закона поочеред¬ ной коммутации КЭ. Табличные значения УЛФ U4...U1, соответствующие временным диаграммам (рис. 4.20), приведены в табл. 4.3. При законе поочередной коммутации при движении в любом направлении переключаются все четыре КЭ, причем частота ком¬ мутации в два раза меньше частоты коммутации на обмотке двига¬ теля. Аналитические выражения для УЛФ U4...U1, полученные по табл. 4.3, имеют вид: U1 = SG QvY Q; U2 = SG QvY Q; U3 = SG QvY Q; U4 = SG QvY Q. (4.17) 98
А прямое вращение и* SG U4 U3 U2 U1 ! обратное вращение >f гп .r~L-..r~i гп >> -|Г-1Г-|Г-||-1Г-|Г-|Г-1Г? ~1J LT~~j □ EUl Л П i LI 1£^ П Г"II II— 'I II 1! П П„. У Q Рис. 4.20. Временные диаграммы закона поочередной коммутации Таблица 4.3 i SG Y Q U4 из U2 U1 Т 0 0 0 0 0 1 0 1 ts 1 0 0 1 1 0 0 1 h 2 0 1 0 1 0 1 0 ho 3 0 1 1 1 0 0 1 h 4 1 0 0 0 1 0 1 h 5 1 0 1 0 1 1 0 h 6 1 1 0 1 0 1 0 ho 7 1 1 1 0 1 1 0 и Анализ выражений (4.17) показывает: • при Q = 1 = const, что соответствует у = 1: U1 = 5G; U2 = U1; U3 = 5G; U4 = U3 и в зависимости от направления вращения УЛФ U1...U4 вырожда¬ ются в константы, соответствующие состояниям: t9 при SG = 0 и t6 при SG = 1, что определяет приложение к двигателю напряжения + Un (t9) или -Un (гб); 99
при Q = 0 = const, что соответствует у = 0 : 1Л = У; U2 = U1; U3 = F; U4 = U3, а так как Y, в соответствии с (4.15), является функцией текущего значения 0 = 0 = const и предыдущего значения Y, КЭ при нулевом коде управления переключаться не будут; • при Y~ 0 = const выражения (4.17) после несложных преобра¬ зований переходят в (4.13), а при К= 1 = const, что соответствует ин¬ версии Y— в (4.14). Рис. 4.21. Граф-схема закона поочередной коммутации Граф-схема закона поочередной коммутации КЭ показана на рис. 4.21. Управляющие логические функции для энергосберегающего закона несим¬ метричной коммутации. КЭ являются неидеальными, т.е. от момента пода¬ чи управляющего сигнала на базу транзистора до перехода выходного сигнала в установившееся состояние проходит некоторое время. В момент переключения двух КЭ одной стой¬ ки через нее протекает кратковременный ток короткого замыкания, который носит название сквозного тока. Этот ток вызывает допол¬ нительные энергетические потери за счет нагрева транзисторов. Для того, чтобы избежать сквозного тока в стойке ИУМ необ¬ ходимо задержать переключение того из транзисторов, который на¬ ходился в состоянии логического нуля. Для ликвидации сквозного тока введем новую логическую переменную L (логический сигнал): 0, если Q- j ®Qj = 0; 1(Рт02), если Qj_j = 1, где D—E[Td/Xq2 +0,5], TD — время, необходимое для завершения переходных процессов в транзисторах. Запись 1 (Z>t02 ) означает, что 100
длительность сигнала уровня логической единицы составляет D пе¬ риодов генератора импульсов. Будем предполагать, что электродинамическое торможение реализуется верхней парой КЭ (г5). Тогда к ЭД при энергосберегаю¬ щем законе несимметричной коммутации прикладывается напряже¬ ние: +#„, если U4 U3 U2 Ш = 1, О, если U3 Ш (U4 vU2) = l, -Un, если U4 U3 U2 Ш = 1. (4.19) На рис. 4.22 приведены временные диаграммы £/дв логических переменных SG, Q, Ьи УЛФ U4...U1. Табличные значения УЛФ U4...U1, соответствующие временным диаграммам (рис. 4.22), приведены в табл. 4.4. Рис. 4.22. Временные диаграммы закона энергосберегающей несимметричной коммутации 101
Таблица 4.4 i SG Q L U4 из U2 U1 т2 0 0 0 0 0 1 0 1 h 1 0 0 1 0 0 0 1 h 2 0 1 0 1 0 0 1 6 3 0 1 1 0 0 0 1 tl 4 1 0 0 0 1 0 1 и 5 1 0 1 0 1 0 0 <4 6 1 1 0 0 1 1 0 и 7 1 1 1 0 1 0 0 *4 Графическая интерпретация переменной L показана на времен¬ ной диаграмме. Длительность сигнала L на порядок и более мень¬ ше, чем длительность сигнала Q. Аналитические выражения для УЛФ U4...U1, полученные по табл. 4.4, имеют вид: Ul=SGv0 L; U2 = SG Q L, U3 = SGvQ L; U4 = 5G Q L. (4.20) Анализ выражений (4.20) показывает: • при Q = var, L = 0 выражения (4.20) после несложных преоб¬ разований переходят в (4.13); • при 0=1= const, что соответствует у = 1 ((7ДВ * 0), и L = 0 = const (действительно, переменная L формируется только при изменении переменной Q): U1 = U4 = 5G; U2 = U3 = SG. Таким образом, при Q= 1 УЛФ U1...U4 вырождаются в константы, соответствующие состояниям: t9 при SG= 0 и t6 при SG = 1, что оп¬ ределяет приложение к двигателю напряжения + 17п (t9) или — Un (Г6); • при 0=0 = const, что соответствует у = 0 (UaB = 0) и L = 0 = const (переменная L формируется только при изменении пе¬ ременной 0): 102
U1 = U3=1, U2 = U4 = О, что определяет состояние t5. Обобщенная граф-схема комбинированного закона несиммет¬ ричной коммутации показана на рис. 4.23. Рис. 4.23. Граф-схема энергосберегающего закона несимметричной коммутации Особенностью энергосберегающих законов коммутации является то, что торможение осуществляется в два этапа: 1) кратковременное рекуперативное торможение на время окон¬ чания переходных процессов в транзисторах. 2) электродинамическое (или противовключением) торможение. 4.3. Преобразователи информации Управляющие микро-ЭВМ, к которым относятся СМВ ЦСП, в отличие от универсальных, имеют специфические устройства вво¬ да-вывода (УВВ), сопрягающие дискретную и непрерывную части системы. Функции УВВ выполняют разнообразные преобразовате¬ ли информации (ПИ). Основным назначением ПИ является обес¬ печение двунаправленной связи и передачи информации между СМВ и непрерывным исполнительным приводом. Устройства преобразования цифрового сигнала в аналоговый и аналогового —в цифровой получили соответственно названия циф¬ роаналоговый преобразователь (ЦАП) и аналого-цифровой преобразо¬ ватель (АЦП). Существует достаточно обширная литература [12], по¬ священная преобразователям информации. В данном разделе рас¬ смотрен узкий класс микроэлектронных преобразователей код—на¬ пряжение (ПКН) и напряжение—код (ПНК), выполненных в виде схем средней и большой степени интеграции элементов. Кстати, отметим, что ЦД ОС, рассмотренные в разд. 4.1, явля¬ ются частным случаем АЦП, а ПКШИС, рассмотренные в разд. 4.2.2, относятся к ЦАП. 103
4.3.1. Преобразователи код—напряжение Принципы построения микроэлектронных ПКН многообразны [8]. Наиболее приспособленными к микроминиатюризации являются ПКН с суммированием разрядных токов, построенные на резистив¬ ных схемах. Принцип работы ПКН с суммированием разрядных токов, основанный на применении матриц токовых ключей (МТК), поясняет рис. 4.24. Рис. 4.24. Принцип работы ПКН с суммированием разрядных токов Номиналы весовых резисторов подобраны таким образом, что образуют двоично-взвешенный ряд, который обеспечивает деление тока в каждом узле пополам. При этом образуется ряд двоично-взве¬ шенных токов. На управляющие входы транзисторов поступает цифровой лпкн- разрядный двоичный код. При подаче на вход транзисторного клю¬ ча логической единицы соответствующий разрядный ток поступает в суммирующую точку, в противном случае ток не поступает. В сум¬ мирующей точке формируется ток , пропорциональный подан¬ ному на вход цифровому коду. Далее этот ток преобразуется с по¬ мощью операционного усилителя в напряжение постоянного тока. Работу ПКН можно описать уравнением 104
лпкн ''ПКИ-1'.. 1=1 (4.21) где Uon — номинальное значение опорного напряжения; i — номера разрядов преобразователя, начиная со старшего; а(. — значение z-ro разряда преобразователя (0 или 1); лпкн — число разрядов ПКН. Таким образом, собственно преобразованию подвергается опор¬ ный аналоговый сигнал, а кодовый, цифровой сигнал является мо¬ дулирующим. В состав ПКН функционально входят четыре блока (рис. 4.25): буферный регистр (БР), матрица токовых ключей (МТК), выход¬ ной операционный усилитель (ОУ) и источник опорного напряже¬ ния (ИОН). БР предназначен для временного хранения цифрового кода, который требуется преобразовать в напряжение. Для ЦСП (см. рис. 1.1) время хранения определяется периодом квантования ин¬ формации внутри контура привода То. На структурной схеме БР может быть представлен как фиксирующий элемент или экстрапо- лятор нулевого порядка. МТК преобразует код, поступающий на ее вход с выхода БР, в пропорциональное этому коду значение тока. МТК, показанная на рис. 4.24, на практике не применяется. Это обусловлено следующим. При увеличении числа разрядов ПКН, в силу технологического раз¬ броса номиналов резисторов, существенно возрастает методическая погрешность преобразования. При числе разрядов 10 и более техно¬ логический допуск на «старший» резистор даже для высокоточных однопроцентных резисторов пре¬ вышает значения трех «младших» резисторов. Таким образом, один¬ надцатиразрядный ПКН обладает гарантированной точностью в семь разрядов. Интересным и более тех¬ нологичным вариантом резистив¬ ной матрицы является цепная схе¬ ма типа R-2R (рис. 4.26). Рис. 4.25. Блок-схема ПКН 105
Рис. 4.26. МТК типа R-2R Рассмотрим принцип работы МТК типа R-2R. Ток, втекая в узел А при открытом транзисторе VT1, поделится пополам. Сопротивление Ro6ui между точками А и A': RA_A, = R. Тог¬ да Rb_a_a, = 2R. Поэтому, ток, втекая в узел В при открытом транзи¬ сторе VT2, тоже поделится пополам и т.д. Таким образом, реализу¬ ется ряд двоично-взвешенных токов в коллекторных цепях транзи¬ сторных ключей. Достоинством резистивной матрицы R-2R по сравнению с мат¬ рицей резисторов, показанной на рис. 4.24, является использование резисторов только двух номиналов. На структурной схеме МТК можно представить как элемент с многоступенчатой релейной ха¬ рактеристикой. ИОН формирует стабилизированное опорное напряжение Uon постоянного тока, которое подается на МТК. Как правило, t/on при¬ меняется в двух номиналах: 10,23 В и 5,11 В, что позволяет легко определять разрешающую способность преобразователя. ИОН мо¬ жет быть программируемым, т.е. формировать Uon в функции неко¬ торого кода. При этом выходной сигнал ПКН будет равен произве¬ дению опорного напряжения Uon = var и входного цифрового кода. Такие ПКН называют умножающими.. Выходной ОУ преобразует ток, поступающий с МТК, в одно¬ полярное напряжение постоянного тока. Для получения с выхода ОУ напряжения обоих знаков необходимо ввести второй ОУ либо дру¬ гими мерами обеспечить симметричное смещение статической ха¬ рактеристики в отрицательную область. ОУ является источником погрешностей, шумов и помех. В структурной схеме это может быть учтено введением суммирующего элемента, на первый вход которо¬ 106
го приходит полезный сигнал, а на второй — сигнал помехи. Дина¬ мические свойства ОУ могут быть описаны колебательным звеном. Структурная схема математической модели ПКН показана на рис. 4.27. I Рис. 4.27. Структурная схема математической модели ПКН Помехи 1 ; Г8^2^Т5+1 ипкн В следящих приводах код ошибки или управления обычно име¬ ет знак и представлен в дополнительном либо обратном кодах. Например, с помощью восьми разрядов можно представить числа в диапазоне от —128 до +127. При вводе чисел в ПКН этот диапазон чисел сдвигают до 0...255 путем прибавления 128. Числа, большие 128, при этом считаются положительными, а числа, меньшие 128, — отрицательными. Среднее число 128 соответствует нулю. Такое представление чисел со знаком, называется смещенным кодом. При¬ бавление числа, составляющего половину полной шкалы данной разрядности (в данном примере это 128), можно легко выполнить путем инверсии старшего (знакового) разряда. Соответствие рассмот¬ ренных кодов иллюстрирует табл. 4.5. Чтобы получить выходной сигнал с правильным знаком, необ¬ ходимо осуществить обратный сдвиг путем вычитания тока или напряжения, составляющего половину шкалы преобразователя. Таблица 4.5 Десятичный Дополнительный Смещенный Аналог U/Umm 127 01111111 11111111 127/255 1 00000001 10000001 1/255 0 00000000 10000000 0 -1 11111111 01111111 -1/255 -127 10000001 00000001 -127/255 -128 10000000 00000000 -128/255 107
Статический коэффициент передачи ПКН — отношение мак¬ симальной выходной величины (Uon) к максимальной входной ве¬ личине (2"пкн -1) — определяет разрешающую способность преоб¬ разователя: = 5£А Рис. 4.28. Статическая характеристика ПКН (4.22) Статическая характеристика ПКН показана на рис. 4.28. Важными статическими па¬ раметрами ПКН также являются: 1) погрешность смещения нуля — часть общей погрешнос¬ ти, характеризующая параллель¬ ный сдвиг статической характе¬ ристики реального преобразова¬ теля по отношению к идеально¬ му (рис. 4.29,а); 2) абсолютная погрешность преобразования (погрешность шкалы rfnKH) — отклонение зна¬ чения выходного напряжения от номинального значения, соответ¬ ствующего конечной точке характеристики (рис. 4.29,а); 3) температурная погрешность — составляющая статической погрешности, возникающая при изменении температуры окружаю¬ щей среды в заданном диапазоне; Рис. 4.29. Статические параметры ПКН 108
4) монотонность статической характерис¬ тики — идентичность знака приращения мгно¬ венных значений входного и выходного сиг¬ налов преобразователя (рис. 4.29,6). Основной динамической характеристикой ПКН является время установления выходно¬ го напряжения /уст — интервал времени от подачи кода на вход буферного регистра до установления напряжения на выходе ОУ, со¬ ответствующего поданному коду. Отечественной промышленностью выпус¬ кается несколько типов интегральных ПКН, в литературе именуемых ЦАП. Фактически под ЦАП понимают только МТК (микросхемы К572ПА1, К1108ПА1, К594ПА1), за исключе¬ нием микросхемы К572ПА2, в состав которой, К572ПА2 кроме МТК, входит и буферный регистр. УГО ИС К572ПА2 приве¬ дено на рис. 4.30, где D0-D11 — входной код; С1,С2 — сигналы за¬ писи; Ul = +15 В, U2= — 15 В, U3 — опорное напряжение; /1,12 — выходные токи; /Е, RS, RK — выводы для подключения резистора обратной связи и балансировочного ре¬ зистора; OVA, OVD — выводы для подклю¬ чения аналоговой и цифровой «земли». 4.3.2. Согласование разрядных сеток СМВ и ЦАП Различное число разрядов СМВ и ЦАП приводит к необходимости согла¬ сования разрядных сеток этих устройств. Рассмотрим наиболее типичный случай, для которого характерным является исмв > лцап- Для сохранения точности, опреде¬ ляемой числом разрядов СМВ, согласо¬ вание разрядных сеток должно осуще¬ ствляться со стороны младших разрядов (рис. 4.31). При этом, поскольку стар- ПСМВ > ПЦАП Рис. 4.31. Согласование разрядных СМВ и ЦАП 109
шие разряды СМВ физически не соединяются с ЦАП, возможно по¬ явление так называемых ложных нулей (рис. 4.32,о). Действитель¬ но, коды, равные 2ЛцАП, 2Лцап+1, 2Лцап+2,..., 2"смв_ЛцАП ЦАП воспри¬ нимает как нуль, а коды 2ЛцАП +1, 2ЛцАп+1 +1, 2Лцап+2 +1,..., 2”смв_ЛцАП +1 - как единицу и т.д. Естественная характеристика пары СМВ — ЦАП показана на рис. 4.32,а. Для исключения ложных нулей вводится специальный алгоритм: Ку, если Ку <|2лпкн _ j|; (2лпкн -/JsignAy, если Ку >|2лпкн _/|. (423) Искусственная характеристика пары СМВ — ЦАП с учетом алгоритма (4.23) показана на рис. 4.32 Д Алгоритм (4.23) является Рис. 4.32. Естественная (а) и искусственная (б) характеристики СМВ-ЦАП ПО
очень важным, обеспечивает однозначность нуля и без него ЦСП (см. рис. 1.1) является неработоспособным. 4.3.3. Преобразователи напряжение—код Преобразователи напряжение—код (ПНК) являются функцио¬ нально более сложными приборами, чем ПКН. Они предназначены в ЦСП для работы в составе датчиков обратных связей и датчиков первичной информации. Промышленностью выпускаются ПНК двух типов, основанных соответственно на методах мгновенного коди¬ рования и последовательного приближения. Одним из важнейших элементов ПНК являются компараторы напряжения — специали¬ зированные ОУ с дифференциальным входом и цифровым выходом, выполняющие функции пороговых устройств. ПНК мгновенного кодирования Функциональная схема ПНК мгновенного кодирования пока¬ зана на рис. 4.33. Рис. 4.33. Функциональная схема ПНК мгновенного кодирования 111
На рис. 4.33 приняты следующие обозначения: Uon — стабилизированное опорное напряжение постоянного тока; Um — входной сигнал; Kt— компаратор — операционный уси¬ литель, имеющий три каскада и положительную обратную связь; ПШ — приоритетный шифратор. Резистивная матрица, состоящая из резисторов одинакового номинала и собранная по схеме делителя напряжений, обеспечива¬ ет систему опорных напряжений Uon t, имеющую линейную зависи¬ мость. При методе мгновенного кодирования (рис. 4.33) первые входы всех (2"пкн -1) компараторов объединены, и на них поступает вход¬ ной аналоговый сигнал UBX. Вторые входы компараторов подключены к (2"nKH -1) источни¬ кам равномерно изменяющихся опорных напряжений Uon t. Уравнение, описывающее работу компаратора, можно записать как О, если £Agx <J7oi]., 1, если J7„x >t7on.. (4.24) На выходе компараторов формируется (2"пкн -1) -разрядный код (по формуле (4.24)). ПШ преобразует (2"пкн -1) -разрядный код в выходной лпнк- разрядный код. В качестве примера для трехразрядного ПНК мгновенного ко¬ дирования приведем таблицу (табл. 4.6) соответствия между посту¬ пающим входным напряжением С/вх, (2Лпкн -1)-разрядным кодом, формируемым компараторами, и лпнк-разрядным выходным кодом, формируемым ПШ. Метод отличает очень высокое быстродействие — типовое зна¬ чение времени преобразования составляет 10... 100 нс. К недостат¬ кам следует отнести малое число разрядов (6—8), возможное на со¬ временном уровне развития технологии. 112
Таблица 4.6 (2лпкн _1) -разрядный код «пнк- разрядный код 0 0000000 000 0000001 001 2UJ1 0000011 010 зитл 0000111 он 4UJ1 0001111 100 5UJ1 0011111 101 6UJ1 0111111 по Um 1111111 111 ПНК последовательных приближений. В основе ПН К. последо¬ вательных приближений лежит принцип ДИХОТОМИИ (от греч. dichotomia — разделение надвое) — поиск решения методом после¬ довательного деления числового отрезка пополам. В состав ПНК, основанного на методе последовательных при¬ ближений, входят: сдвиговый регистр последовательных приближе¬ ний (РПП) с параллельным выходом; преобразователь код-напря¬ жение (ПКН), функции БР в котором выполняет РПП; генератор импульсов (ГИ); компаратор К (рис. 4.34). При этом ПНК может быть собран как из отдельных функциональных блоков, так и пред¬ ставлять собой функционально законченное устройство. Рис. 4.34. Функциональная схема ПНК последовательных приближений 113
Метод последовательных приближений основан на формирова¬ нии сдвиговым регистром пробных кодов, которые, поступая на ПКН, преобразуются в систему опорных напряжений. Входной ана¬ логовый сигнал при помощи компаратора последовательно сравни¬ вается с этой системой опорных напряжений. На выходе компара¬ тора в зависимости от результата сравнения формируется либо ло¬ гическая единица, либо нуль, который поступает на последователь¬ ный вход сдвигового регистра и заносится в тестируемый разряд. Сравнение входного сигнала с опорным ведется, начиная со значе¬ ния t/on/2, т.е. представляет собой двоичный поиск с середины; «-разрядное преобразование выполняется за л+1 такт. ГИ формирует бесконечную последовательность импульсов. После прихода сигнала «Старт» по первому импульсу с ГИ в РПП записывается код, равный половине от максимального: 1000...0. Этот код поступает на выход и одновременно на вход ПКН. На выходе ПКН формируется напряжение, равное UQn/2, которое сравнивает¬ ся с £/вх на компараторе. По результатам сравнения (4.24) компара¬ тор формирует 1 или 0, который по второму импульсу с ГИ записы¬ вается в старший разряд, а остальные разряды, включая «тестовую» единицу, сдвигаются на один бит вправо. Этот процесс циклически повторяется до тех пор, пока «тесто¬ вая» единица не «выталкивается» из РПП на выход «Готов». В этот момент времени на выходе РПП сформируется код, который «урав¬ новесит» t7BX. Время преобразования /пр = («пнк + 1)то2» гДе лпнк ~ число разрядов преобразователя; т02 — длительность одного периода им¬ пульса с ГИ. Рис. 4.35 иллюстрирует работу ПНК последовательных прибли¬ жений при условиях: «пнк = 5, £/оп = 10 В для трех значений вход¬ ного сигнала t/BX1 = 10 В (о); t/BX2 = 0 В (6); t/Bx3 = 8 В (в). Табл. 4.7 поясняет работу ПНК последовательных приближений для примера, соответствующего рис. 4.35,в. Преобразователи этого типа оперируют мгновенными значени¬ ями входного аналогового сигнала, поэтому погрешность преобра¬ зования определяется величиной изменения входного сигнала за время преобразования. В связи с этим для ПНК последовательных приближений очень нежелательны выбросы и помехи во входном сигнале. 114
а) б) в) Рис. 4.35. Формы напряжения на выходе ПКН Таблица 4.7 Номер такта Текущий код РПП Напряжения на выходе ПКН, £4.ДВ] Значение сигнала «Готов» 1 10000 5 0 2 11000 7,5 0 3 11100 8,75 0 4 11010 8,125 0 5 11001 7,8125 0 6 11001 7,96875 1 Упрощенная структурная схема ПНК последовательных прибли¬ жений показана на рис. 4.36. Структурная схема ПНК, построенного по методу мгновенного кодирования, тоже может быть описана рис. 4.36 при условии, что 'пп =0- пр ПНК имеют те же статические характеристики, что и ПКН (см. рис. 4.29). Основной динамической характеристикой является вре¬ мя преобразования /пр. 115
Помехи 2п-1* А Uon Рис. 4.36. Структурная схема математической модели ПНК Кс Коэффициент передачи ПНК определяется как _2лпкн_1 АПКН ” гт и оп [1/В]. Величина, обратная коэффициенту передачи ПНК — Аст, оп¬ ределяет разрешающую способность преобразования. A/D DO Уп3 D1 D2 SH( D3 0VL D4 FN D5 "st D6 D7 D8 0VA D9 Рис. 4.37. УГО ИС 1113ПВ1 В качестве примера приведем ИС 1113ПВ1 (рис. 4.37) — функционально законченный 10-раз- рядный ПНК последовательных приближений. Он обеспечивает преобразование как однопо¬ лярного напряжения (вывод 15 соединяется с вы¬ водом 16) в диапазоне 0...9,95 В, так и биполяр¬ ного напряжения в диапазоне —4,975...+4,975 В в параллельный двоичный код. В состав ИС входят: ПКН, компаратор напряжения, РПП, ИОН, ГИ, выходной буферный регистр с тремя состояния¬ ми, схемы управления. Выходные каскады с тре¬ мя состояниями позволяют считывать результат преобразования непосредственно на шину данных микропроцессора. По уровням входных и выходных логических сигналов сопрягаются с ТТЛ схемами. В ЦСП (см. рис. 1.1) в каче¬ стве АЦП — цифровых датчиков обратной связи часто применяют ПНК совместно с высокоточными многооборотными потенциомет¬ рами обратной связи (ПОС). Рис. 4.38 иллюстрирует вариант ЦД с косвенным преобразованием перемещения в код. При этом напряжение питания потенциометра Un выбирают так, Фс АЦП Рис. 4.38. Вариант ЦД с косвенным преобразованием перемещения в код 116
чтобы максимальному углу поворота выходного вала <РС max ствовало напряжение на выходе ПОС, равное Uon. Тогда соответ- v _ 2"пкн _ 1 tfon 2"пкн АЦП " и ф оп Четах К достоинствам ПНК последователь¬ ных приближений относится большое чис¬ ло разрядов (до 16 бит). К числу недостат¬ ков относят большое время преобразова¬ ния (1—100 мс). 4.3.4. Согласование разрядных сеток АЦП и СМВ При проектировании ЦСП очень важ¬ ным является вопрос согласования разряд¬ ных сеток. Согласование разрядных сеток АЦП и СМВ осуществляется со стороны младших разрядов (рис. 4.39). Только в этом случае точность, определяемая чис¬ лом разрядов АЦП, не изменяется. При этом, так как обычно выполняется усло¬ вие лсмв > лАцп, в старшие разряды СМВ заносится знаковый разряд АЦП. Обычно данные от АЦП поступают в обратном коде. Поэтому для положи¬ тельных кодов происходит доопределение «незначащим» нулем, а для отрицательных — «незначащей» единицей. Боглах (4.25) псмв Рис. 4.39. Согласование разрядных сеток АЦП и СМВ 4.3.5. Добротность цифрового следящего привода и ее связь с числом разрядов преобразователей информации Свойства цифровых следящих приводов (ЦСП) существенно зависят от числа разрядов аналого-цифрового, цифроаналогового преобразователей и вычислителя, а также организации связей меж¬ ду этими устройствами. В общий коэффициент передачи ЦСП (см. рис. 1.1) наряду с коэффициентами передачи непрерывной части входят коэффициенты передачи цифрового вычислителя и преоб- 117
разователей информации. Под добротностью К понимают коэффи¬ циент передачи разомкнутого контура привода, блок схема которо¬ го приведена на рис. 4.40. Рис. 4.40. Блок-схема разомкнутого контура привода Как следует из рис. 4.40, К=КЦ- Ка, (4.26) где Хц = ХАЦП • Хсмв • ХЦАП. Будем полагать, что разряд¬ ные сетки СМВ и преобразовате¬ лей согласованы со стороны млад¬ ших разрядов. Передача данных между устройствами осуществля¬ ется в соответствии с рис. 4.41. В этом случае минимальное значе¬ ние статической ошибки ЦСП ха- Рис. 4.41. Согласование разрядных Растеризуется.единицей младше- сеток СМВ, ЦАП и АЦП г0 Разряда АЦП. Предположим, что Х"смв = 1, это означает, что пропорциональная составляющая не умножена на какой-либо коэффициент. В этом случае Um Ц 2л^ап 1 Ф (4.27) 1 Четах В современных ЦСП «АЦП составляет не менее 12 бит. Для боль¬ шинства ЦСП ЛцдП<7 бит. При этих условиях выражение (4.27) принимает вид V' _ ЭЛАПП~ЛПАП ^ОП Хц_2АЦ ЦАП (428) Че max 118
Для определенности будем полагать, что рассматривается электро¬ привод с двигателем постоянного тока и линейным усилителем мощности (УМ). Тогда передаточная функция ДПТ, приведенная к выходному валу, имеет вид ' keS(TuS + l)(T3S + l)’ <4-29> где ке = се - q — коэффициент противо-ЭДС, приведенный к выход¬ ному валу; q — передаточное число редуктора; Ти, Тэ — механичес¬ кая и электромагнитная постоянные времени. Блок-схема аналоговой части ЦСП показана на рис. 4.42. Рис. 4.42. Аналоговая часть ЦСП с ДПТ Таким образом, Ка=КуМ/Ке. Подставляя (4.28) и (4.30) в (4.26), получим (4.30) (4.31) Коэффициент передачи усилителя мощности ХуМ выбирается из соображения, что максимальному напряжению ЦАПа должно соот¬ ветствовать максимальное напряжение на двигателе: jr _ Ugg max ЛУМ —jj von Из курса электромеханики известно, что и Д»тах -Г> (=Q ) g ^cmax' ^xx'" (4.32) (4.33) 119
Тогда, окончательно, с учетом (4.32) и (4.33), получим К = 2"А11П_ЯЦАП стах Фс max (4.34) В общем виде в зависимости от астатизма исполнительного устрой¬ ства выражение для добротности будет иметь вид (4.35) К = 7ЛАЦП ф(л> L тстах К ф у max тСтах (4.35) Анализ выражения (4.35) показывает следующее. <Рс max определяется конструктивными размерами привода, и его изменение, как правило, связано с изменением конструкции. Максимальная скорость определяется выбранным типом ИД. яАцп определяется из желаемой статической точности привода и выбирается максимально возможным при учете стоимостных ог¬ раничений. Таким образом наиболее рациональным параметром для реали¬ зации желаемой добротности привода является число разрядов ЦАП. Полученное выражение для добротности ЦСП (4.35) справед¬ ливо лишь для одноконтурных систем. В том случае, если имеются дополнительные обратные анлоговые и (или) цифровые связи, (4.35) может рассматриваться как приближенное соотношение. 120
Библиографический список 1. Аналоговые и цифровые интегральные микросхемы: Справочное пособие/С.В. Якубовский, Н.А. Баранов, Л.И. Ниссельсон и др.; Под ред. С.В. Якубовского. 2-е изд., перераб. и доп. — М.: Радио и связь, 1985. 2. ГОСТ 17021-88 Микросхемы интегральные. Термины и определе¬ ния. — М.: Изд-во стандартов, 1995. 3. Применение интегральных микросхем в электронной вычислитель¬ ной технике: Справочник/Р.В. Данилов, С.А. Ельцова, Ю.П. Иванов и др.; Под ред. Б.Н. Файзулаева, Б.В. Тарабрина. М.: Радио и связь, 1987. 4. ГОСТ 2.743-91. Обозначения условные графические в схемах. Эле¬ менты цифровой техники. — М.: Изд-во стандартов, 1995. 5. ГОСТ 2.755-87. Обозначения условные графические в схемах. Уст¬ ройства коммутационные и контактные соединения. — М.: Изд-во стан¬ дартов, 1995. 6. Прянишников В.А. Электроника: Полный курс лекций. 4-е изд. — СПб.: Корона принт, 2004. 7. Угрюмое Е.П. Цифровая схемотехника. — СПб.: БХВ — Санкт-Пе¬ тербург, 2000. 8. Р. Токхейм. Основы цифровой электроники: Пер. с англ. — М.: Мир, 1988. 9. Цифровые интегральные микросхемы: Справочник / П.П. Мальцев, Н.С. Долидзе, М.И. Критенко и др. — М.: Радио и связь, 1994. 10. Алексенко А.Г., Шагурин И.И. Микросхемотехника: Учеб, пособие для вузов/Под ред. И.П. Степаненко. — М.: Радио и связь, 1982. 11. Пухальский Г.И., Новосельцева Т.Я. Проектирование дискретных устройств на интегральных микросхемах: Справочник. — М.: Радио и связь, 1990. 12. Аналоговая и цифровая электроника (Полный курс): Учебник для вузов / Ю.Ф. Опадчий, О.П. Глудкин, А.И. Гуров; Под ред. О.П. Глудки- на. — М.: Горячая линия — Телеком, 2002. 13. Шило В.Л. Популярные цифровые микросхемы: Справочник. — М.: Радио и связь, 1987. 14. Попов Б.Н. Микропроцессорные устройства следящих приводов ЛА. - М.: МАИ, 1987. 15. Схемотехника цифровых преобразователей перемещений: Справоч¬ ное пособие/В.Г. Домрачев, В.Р. Матвеевский, Ю.С. Смурнов. — М.: Энер- гостальиздат, 1987. 16. Электропривод летательных аппаратов: Учебник для авиационных вузов/В.А. Полковников, Б.И. Петров, Б.Н. Попов и др.; Под общей ред. В.А. Полковникова. 2-е изд.перераб. и доп. — М.: Машиностроение, 1990. 121
ОГЛАВЛЕНИЕ 1. ВВЕДЕНИЕ В ЦИФРОВУЮ ЭЛЕКТРОНИКУ 3 1.1. Место и назначение цифровых устройств в следящих приводах .' 3 1.2. Условные обозначения цифровых интегральных микросхем 4 1.3. Параметры цифровых интегральных микросхем 6 1.4. Условные графические обозначения цифровых устройств на принципиальных электрических схемах 7 2. КОМБИНАЦИОННЫЕ ЛОГИЧЕСКИЕ СХЕМЫ 11 2.1. Основные определения 11 2.2. Логические функции одной переменной 12 2.3. Логические функции двух переменных 13 2.4. Основные соотношения булевой алгебры 15 2.5. Формулы де Моргана 15 2.6. Основные свойства логических функций 16 2.7. Совершенная дизъюнктивная нормальная форма 18 2.8. Полные системы логических функций 19 2.9. Минимизация логических функций 20 2.10. Карты Карно (Диаграммы Вейча) 24 2.10.1. Общие понятия 24 2.10.2. Минимизация полностью определенных логических функций 27 2.10.3. Минимизация не полностью определенных логических функций 28 2.11. Мажоритарные логические схемы 29 2.12. Коммутаторы (мультиплексоры и демультиплексоры)... 31 2.13. Сумматоры 32 2.13.1. Общие понятия 32 2.13.2. Полусумматор 33 2.13.3. Полный одноразрядный сумматор 34 2.13.4. Полный «-разрядный сумматор 36 2.14. Шифраторы и дешифраторы 36 122
2.15. Преобразователи кодов 40 2.15.1. Код Грея. Синтез преобразователя: код Грея — двоичный арифметический код 40 2.15.2. Код Джонсона. Синтез преобразователя: код Джонсона — двоичный арифметический код 44 2.16. Схемы сравнения кодов (цифровые компараторы) 47 2.17. Буферные (промежуточные) логические схемы 48 2.17.1. Схемы с открытым коллектором 48 2.17.2. Схемы с тремя состояниями 49 3. ПОСЛЕДОВАТЕЛЬНОСТНЫЕ ЛОГИЧЕСКИЕ СХЕМЫ 49 3.1. Триггеры 50 3.1.1. Бистабильная ячейка 50 3.1.2. Асинхронный R-S-триггер 54 3.1.3. Синхронный R-S-триггер 55 3.1.4. D-триггер 57 3.1.5. J-K-триггер 59 3.1.6. Т-триггер 60 3.1.7. Взаимные преобразования триггеров 61 3.2. Генераторы импульсов 62 3.2.1. Одновибраторы 62 3.2.2. Генераторы тактовых импульсов 63 3.3. Регистры 64 3.3.1. Классификация регистров 65 3.3.2. Регистры с параллельными записью и считыванием 66 3.3.3. Регистры сдвига 66 3.4. Счетчики 67 3.4.1. Классификация счетчиков 68 3.4.2. Асинхронные счетчики 69 3.4.3. Синхронные счетчики 70 3.4.4. Счетчики с произвольным модулем счета. Декадный счетчик 71 3.4.5. Реверсивные счетчики 72 3.4.6. Синтез счетчиков на основе сдвиговых регистров (Словарный метод) 73 123
4 ЦИФРОВЫЕ УСТРОЙСТВА СИСТЕМ ПРИВОДОВ 76 4.1. Цифровые датчики обратной связи 76 4.2. Устройства управления исполнительными двигателями 80 4.2.1. Мехатронные модули систем приводов 80 4.2.2. Цифровое управление двигателем постоянного тока 87 4.3. Преобразователи информации 103 4.3.1. Преобразователи код—напряжение 104 4.3.2. Согласование разрядных сеток СМВ и ЦАП 109 4.3.3. Преобразователи напряжение—код 111 4.3.4. Согласование разрядных сеток АЦП и СМВ 117 4.3.5. Добротность цифрового следящего привода и ее связь с числом разрядов преобразователей информации 117 Библиографический список 121 Тем. план 2008, поз. 4 ПОПОВ Борис Николаевич ЦИФРОВЫЕ УСТРОЙСТВА СИСТЕМ ПРИВОДОВ ЛЕТАТЕЛЬНЫХ АППАРАТОВ Редактор М.С. Винниченко Компьютерная верстка О.Г. Лавровой Сдано в набор 21.02.08. Подписано в печать 7.04.08. Бумага офсетная. Формат 60x84 1/16. Печать офсетная. Усл. печ. л. 7,21. Уч.-изд. л. 7,75. Тираж 500 экз. Зак. 3912/009. Издательство МАИ-ПРИНТ «МАИ», Волоколамское ш., д. 4, Москва, А-80, ГСП-3 125993 Типография Издательства МАИ «МАИ», Волоколамское ш., д. 4, Москва, А-80, ГСП-3 125993