Text
                    ОГЛАВЛЕНИЕ
От редакции 6
Предисловие 7
Введение 8
Список обозначений 9
Глава 1. Типовые электронные схемы 11
Глава 2. Схемы усилителей 65
Глава 3. Генераторы, таймеры, счетчики 141
Глава 4. Интерфейсные схемы 203
Глава 5. Цифровые и микропроцессорные схемы 249
Глава 6. Оптоэлектронные устройства 275
Глава 7. Аудио- и ради технические системы 293
Глава 8. Схемы сигнализации, охраны, обеспечения безопасности 305
Глава 9. Схемы специального назначения 313
Глава 10. Разнообразные схемы 341
Приложение. Поставщики электронных схем 375
Указатель 376

УКАЗАТЕЛЬ (приведены номера рисунков) 3553 1.44 3554 2.1, 23, 233 3572 238, 3656 245 4084/25 1.45 - 1.48, 4302 345 - 358 4340 L49 4423 3.12 - 3.15 555 (таймер) 3-18 556 (двойной таймер) 319 7411043 - 10.45 А047 4.22-4.47 AD590 9.17 - 922 ADC10HT 43 AN0120NA 1035 AN0130NA 1031 DAC63 4.6 - 4.8,430, 4.21 DAC812 4.18 DC-7 5.16 - 5.22 DC-7A 5.25 - 5.26 ED11 5.16 ICL7663/7664 1.17 - 1.18 1CL7673 10.71 - 10.13 ICL8013 3.42,3.43 ICL8038 3.1 - 3.8 ICM7208 330 - 332 ICM7211 6.13 ICM7211M 5.10 ICM7215 3.40 ICM7216A/B/C/D 335 - 338 ICM7217 65 - 6.9 ICM72118 6.16 JCM7224 3.34 ICM7225 334 ICM7226A/B 327 - 3.29 ICM7227 65 - 6.9 ICM7231 5.1 - 55 ICM7234 5.1 - 55 “ICM7235 6.15 ICM7236 6.12 1СМ7240 3.25 - 3.26 ICM7242 ЗЛО - 3.23 ICM7243 6.10, 6.11, 6.14 ICM7245 3.41 ICM7249 333 ICM7250 335 - 336 ICM7260 335 - 3.26 IM80C 5.10. INA101 242 - 2.44 INA102 246 - 2.64 1NA104 1.61, 2.26 INA105 1.62, 227 INA110 237 - 2.41, LD014CNC 9.23 LM101A 139, 2.41, 3.17,10.24 LM105 1.10 LM109 133 LM11119, 2.16, 3.10, 3.16, 4.17 LM117 131 LM118 138, 2.4, 4.4 LM123 136,137 LM124 L43,1.68, 2.6, 2.8, 2.9, 2.13 LM137 1.19,130 LM138 1.1- 1.16 LM139 2.16,3.10 LM1458 1.69 LM158 25, 2.8 - 2.10 LM193 2.11, 2.12 LM200 134,135 LM201A 139, 2.41,3.17,10.24 LM2Q5 1.10 LM209 133 LM217 131 LM218 139, 2.4, 4.4 LM223 136,137 LM224 2.7, 2.8 LM237 1.19, 130 LM238 1.11 - 1.16 LM258 25,3.9 LM2902 2.7, 2.8 LM2904 25, 2.9, 2.10 LM301A 139, 2.41,3.17,1034 LM305 1.10 LM309 123 LM317 131 LM318 138, 24,4.4 LM323 136,137 LM324 2.7, 2.8 LM337 1.19, 1,20 LM338 1.11 - 1.16 LM358 25, 2.9, 2.10 MF10 5.14 МРИ 5.14 МР20 5.6 - 5.8, MS2014 537 MV4320 9.28 - 935 MV4325 9.10 - 9.12 MV5089 9.1 MV8860 93 - 9.4 MV8865 95 - 9.7 MV9009 927 NE555 3.18 NE556 3J9 ОРАЮ1 5.15 ОРА111 231 - 2.23 ОРА11НТ 159 ОРА128 234 ОРА156А 1.41, 230, 236 OFA201 23, 2J4, 231 ОРА2111 1.63, 232, 63, 9.25 ОРА27 2.17 - 230 ОРА37 2.17 - 230 OFA404 136, 137 ОРА600 1.44, 5.15 ОРА606 63 РСМ53 7.1 SD2 8.1 . SD3A 8.2 - 85 SE555 3.18 SE556 ЗД9 SL652C 7.12 - 7.14 SL6652 7.8 - 731 SL6653 7.4 - 7.. 7 , SL6700C 73, 73 SL8204 93, 9.9 SL9009 936 SP1450 538 SP1455 538 ТАА761С 1.60, 2.24,3.9 TDA1154 10.14, 1031 TDE17.67 8.6 - 83 TDE1787 8.6 - 83 ТЕА1510 1032 - 1033 ТЕА2018А 1.1 - 1.4 ТЕА7031 2.65, 2.66 TL071 1.69, 234, 3.9 TL072 3.9 TL074 L74, 2.24 TN0204N3 1039 TN0524N3 933 TPQ102N3 5.12 UA723 138, 139 UAF11 1.65 -1.67 UAF211.65 - 1.67 VC0106N6 3.11 VFC32 1.42, 4.15, 4.16 VN0104N3 3.11 VN0104N6 1034 VN0206N3 6.18 VN0206N6 6.18 VN0335N1 10.20 VN0340N1 6.17 VN0545N5 1038 VN0345N1 134, 617 VN0345N3 10.40 VN10KN3 1038 VN1106N1 2.68 VN1110N11032 VN1116N2 15, 10.41 VN116N2(SU) 15 VN1204N1 131 VN1204N2 1039 VN1206N5 1037 VN1210N1 2.67 VN1210N5 158, 5.13 VN1220N2 10.26 VN1304N3 1033 VN1306N3 1032 VNO104N5 132 VNO345N1 13 VNO545N3 1.6 VPO104N3 130 VP0104N6 934 VP0220N3 10.25 VP0340N5 1G.48 VP0535N3 1037 VP1106N5 1037 VP1204N1 131 VP1204N2 131,1033 VP1204N5 1037 VP1206N1 2.68 VP1210N1 2.67, 1032 VP1220N1 334 VP1306N3 1032 VP1310N3 10.41 VPO104N3 1.6, 130 VPO345N1 1.6 XTR100 9.13,9.16
500 ПРАКТИЧЕСКИХ СХЕМ НА ИС
Дж. УИТСОН 500 ПРАКТИЧЕСКИХ СХЕМ НА ИС Перевод с английского В. А. Логинова Москва «Мир» 1992
ББК 32.85 У39 УДК 621.38 Уитсон Дж. У39 500 практических схем на ИС: Пер.с англ. - М.: Мир, 1992, 376 с., ил. ISBN 5-03-002135-3 Книга американского инженера содержит более 500 практических электронных схем, построенных на ИС. В ней собраны разнообразные схемы усилителей, генераторов, счетчиков, интерфейсных и оптоэлект- ронных схем, узлов, построенных на основе микропроцессоров, устройств охранной сигнализации, звуковых, высокочастотных и связных схем. Для радиолюбителей, специалистов, занимающихся внедрением элект- роники в различных областях науки и производства, а также для всех, кто увлекается самодеятельным техническим творчеством. 2302020200-075 У -----=------‘------- 104-92 ББК 32.85 041(00-92 Справочное издание Джеймс Уитсон 500 практических схем на ИС Заведующий редакцией А.Н. Матвеев Ведущий редактор М.Я. Рутковская Художник В.А. Медников Художественный редактор В.И. Шаповалов Технические редакторы Е.В. Денюкова, Т.А. Максимова ИБ 7693 Оригинал-макет подготовлен на персональном компьютере и распечатан на лазерном принтере в издательстве “МИР“ Подписано к печати 7.04.1992. Формат 70 х 100/16. Печать офсетная. Бумага офсетная № 2. Гарнитура тайме. Объем 11,75 бум. л. Усл. печ. л. 30,55. Усл. кр.-отт. 61,43. Уч.-изд. л. 22,60. Изд. N 2/9151. Тираж 52000 экз. Заказ 136 7 , С 075 Издательство “Мир“ 129820, ГСП, Москва, 1-й Рижский пер., 2 Можайский полиграфкомбинат Министерства печати и информации Российской Федерации. 143200 Можайск, ул. Мира, 93. Редакция литературы по физике и астрономии ISBN 5-03-002135-3 (русск.) ISBN 0-8306-2920-3 (англ.) © 1987 by TAB BOOKS Inc. © Перевод на русский язык Логинов В. А., 1992
ОТ РЕДАКЦИИ Книги по схемотехнике всегда пользуются неизменным успехом как у специалистов, так и у любителей радиоэлектроники. Издательство “Мир“ выпустило большими тиражами книги Р. Флепса “750 практических схем1* (1986 г.) и Р. Графа “Электронные схемы. 1300 примеров" (1989 г.), которые были быстро распроданы. Книгу Дж. Уитсона, как и две предыдущие, можно считать энциклопедией электронных схем. В ней чи- татель найдет схемы таких популярных приборов, как ОУ, измерительные усилители, счетчики и таймеры и многое другое. При этом она выгодно отличается от двух предыдущих тем, что в ней приводится подробная справочная информация фирм-изготовителей о характеристиках выпускае- мых ими ИС и БИС и примеры конкретных схемотехнических решений разнообразных электронных устройств с их применением. Хотя ряд мате- риалов, приведенных в книге, не может быть в настоящее время непос- редственно использован отечественными разработчиками электронной ап- паратуры, знакомство с книгой может стимулировать более активную ра- боту в данном направлении. Как и при издании книги Р. Графа, редакция максимально скопирова- ла иллюстративный материал, не приводя его в соответствие с ГОСТом, чтобы ускорить выход книги в свет и избежать лишних ошибок. Книга представит интерес для специалистов, занимающихся разработ- кой электронных устройств, студентов, аспирантов и подготовленных ра- диолюбителей. Она будет очень полезна предпринимателям, имеющим ма- стерские по ремонту радиоэлектронной аппаратуры, а также владельцам магазинов, торгующих радиодеталями.
ПРЕДИСЛОВИЕ Электроника сделала большой скачок вперед с тех пор как всего лишь поколение назад была изобретена первая простая интегральная схема (ИС). Сегодня сложные электронные схемы, способные выполнять весьма изощренные функции, как это ни парадоксально, конструктивно гораздо проще, чем старые схемы на дискретных компонентах, на смену которым они пришли. Дело в том, что ИС - это и отдельный компонент, й в то же самое время - полная электронная схема или даже целая группа схем и каскадов, содержащих десятки, сотни или даже тысячи отдельных диск- ретных компонентов. Мы только начали искать возможные применения многим тысячам суще- ствующих ИС. Месяцы и годы потребуются на создание новых ИС, кото- рые будут обладать характеристиками, далеко превосходящими современ- ные. Можно с уверенностью сказать, что в исследованиях и разработках мы уже на пороге XXI в. ВЫРАЖЕНИЕ ПРИЗНАТЕЛЬНОСТИ Автор выражает глубокую благодарность следующим изготовителям электронных компонентов за представленные материалы, которые были ис- пользованы в этой книге: Burr-Brown Corporation, Intersil Inc., Plessey Solid State, Supertex Inc. и Thomson Components - Mostek Corporation. Эти фирмы обозначены в соответствии со следующими сокращениями: ВВ - Burr-Brown IN - Intersil Inc. PL - Plessey Solid State SU - Supertex Inc. TH - Thomson Components - Mostek Corporation
ВВЕДЕНИЕ Назначение этой книги состоит в том, чтобы предоставить информацию о практических схемах электронных устройств и их применениях. Она пытается заполнить брешь между книгами по электронным схемам и кни- гами по электронным устройствам. Первые обычно содержат только элект- ронные схемы, а последние почти всегда дают информацию лишь о весь- ма специфических устройствах. Несмотря на то, что оба эти вида книг сами по себе весьма ценны, ощущается необходимость в книге, которая предоставила бы и большой выбор практических электронных схем и до- полнительную информацию о специфических компонентах. Это та практи- ческая информация, которую любители электронной техники, эксперимен- таторы, техники и инженеры найдут весьма полезной в качестве справоч- ной при разработке электронных схем. В этой книге собрано более 500 практических электронных схем. Мно- гие схемы снабжены пояснительным текстом и другими техническими дан- ными. Кроме схем приводятся подробные технические данные таких попу- лярных видов устройств как, операционные усилители (ОУ), измеритель- ные усилители и популярные таймеры 555 и 556. Эти сведения необходи- мы при создании принципиальных электронных схем и превращении их в работающее электронное устройство или проект. Главы книги составлены в соответствии с различными областями при- менения. . Вверху страницы указан тип основного компонента схемы. Мно- гие компоненты могут встречаться в нескольких приложениях. Например, ОУ (которые представлены в гл. 2), также используются в других схемах, описанных в других главах. Например, ОУ может использоваться в каче- стве простого буферного усилителя (гл. 2) и в схеме генератора (гл. 3). По всей книге даются перекрестные ссылки на другие схемы в других главах.. Обширный указатель, кроме того, поможет найти как электронную схему, так и электронное устройство. В приложении приведен список по- ставщиков электронных узлов и компонентов. Сокращенные обозначения фирм-поставщиков приведены в выражении признательности.
СПИСОК ОБОЗНАЧЕНИИ AM - амплитудная модуляция DC - постоянный TOK АРУ - автоматическая регулировка DP - импульсы вызова усиления ®IN’ ein - входное напряжение АЦП - аналого-цифровой - выходное напряжение преобразователь ЕА - разрешение адреса ГУН - генератор, управляемый Est - управление временными напряжением интервалами ЕМР - единица младшего разряда f - частота ЖК - жидкий кристалл FB - обратная связь КОСС - коэффициент ослабления GND - земля синфазного сигнала HI - высокий уровень МЗР - младший значащий разряд - ток стока полевого транзистора ОУ - операционный усилитель . у 1D(OFF) - ток стока запертого полевого ПФ - полосовой фильтр транзистора РПП - регистр последовательного ^GSS - ток затвора полевого приближения транзистора СЗР - старший значащий разряд TIN- - входной ток сид - светодиод fQ - потребляемый ток ФАЛ - фазовая автоподстройка V - ток нагрузки ФАПЧ - фазовая автоподстройка - обратный ток частоты IN, in - вход, входной ФВЧ - фильтр верхних частот INT - вход запроса прерывания ФНЧ - фильтр нижних частот INV, inv - инвертирующий вход ЦАП - цифро-аналоговый IOR - чтение из устройства ввода преобразователь IOW - запись в устройства ввода чм - частотная модуляция Kv - коэффициент усиления по ШИМ - широтно-импульсная модуляция напряжению Av - коэффициент усиления по KT - контроль клавиатуры напряжению LED - светодиод ADJ - регулировка, подстройка LO - низкий уровень ALE - стробирование адреса LOAD - нагрузка BIAS - смещение MEMR - чтение из памяти BV - полоса пропускания M/S - посылка/пауза c INT - конденсатор интегрирующей NAB - частотная характеристика цепочки усилителя, соответствующая CL - емкость нагрузки нормам Национального cT, cTD - конденсатор времязадающей акустического бюро США цепочки NC, N.C. - вывод не подключен или ' СЕР, СЕТ - входы управления режимом нормально замкнутый контакт работы счетчика NHOLD - запрос на захват шины CK - синхронизация N.I. - неинвертирующий вход CO - выход сигнала NO, N.O. - нормально разомкнутый COMP - компаратор, компенсация контакт DBIN - ввод с шины данных NRDS - чтение из устройства ввода
10 NWDS - запись в устройство ввода ОС, 01, OR- выводы для подключения времязадающей цепочки OSC - генератор OUT - выход, выходной PD - потребляемая мощность PROG - программирование PSEN - выбор ПЗУ Q - добротность колебательного контура или фильтра RD - чтение Rds(on) * сопротивление сток-исток полевого транзистора в открытом состоянии R™ - резистор обратной связи RFC - высокочастотный дроссель READY - готовность RESET - сброс RESIN - входной сигнал сброса RIAA - частотная характеристика усилителя, соответствующая стандарту Ассоциации промышленных устройств регистрации rint ” резистор интегрирующей цепочки Rl - сопротивление нагрузки Rref - эталонное сопротивление Rj, Rjjj - резистор времязадающей цепочки
СПИСОК ОБОЗНАЧЕНИЙ Rx - неизвестное (измеряемое) сопротивление SAR - регистр последовательного приближения SCR - тиристор SDI - последовательный ввод данных SDO - последовательный вывод данных S/N - отношение сигнал - шум SW - выключатель T/R - передача/прием t - время установления сигнала V+, V-, VCC, VDD, VSS - напряжение питания VGS - напряжение затвор-исток полевого транзистора VIN - входное напряжение VH - напряжение гистерезиса Vos - напряжение сдвига ОУ Vo, VO(JT - выходное напряжение Vp - пиковое напряжение VR - обратное напряжение Vref - опорное напряжение WAIT - ожидание WR - запись XTAL - кварцевый генератор Z4 - входной импеданс ZQ - выходной импеданс
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ Импульсный источник питания Регулируемый высоковольтный источник питания Перестраиваемый высоковольтный источник питания Импульсный источник питания на 5 В, 250 кГц Автономный 200-Вт источник питания с обратным включением диодов Регулируемый стабилизатор Программируемые микромощные КМОП-стабилизаторы напряжения Стабилизатор с автономным питанием для аналоговых ИС Стабилизатор напряжения +5 В с ограничением тока Прецизионный стабилизатор с защитой от перегрузки Параллельный стабилизатор напряжения Зарядное устройство на ток 20 А для никель-кадмиевых аккумуляторов, питающееся от сети Импульсное зарядное устройство для свинцовых аккумуляторов Пиковый детектор Недорогой преобразователь истинного средне-квадратичного значения в постоянный ток Мощный усилитель с токовым выходом Схемы фильтров
ИМПУЛЬСНЫЙ ИСТОЧНИК ПИТАНИЯ ИС ТЕА2018А представляет собой недо- рогую интегральную схему, размещенную в 8-выводном корпусе СВ-98 и предназначен- ную для управления импульсными источни- ками питания, работающими в режиме пре- рывистых токов с передачей энергии во вре- мя паузы. Использование внешнего переключающего транзистора дает возможность управлять уровнем мощности свыше 90 Вт. Возможные области применения: дисп- леи, видеоигры, телевизионные приемники, высококачественные усилители, генераторы функций. Когда необходима внешняя синхрониза- ция, следует использовать ИС ТЕА2019. Основные особенности и характеристики ИС: * Непосредственное управление внешним переключающим транзистором. Положительное или отрицательное вы- ходное напряжение при токах до 0,5 А. Ограничение тока. Контроль перемагничивания сердечника. Полная защита от перегрузок и коротко- го замыкания. Выходной ток определяется током кол- лектора переключающего транзистора, про- граммируемым извне, Ic = к!в . Малый ток покоя до запуска схемы. Минимальное время включения 2 мкс. Тепловая защита. Более подробная информация приведена в руководстве по применению NA041. ОБЩЕЕ ОПИСАНИЕ Описанный здесь импульсный стабилиза- тор в нормальных условиях работает в ре- жиме прерывистых токов с постоянной час- тотой. Однако генерации не будет, если раз- мещенная на кристалле ИС схема контроля перемагничивания сердечника обнаружит пе- регрузку или короткое замыкание. В этом случае очередной цикл работы невозможен до тех пор, пока выходной ток не спадет до нуля. На каждый период пилообразного напря- жения генератора триггер вырабатывает им- пульс длительностью 2 мкс, создающий на выходе схемы мощный импульс тока, обес- печивающий, таким образом, быстрое вклю- чение переключающего транзистора. Этим импульсом тока также определяется мини- мальное время включения. В нормальных условиях функционирова- ния триггер сбрасывается сигналом, получаю- щимся при сравнении следующих сигналов: пилообразного сигнала, создаваемого током коллектора переключающего транзистора, протекающим через эмиттерный резистор, выходного сигнала усилителя ошибки. Если падение напряжения на этом рези- сторе достигает -1 В, триггер сбрасывается и выходной ток ограничивается. За пределами области стабилизаций и в отсутствие ограни- чения тока триггер может сбрасываться сиг- налом длительностью около 0,7 периода ге- нератора. Чтобы сохранить мощность, положитель- ный ток базы, вызванный приходом запуска- ющего импульса, приводит к возрастанию тока коллектора (этот ток контролируется по падению напряжения на эмиттерном резисто- ре). Отношение 1с /1в можно задать следу- ющим образом: ^c/Ib ~ rb /^е ’ Величина Re рассчитывается таким об- разом, чтобы получить падение напряжения 1 В при токе, равном току ограничения. Тогда сопротивление резистора R выбирает- ся таким, чтобы получить необходимое уси- лие. Когда положительный ток базы прекра- тится, через 1 мкс подается отрицательный ток базы, обеспечивающий быстрый спад то- ка коллектора. На вывод 4 ИС (Vcc) необходимо по- дать отрицательное напряжение от -2 До -3 В. ЗАПУСК СХЕМЫ. Перед запуском не- большой ток от источника питания +300 В протекает через высокоомный резистор.. Этот ток заряжает накопительный кон- денсатор источника питания ИС. Никаких импульсов на выходе не будет, пока падение напряжения на конденсаторе не достигнет 6 В. В течение этого времени устройство бу- дет потреблять ток лишь около 1 мА. Когда падение напряжения на конденсаторе достиг- нет 6 В, на выходе появятся импульсы тока базы. Заряд, уносимый этими импульсами будет способствовать разряду накопительного конденсатора источника питания. Тем не ме- нее, наличие гистерезиса порядка 1 В позво- ляет обеспечить надежную работу даже при 5 В. В этом случае дополнительная обмотка трансформатора обеспечит мощность,, необхо- димую для питания ИС.
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 13 Предельно-допустимые значения Положительное напряжение питания v+cc 15 В Отрицательное напряжение V'cc 5 В Выходной ток I 0,5 А Пиковый выходной ток (коэффициент заполнения < 5%) IQ(peak) ±1 А Входной ток по выводу 3, 13 Температура кристалла Т Рабочая температура окружа- ющей среды Торег Температура хранения ИС Т, stg ±5 мА +150°С от -20 до +70°С от-40 до +150°С Температурные характеристики Кристалл-окружающая среда ®thQ а) 80°С/Вт . Например, при рассеиваемой ИС мощности 0,7 Вт температура кристалла превысит температуру окружаю- щей среды иа 5 6 ° С. Для сохранения высокой надежности максимальная рабочая температура кристалла не должна превышать 100МС. БЛОК-СХЕМА ИС Назначение выводов: 1. Ct - конденсатор и резистор генератора 2. GND - общий 3. 1С - контроль 1с (отрицательный) 4. Vcc- - отрицательный источник питания (выходной каскад) 5. V - выход б. V*c - положительное напряжение пита- ния 7. Is - контроль перемагничивания 8. Е+ - неинвертирующий вход усилителя ошибки К базе переключающего транзистора Рис. 1.1 (TH)
14 ГЛАВА 1 ТЕА2018А Электрические характеристики Температура окружающей среды +25°С, напряжения измерены относительно общего прово- да (если не указано иное) Мин. Тип. Макс. Положительное напряжение питания Vcc+, В б 8 15 Отрицательное напряжение питания V ' В -1 -3 -5 Напряжение выключения источника питания (Vcc возрастает), В 5,8 6,6 Напряжение фиксации источника питания (Vcc убывает), В 4,2 4,9 - Гистерезис порога Vcc, Av(2 - 6), В 0,6 0,9 1,5 Потребляемый ток перед запуском (VCC/GND < 5,8 В) 1(2 - б), мА 1 1,6 Порог ограничения тока!с, мВ -I08C -980 -880 Входное сопротивление вывода контроля тока R7, Ом 1000 Порог датчика размагничивания мВ 75 100 125 Входной ток датчика размагничивания (V „ 7. =0) 17, мкА 1 - Максимальный коэффициент заполнения, % Т° 60 70 Коэффициент усиления усилителя ошибки / 50 Входной ток усилителя ошибки Ig, мкА 2 Внутреннее опорное напряжение V f, В Температурный дрейф опорного напряжения, В/°С 2.3 2,4 10^ 2,5 Температурный дрейф частоты генератора (Vcc = +8 В), %/°С 0,005 - Дрейф частоты генератора от Vcc (б В < Vcc< 16 В), %/В 0,2 - CtE 1 Земля [2 'с С VCC С 2 Е+ ’s vcc vo 5 2 6 1 3 7 8 4 Расположение выводов ИС (вид сверху) Рис. 1.2 (TH)
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ ТЕА2018А 1. Блок питания для монохромного дисплея Максимальная мощность 30 Вт Рабочая частота 30 кГц I(nominal) = 0,75 А I (limit) = 1 А Re = i В/1 А = 1 Ом Rb = 8,2 Ом = Ic/Ib = 8,2 Рис. 1.3 2. Блок питания для других устройств 1С = 1,5 А (нормальный режим) Ic (limit) = 2 А Re = 1 В/2 А = 0,5 Ом Чтобы получить Ic/Ib = б, Rb = 0,5 х 6 = 3 Ом Трансформатор: TRACO, L = 3 мГн ±10%. (TH) Рис. 1.4 Блок' питания с отрицательным напряжением -2 В (тн)
16 1 JIABA 1 РЕГУЛИРУЕМЫЙ ВЫСОКОВОЛЬТНЫЙ ИСТОЧНИК ПИТАНИЯ Эта схема была разработана для обеспе- чения высокого напряжения на малогабарит- ной ЭЛТ векторного графического дисплея. Предыдущая сходная разработка на биполяр- ных транзисторах требовала большего тока базы, чем можно было обеспечить недорогим ОУ. В высоковольтном источнике питания используется полевой транзистор VNI116N2, образующий вместе с обмотками строчного трансформатора Murata блокинг-генератор. Можно использовать и другие типы строч- ных трансформаторов, если они имеют под- ходящую отдельную обмотку, обеспечиваю- щую получение импульсов амплитудой около 10 В для управления затвором полевого транзистора. Конденсаторы емкостью 0,01 и 0,0018 мкФ необходимы для подавления вы- сокочастотных колебаний и улучшают форму импульса, что повышает эффективность ра- боты выпрямителя. Уникальная полезная особенность генера- тора появляется вследствие того, что коэф- фициент насыщения сердечника и результи- рующее высокое напряжение легко регулиру- ется в широком диапазоне изменением на- пряжения смещения, приложенного к затво- ру полевого транзистора. Напряжение сме- щения нетрудно получить с помощью недо- рогого ОУ, обеспечив в результате весьма просто регулировку и стабилизацию высокого напряжения. Добиться этого можно, подклю- чив один вход усилителя к выходу фокуси- рующего напряжения строчного трансформа- тора и подав на другой вход напряжение, регулируемое с помощью потенциометра. Схема позволяет получать напряжение от 3 до 12 кВ. VN1116N2 Рис. 1.5 (SU)
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ ПЕРЕСТРАИВАЕМЫЙ ВЫСОКОВОЛЬТНЫЙ ИСТОЧНИК ПИТАНИЯ. Использование биполярных транзисторов в высоковольтном сильноточном оборудовании требует изолированных теплоотводов большо- го размера, что снижает безопасность и на- дежность работы. Эта практическая схема с минимальными требованиями к теплоотводу реализована на полевых транзисторах серии VP03 с широкой областью надежной работы и заземленным выводом стока (никакие дру- гие компоненты теплоотвода не требуют). Для улучшения выходных характеристик в схему включают параллельно несколько транзисторов серии VP03. Выходное напря- жение от 0 до 490 В при токе 0,2 А стаби- лизированное, с ограничением тока. Корпус транзистора с заземленным выводом стока дает возможность осуществить безопасный отвод тепла. VP0104N3, VN0545N3, VP0345N1 Рис. 1.6 (SU)
18 ГЛАВА 1 ИМПУЛЬСНЫЙ ИСТОЧНИК ПИТАНИЯ Полевой транзистор VP1204N5, соединен- ный непосредственно с управляющей ИС, образует компактную систему. В импульсном стабилизаторе используется диод, имеющий в корпусе транзистор серии VPI2, работающий в качестве защитного диода для ограничения НА 5 В, 250 кГц индукционных выбросов напряжения. Он ис- ключает необходимость применения отдель- ных дорогостоящих диодов Шотки. Транс- форматор Arnold Al 49098-2 #18 GA 42 вит ка. Рис. 1.7 (SU)
АВТОНОМНЫЙ 200-ВТ ИСТОЧНИК ПИТАНИЯ С ОБРАТНЫМ ВКЛЮЧЕНИЕМ ДИОДОВ Выходной транзистор Q1 воспринимает колебания с генератора до тех пор, пока пе- ренапряжение на выходе не включит оптрон, что приведет к срабатыванию блокирующего транзистора Q2, выключающего генератор. При токе первичной обмотки трансформатора около 5 А на резисторе 0,22 Ом в цепи стока возникает сигнал автоматического от- ключения генератора. Затвор транзистора Q1 подключен непосредственно к выходу ИС 311. Рабочая частота переключения вначале определяется постоянной времени внутри схемы генератора, однако когда напряжение ошибки на выходе становится минимальным, частота генератора возрастает и зависит больше от постоянных времени системы. За- мена генератора на таймер 555 со схемой управления сбросом стабилизирует частоту переключения. VN0345N1 MDA3504 о----- 1178 переменного тока о----- FWB 3,6 к 5 Вт -1------Г +J_500 мкФ Тзоов! 100 мкФ IN4744! 15В Рис. 1.8 (SU) квяв
LM111 Низковольтный регулируемый источник опорного напряжения Рис. 1.9 (TH) LM105, LM205, LM305 СХЕМЫ СТАБЛИЗАТОРОВ Импульсный стабилизатор Линейный стабилизатор с ограничением тока с падающей характеристикой Стабилизатор с ограничением тока с падающей характеристикой на 10 А Рис. 1.10 (ТН)
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ LM105, LM205, LM305 Диод D2 защищает от короткого замыка- ния по входу или влияния индуктивных на- грузок нестабилизированного источника пита- ния, диод D3 защищает от изменения на- правления входного напряжения, диод D1 защищает от появления на выходе напряже- ния обратной полярности. Стабилизатор на ток 1 А. с защитными диодами Стабилизатор тока Параллельный стабилизатор (Vo < 0) Рис. 1.10 (TH)
22 ГЛАВА 1 РЕГУЛИРУЕМЫЙ СТАБИЛИЗАТОР Во время работы в ИС LM338 возникает опорное напряжение Vref = 1,25 В, прило- женное между выводами выхода и регули- ровки. Это опорное напряжение падает на резисторе R1 и так как оно постоянно, ток И, протекающий через резистор установки выходного напряжения R2, дает на выходе напряжение Vo = Vref (1+R2/R1) + Iadj R2. Так как ток 50 мкА, протекающий через вывод регулировки, вносит погрешность, при разработке ИС LM338 этот ток Iadj был ми- нимизирован . и сделан весьма стабильным относительно изменения входного напряже- ния и тока нагрузки. Для этого весь потреб- ляемый рабочий ток возвращается на выход, определяя минимальный ток нагрузки. Если нагрузка на выходе для этого недостаточна, выходное напряжение возрастает. ВНЕШНИЕ КОНДЕНСАТОРЫ Рекомендуется шунтирующий конденсатор на входе. Керамический дисковый конденса- тор емкостью 0,1 мкФ или полупроводнико- вый танталовый емкостью 1 мкФ на входе подходят для шунтирования входа почти для всех приложений. Устройство более чувстви- тельно к отсутствию шунтирования входа, корда используется регулирование напряже- ния или выходные конденсаторы, однако применение конденсаторов большей емкости исключает эту проблему. Вывод регулировки ИС LM338 (Adj) можно шунтировать на землю конденсато- ром, что улучшит подавление пульсаций. Этот конденсатор препятствует усилению су- ществующих пульсаций при возрастании вы- ходного напряжения. При шунтирующем конденсаторе 10 мкФ подавление пульсаций на 75 дБ достигается при любом выходном напряжении. Увеличение емкости свыше 20 мкФ незначительно улучшает подавление пульсаций на частотах свыше 120 Гц. Если используется шунтирующий конденсатор, то иногда необходимо включать защитные дио- ды, чтобы предотвратить разряд конденсатора через внутренние слаботочные цепи ИС и ее разрушение. Лучше всего использовать полупроводни- ковые танталовые конденсаторы. Танталовые конденсаторы имеют низкий импеданс даже на высоких частотах. В зависимости от кон- струкции алюминиевый электролитический конденсатор емкостью примерно 25 мкФ иа высоких частотах эквивалентен танталовому конденсатору емкостью 1 мкФ. Керамиче- ские конденсаторы также хороши на высо- ких частотах, однако емкость конденсаторов некоторых типов значительно снижается на частотах около 0,5 МГц. По этой причине дисковый конденсатор емкостью 0,01 мкФ на практике может лучше подойти в качест- ве шунтирующего, чем д сковый конденсатор емкостью 0,1 мкФ. Несмотря на то что ИС LM338 обеспечи- вает стабильность и без выходных конденса- торов, как любая схема обратной связи, не- которые номиналы внешних конденсаторов могут привести к чрезмерному переходному процессу. Это бывает при номиналах кон- денсаторов от 500 до 5000 пФ. Танталовый конденсатор емкостью 1 мкФ (или алюми- ниевый электролитический конденсатор емко- стью 25 мкФ) на. выходе подавляет этот эф- фект и обеспечивает стабильность. СТАБИЛИЗАЦИЯ НАГРУЗКИ ИС LM338 в состоянии обеспечить чрез- вычайно хорошую стабилизацию нагрузки, однако для достижения максимальной точно- сти необходимы некоторые предосторожности. Резистор установки тока, подключенный между выводом регулировки и выходным выводом ИС (обычно 240 Ом), лучше под- ключать непосредственно к выходу стабили- затора, чем к нагрузке. Это исключает паде- ние напряжения в линии, дейстаующее по- следовательно с опорным напряжением, и ухудшает стабилизацию. Например, стабили- затор на 15 В с сопротивлением 0,05 Ом между стабилизатором и нагрузкой будет иметь стабильность напряжения на нагрузке из-за конечного сопротивления провода 0,05 Ом х IE. Если задающий резистор подклю- чен вблизи нагрузки, эффективное сопротив- ление линии будет 0,05 Ом х (1 + R2/R1) или в данном случае в 11,5 раза хуже. На рис. 1.13 показано влияние сопротив- ления между стабилизатором и задающим резистором 120 Ом. При использовании стабилизаторов в
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 23 LM138, LM238 LM138, LM238 Регулируемый стабилизатор на напряжения от +1,2 до +25 В Рис. 1.11 (TH) Рис. 1.12 (TH) LM138, LM238, LM338 LM138, LM238, LM338 Стабилизатор с конечным сопротивлением линии нагрузки Диод D1 защищает от влияния С1, диод D2 - от влияния С2. Rl= 240 Ом для ИС LM138 и LM238. Рис. 1.13 (TH) рис. 1.14 Стабилизатор с защитными диодами (TH) корпусе ТО-3 легко минимизировать сопро- стора R2 можно подключить к общему про- тивление линии от корпуса ИС до задающе- воду нагрузки для обеспечения контроля го резистора использованием двух отдельных удаленного общего провода и улучшения проводов к корпусу. Холодный конец рези- стабильности нагрузки.
24 ГЛАВА 1 । и 11. и 1|»ияммВямн—iмай Стабилизатор тока на 10 А LM338 R6 С2 22 мкФ V, > +10 В, ,VO > +3 В, V, - VO > +4 В. Стабилизатор на 15 А (ТН Рис. 1.15
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ LM138, LM238, LM338 СПЕЦИАЛЬНЫЕ СХЕМЫ СТАБИЛИЗАТОРОВ LM138 или LM238. Минимальное выходное напряжение 1,2В. Стабилизатор на 5 В с электронным отключением для питания логических Отслеживающий престабилизатор схем LM338 R1 = 240 Ом, R2 = 2,7 кОм для ИС LM138 и LM238. Стабилизатор на 15 В с плавным включением Рис. 1.16 (ТН)
ГЛАВА 1 ЗАЩИТНЫЕ ДИОДЫ Когда внешние конденсаторы используют- ся с любыми интегральными стабилизатора- ми, иногда необходимо вводить защитные диоды, чтобы предотвратить разряд конденса- торов через слаботочные цепи внутри стаби- лизатора. Большинство конденсаторов емко- стью 20 мкФ имеют достаточно малое внут- реннее последовательное сопротивление, что при коротком замыкании приведет к появле- нию выбросов тока до 20 А. Несмотря на то, что выброс кратковременный, энергия, заключенная в нем, достаточна для разруше- ния элементов ИС. Когда выходной конденсатор подключен к стабилизатору и закорачивается его вход, выходной конденсатор будет разряжаться че- рез выходные цепи стабилизатора. Ток раз- ряда зависит от номинала конденсатора, вы- ходного напряжения стабилизатора и скоро- сти уменьшения входного напряжения Vj. В ИС LM338 этот разряд происходит через пе- реход большой площади, который в состоя- нии выдержать без последствий выбросы то- ка 25А. Для других типов стабилизаторов положительного напряжения это не так. Для выходных конденсаторов емкостью 100 мкФ и менее при выходном напряжении до 15 В диоды не нужны. Шунтирующий конденсатор на выводе регулировки может разряжаться через слабо^ точные переходы. Разряд происходит, коща закорачивается либо вход, либо выход. Внут- ри ИС LM338 имеется 50-Ом резистор, ко- торый ограничивает пиковый ток разряда. Защиты не требуется при выходных напря- жениях менее 25 В и емкости конденсатора менее 10 мкФ. На рис. 1.14 показана ИС LM338 с включенными защитными диодами для использования при выходных напряже- ниях свыше 25 В и больших номиналах конденсаторов на выходе.
27 ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ ПРОГРАММИРУЕМЫЕ МИКРОМОЩНЫЕ КМОП-СТАБИЛИЗАТОРЫ НАПРЯЖЕНИЯ Последовательные стабилизаторы типов ICL7663 для положительного напряжения и ICL7664 для отрицательного напряжения представляют собой маломощные высокоэф- фективные устройства, допускающие работу с входными напряжениями от 1,6 до 10 В и обеспечивающие регулировку выходного на- пряжения в вышеупомянутом диапазоне при токах до 40 мА. Потребляемый ток обычно не превышает 4 мкА независимо от нагруз- ки. В обоих устройствах предусмотрен конт- роль выходного тока и дистанционное от- ключение, посредством чего обеспечивается защита стабилизатора и схем, которые он питает. Уникальной особенностью, присущей только ИС ICL7663, является отрицательный температурный коэффициент выходного на- пряжения. Ее можно использовать, напри- мер, для эффективного отслеживания напря- жения, приложенного к мультиплексирован- ному ЖК-ицдикатору через согласующий ка- скад, например, ICM7231/2/3/4, что расши- рит рабочий диапазон температур индикато- ра в несколько раз. ИС ICL7663 и ICL7664 поставляются в 8-выводных пластмассовых корпусах, корпу- сах ТО-99, керамических, малогабаритных корпусах и т.д. СВОЙСТВА Прекрасно подходит для автономных уст- ройств: потребляемый ток <4 мкА. Работает с входными напряжениями от 1,6 до 16 В. Очень низкое дифференциальное напря- жение вход-выход. Опорное напряжение 1,3 В. Выходной ток до 40 мА. Отключение выхода по контролю пре- дельного тока или внешним логическим сиг- налом. Установка выходного напряжения в пре- делах от 1,3 до 16 В. Управляемый отрицательный температур- ный коэффициент (только для ИС ICL7663).
ГЛАВА 1 ICL7663/7664 R2 + Ri VOUT = —---- VsET V Типовая схема включения ИС ICL7663 в качестве стабилизатора положительного напряжения с ограничением тока 0,35 В ICL = ----- Rcl Типовая схема включения ИС ICL7664 в качестве стабилизатора отрицательного напряжения с ограничением тока Емкость конденсаторов С* зависит от па- Частота генерации ИС ICL7660 пониже- на внешним конденсатором генератора так, что он более эффективно инвертирует на- пряжение источника питания. Реализация биполярного стабилизатора из однополярного источника питания Рис. 1.17 (IN)
[ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ ICL7663/7664 Эта схема включает стабилизированный источник питания устройства на одну мину- ту каждый день сигналом “Отключение" ИС ICL7664, управляемой схемой будильника ICM7223A. Если устройство принимает реше- ние, что необходим повторяй тлинутный цикл, во время первого цикла линия “По- втор" соединяется с выводом V+ (общий), запуская последующий цикл после интервала молчания сигналом на выводе SN (показания в течение 2 мин). В противном случае без паузы может выполняться цикл таймера сна. За более подробной информацией обращай- тесь к справочному листку ИС ICM7223A. Периодическое включение устройства Рис. 1.18 (IN)
30 ГЛАВА 1 LM137, LM237, LM337 ТИПИЧНЫЕ ПРИЛОЖЕНИЯ Конденсатор С1 - танталовый оксидный емкостью 1 мкФ или алюминиевый электро- литический емкостью 10 мкФ обеспечивает устойчивость работы схемы. Полупроводниковый танталовый конден- сатор С2 емкостью 1 мкФ необходим только в том случае, если стабилизатор размещен на расстоянии более 10 см от конденсатора фильтра источника питания. Регулируемый стабилизатор отрицательного напряжения * Конденсатор 10 мкФ улучшает подав- ление выбросов. Регулируемый лабораторный стабилизатор напряжения Рис. 1.19 Стабилизатор тока * (TH)
ТИПОВЫЕ ЭЛЕКЛТОННЫЕ ильмы 31 LM137, LM237, LM337 Когда емкость конденсатора С. превыша- ет 20 мкФ, диод D1 защищает ИС LM137 при коротком замыкании по входу. Когда емкость конденсатора С2 превыша- ет 10 мкФ и выходное напряжение V пре- вышает -25 В, диод D2 защищает ИС LM137 при коротком замыкании по выходу. Стабилизатор отрицательного напряжения с защитными диодами на входе управления При низком уровне ет примерно -1,3 В. минимальное выходное напряжение составля- Стабилизатор напряжения -5,2 В с электронным отключением Рис. 1.20 Регулируемый стабилизатор тока (ТН)
ГЛАВА 1 Диоды DI, D2 и транзистор Q2 дают возможность регулирования выходного напря- Лабораторный источник питания С И выходного -10В жения от 0 В. Диод D6 защищает обе ИС LM317 от короткого замыкания по входу. регулировкой предельного тока напряжения R1 25 В Регулировка BF247 IN 4001 D2 IN 4001 R2 100 Чтобы обеспечить ограничение выходного тока при коротком замыкании в устройстве, исток полевого транзистора нужно подклю- чить к источнику отрицательного напряже- ния менее -1,25 В. VCC’ Стабилизатор с электронным отключением Минимальное выходное напряжение 1,25 В. Диод D1 защищает устройство от корот- кого замыкания по входу. Регулируемый ограничитель тока Стабилизатор с плавным запуском Рис. 1.21
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ Конденсатор С1 необходим, если устрой- ство удалено от конденсатора фильтра. Конденсатор С2 необязателен: улучшает переходный процесс. Регулируемый стабилизатор на напряжения 1,2-25 В Рис. 1.22 LM109, LM209, LM309 0.8 Om<R1 <1200м Прецизионный ограничитель тока (TH) Можно добиться суммарной нестабильно- сти, вызванной изменением нагрузки, вход- ного напряжения и температуры, менее 0,01%. Номинал резистора R4 определяется то- ком через стабилитрон. Требуется его под- стройка для достижения минимального тем- пературного дрейфа. Конденсатор СЗ - полупроводниковый танталовый. Резисторы R2 и R3 - высоко- точные. Рис. 1.23 Высокоточный стабилизатор (ТН)
34 ГЛАВА 1 LM109, LM209, LM309 Стабилизатор с регулируемым выходным напряжением Конденсатор С1 необходим, если стаби- лизатор расположен на значительном рассто- янии от конденсатора фильтра источника питания. Несмотря на то что устойчивость схемы обеспечивается и без выходного конденсатора С2, он улучшает переходной процесс. Стабилизатор на 5 В Сопротивление резистора R1 определяет- ся выходным током. Стабилизатор тока (TH) Рис. 1.23
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 35 Отслеживающий стабилизатор напряжения Схема проверки для импульсных измерений Рис. 1.24 (ТН)
36 ГЛАВА 1 1о(шах) = (V2 - V5)/R3, Импульсный стабилизатор Vo = V ref(l + R2/R1). Управляемый стабилизатор напряжения с ограничением тока Мощный стабилизатор напряжения со схемой защиты от короткого замыкания Стабилизатор с цифровым управлением и включением Рис. 1.25 (TH)
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 37 LM123, LM223, LM323 Конденсатор С1 необходим, если стаби- лизатор удален от конденсаторов фильтра. Стабилизатор устойчив и без конденсато- ра CL при резистивных нагрузках. Типовая схема стабилизатора на 3 А Стабилизатор с подстройкой выходного напряжения 5 В Номиналы резисторов R4 и R5 подбира- ются так, чтобы обеспечить через них ток 20 мА от нестабилизированного источника отрицательного напряжения. Конденсатор CL - полупроводниковый танталовый. Стабилизатор на ток 10 А с полной защитой от перегрузок
LM123, LM223, LM323 Полупроводниковый танталовый конден- сатор С1 =2 мкФ необязателен: способству- ет подавлению выбросов, шумов и переход- ному процессу. R6 = V-/12 мА. Регулируемый стабилизатор с выходным напряжением от 0 до 10 В/3 А Рис. 1.27 (ТН)
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 39 UA723 Стабилизированное выходное напряжение +5 В Стабильность по питанию (V, = 3 В) 0,5 мВ Стабильность по нагрузке (IL = 10 мА) 1 мВ Ток короткого замыкания 20 мА Схема с ограничением тока с падаюгцей характеристикой Стабилизированное выходное напряжение . +50 В Стабильность по питанию ( V,=20 В) 15 мВ Стабильность по нагрузке ( Il=50 мА) 20 мВ “Плавающий" стабилизатор положительного напряжения Стабилизированное выходное напряжение Стабильность по питанию (Av, = 3 В) Стабильность по нагрузке (AlL = 50 мА) Стабилизированное выходное напряжение 15 В *5 В Стабильность по питанию 0,5 мВ (Ду, = 3 В) 1,5 мВ Стабильность по нагрузке 1,5 мВ (Ail = 50 мА) 4,5 мВ Для получения минимального темпера- турного дрейфа R3 = R1 R2/(R1+R2). Для получения минимального темпера- турного дрейфа R3 = R1 R2/(R1+R2). Типовая схема низковольтного стабилизатора напряжения Vo от 2 до 7 В Рис. 1.28 Для минимизации числа компонентов R3 можно исключить. Типовая схема стабилизатора с повышенным выходным напряжением (от 7 до 37 В) (ТН)
40 ГЛАВА 1 UA723 V| Стабилизированное выходное напряжение -15 В Стабильность по питанию (Av, = 3 В) 1 мВ Стабильность по нагрузке (Al, = 100 мА) 2,мВ Стабилизрованное выходное напряжение Стабильность по питанию (Av, = ЗВ) Стабильность по нагрузке (AlL = 1 А) +15 В 1,5 мВ 15 мВ При использовании устройства в метал- лическом корпусе ТО-ЮО напряжение Vz можно задать извне, подключив стабилитрон на 6,2 В к выводу Vo. Стабилизатор положительного напряжения (с внешним регулирующим транзистором прп-типа) Стабилизатор отрицательного напряжения Стабилизированный выход Стабилизирован- ное выходное напряжение +5 В Стабильность по питанию (Av, = 3 В) 0,5 мВ Стабильность по нагрузке (AlL = 1 А) 5 мВ Стабилизатор положительного напряжения (с внешним регулирующим транзистором рир-типа) Рис. 1.29 Стабилизированное выходное напряжение Стабильность по питанию (A Vj = 10 В) Стабильность по нагрузке (AlL = 100 мА) +5 В 0,5 мВ 1,5 мВ Параллельный стабилизатор (ТН)
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 41 СТАБИЛИЗАТОР С АВТОНОМНЫМ ПИТАНИЕМ ДЛЯ АНАЛОГОВЫХ ИС Этот простой высококачественный источ- ник питания для устройств, работающих от батарей, имеет такой же КПД, как и хоро- ший импульсный стабилизатор, однако не создает полей излучения й помех, обычно присущих импульсным устройствам. Выход- ное напряжение 6 В достаточно для питания многих ИС. Опорное напряжение 2,5 В предназнача- ется для использования внешними устройст- вами. Так как большинство ОУ ограничивает отрицательный сигнал раньше, чем положи- тельный, смещение 2,5 В предпочтительнее, чем 3 В, так как позволяет получить сим- метричный сигнал. Выход опорного напряже- ния может служить источником тока 50 мкА и токовой нагрузкой 10 мА. Если необходим источник более сильного тока, то следует уменьшить сопротивление смещающего рези- стора R1. ПРЕИМУЩЕСТВА ИСПОЛЬЗОВАНИЯ МОП-ТРАНЗИСТОРОВ Описанный здесь стабилизатор был пер- воначально разработан с использованием ре- гулирующего рир-транзистора. В таком виде разработка имела достаточно преимуществ перед интегральными стабилизаторами и она была передана в производство. Позже была рассмотрена возможность усовершенствования разработки посредством использования в ка- честве регулирующего элемента МОП-транзи- стора с каналом p-типа в режиме обогаще- ния. Как только был найден поставщик р- канальных транзисторов с достаточно низким пороговым напряжением (Supertex, Inc.), схема была модифицирована, чтобы приспо- собить ее к МОП-транзистору, и проверена. Были достигнуты следующие результаты: несколько снизился потребляемый ток, падение напряжения между входом и выходом существенно снизилось. выходной импеданс значительно умень- шился, фактически отсутствуют эффекты отри- цательного входного сопротивления, осложня- ющие работу при малых падениях напряже- ния стабилизаторов, использующих биполяр- ный транзистор в .качестве регулирующего элемента, емкость затвора МОП-транзистора была использована для улучшения схемы фазовой компенсации, что практически исключило ограничения на реактивное сопротивление нагрузки и улучшило стабилизацию, не потребовалось прибегать к компромис- сным решениям. Схема на МОП-транзисторе была эквива- лентна или превосходила схему на биполяр- ном трензисторе во всех отношениях. РАБОТА СХЕМЫ Смещенный резистором R1 активный стабилитрон Q1 обеспечивает опорное напря- жение 2,5 В на эмиттере транзистора-датчи- ка Q2. Делитель напряжения DI, D2, R2/R3 уменьшает 6-вольтовый перепад до 2 В, чтобы получить управляющее напряжение на базе транзистора Q2. Если перепад менее 6 В, управляющее напряжение падает ниже 2 В, увеличивая открывающее смещение на переходе база-эмиттер транзистора Q2. При- ращение тока коллектора усиливается тран- зистором Q3, в результате чего увеличивает- ся напряжение смещения затвор-исток тран- зистора Q4. Ток стока транзистора Q4 воз- растает, восстанавливая 6-вольтовый перепад до требуемого значения. Диоды D1 и D2 обеспечивают темпера- турную компенсацию перехода база-эмиттер транзистора Q2. Конденсатор С1 устраняет эффект Миллера транзистора Q2 и ускоряет действие обратной связи через делитель на- пряжения. Емкость затвора транзистора Q4 минимизирует эффект Миллера в транзисто- ре Q3 и определяет совместно с резистором R5 частоту среза частотной характеристики вблизи 10 кГц. Такой спад позволяет осуще- ствить фазовую компенсацию преобладающе- го полюса с помощью недорогого конденсато- ра С2 на выходе схемы. Конденсатор СЗ по- давляет паразитную генерацию и улучшает переходный процесс, а конденсатор С4 со- храняет импеданс источника опорного напря- жения низким даже на высоких частотах. Характеристика +6-В блока питания: Выходной импеданс «1 Ом от постояв-
42 ГЛАВА 1 9 В VPO104N3 R1 27 к --------о +6 в Q2 (стабилизированное) (танталовый) — --------О общий провод С4 л 0.47 мкФ "10 В (танталовый) -Д--------О +2'5В опорное напряжение Ю мА нагрузка 50 мкА источник тока Рис. 1.30 (SU) ного тока до 1 МГц Ток покоя 350 мкА, независимо от на- грузки V = 0,5 В при 50 мА, 0,1 В при 10 мА КОНП = 80 дБ на постоянном токе, 60 дБ на частотах 100 Гц и 1 кГц , КПД = 78% при напряжении аккумуля- тора 7,5 В и токе нагрузки 10 мА
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 43 СТАБИЛИЗАТОР НАПРЯЖЕНИЯ ±5 В С ОГРАНИЧЕНИЕМ ТОКА Область безопасной работы и передаточ- ные характеристики МОП-транзистора с двойной диффузией позволяют этой схеме выдерживать ток короткого замыкания до тех пор, пока контакты теплового реле не отключат входное напряжение. Максималь- ный ток ограничивается очень просто, так как в МОП-транзисторе с двойной диффу- зией ток стока пропорционален напряжению затвор-исток VGS. Транзистор VP1204N1 (Q1) при размахе напряжения на его затво- ре, ограниченном 8 В и определяемом дели- телем напряжения, пропускает ток лишь около 10 А. Падение напряжения на транзи- сторе составляет 2-3 В при токе 10 А. Транзистор Q2 также управляется сигналом на затворе, ограниченным 4,5 В. Эта схема обеспечивает малое время установления ре- жима стабильности, уменьшая потребность в больших конденсаторах сглаживающего фильтра на выходе. VN1204N1, VP1204N2 Q1 VN1204N1 Рис. 1.31 (SU)
44 ГЛАВА 1 ПРЕЦИЗИОНННЫЙ СТАБИЛИЗАТОР С ЗАЩИТОЙ ОТ ПЕРЕГРУЗКИ Обычно биполярные транзисторы исполь- зуются для управления мощными МОП-при- борами (полезными для работы с ключевыми каскадами). Схема, примененная в этом ли- нейном стабилизаторе, позволяет осуществ- лять управление максимальным выходным током с помощью двух дополнительных пас- сивных компонентов: резистора контроля то- ка Rsense и стабилитрона LM 103-5.1. Регу- лирующий рпр-транзистор должен иметь указанный диапазон изменения коэффициен- та передачи тока, обеспечивающий нормаль- ный диапазон базовых токов, необходимых для работы с заданной нагрузкой. Когда воз- растает ток нагрузки, увеличивается ток ба- зы рпр-транзистора и напряжение на рези- сторе контроля тока, которое запирает поле- вой транзистор и прекращает дальнейший рост тока базы, ограничивая тем самым вы- ходной ток. VN0104N5 Рис. 1.32 (SU)
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 45 ПАРАЛЛЕЛЬНЫЙ СТАБИЛИЗАТОР НАПРЯЖЕНИЯ Эта простая схема работает в широком диапазоне напряжений, допуская создание компактной конструкции благодаря малому числу компонентов. Необходимые значения напряжения и тока будут определяться выбо- ром типа транзистора Q1 и номиналом R4. Резистор RD и стабилитрон D1 необходимы для надежной работы ИС ICL8211М, когда входное напряжение превышает 30 В. MOSFET Нестабилизированное напряжение от 5 до 600 В Нестабилизированное напряжение >30В Пульсации «8 мВ (размах) Порог « 1,1 В Рис. 1.33 (SU)
46 ГЛАВА 1 ЗАРЯДНОЕ УСТРОЙСТВО НА ТОК 20 А ДЛЯ НИКЕЛЬ-КАДМИЕВЫХ АККУМУЛЯТОРОВ, ПИТАЮЩЕЕСЯ ОТ СЕТИ Малые габариты и минимальное тепло- выделение делают это автономное двухтакт- ное зарядное устройство привлекательным для компактных систем. ИС управления импульсным стабилизато- ром типа 1525 выполняет функции генерато- ра, датчика напряжения и предварительного выходного каскада. Трансформатор Т2 обес- печивает изоляцию от первичной сети и лег- кость управления МОП-транзисторами. VN0345N1 115В Q 400 Гиф 3.6 к 4NF 6 J5 0,1 мкф~ 10 14 11 А 1525 в INV NI REF 1_______ 2 16~] SB 15 13 5 напряжения (10-оборотный подстроечный потенциометр), '1 5 к RT СТ Disch v+ SS Vc . Земля Компенсация 8 «I оГ 0.01 =L мкФ | ±0,1 ± 0,1 МкФ МкФ 400 Гц 18 В Рис. 1.34 (SU)
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 47 ИМПУЛЬСНОЕ ЗАРЯДНОЕ УСТРОЙСТВО ДЛЯ СВИНЦОВЫХ КИСЛОТНЫХ АККУМУЛЯТОРОВ Здесь представлено зарядное устройство с ограничением тока на напряжение 14,4 В и ток 1 А. Схема работает как импульсный стабилизатор с ШИМ. Транзистор Q2 с со- путствующими компонентами работает в ка- честве источника стабильного тока, обеспечи- вающего постоянство напряжения на затворе транзистора Q1 во всем рабочем диапазоне. Сборка из четырех МОП-транзисторов Q3 - Q6 типа VN0106N6 выполняет следующие функции: системного синхрогенератора, уп- равления включением и выключением и ре- гистра логических уровней. С помощью транзистора Q3 можно осуществить внеш- нюю синхронизацию, чтобы уменьшить ком- мутационные помехи. VN0106N6, VP1206N5 TL494 Вход от +20 В до +30 В о- .......t -й— MRS01 0,1 36 к 4=0,02 мкФ От управляющего устройства 3 10 В р ZJ15B ±0,02 мкФ 36 к TL4M +5 В (опорное) Компен- сация £ 8.66 к Регулировка напряжения окончания заряда 4,53 к VN0106N6 V4 VN0106N6 5 В (для управляющего ----° устройства) 4,7 мкФ Рис. 1.35 (SU)
ГЛАВА 1 48 SMM OPA404 Источник микроамперных токов, управляемый напряжением Рис. 1.36 (ВВ) ОРА404 пикового детектирования. Спад вершины импульса 0,1 мВ/с. Пиковый детектор положительного сигнала с малым падением напряжения Рис. 1.37 (ВВ)
49 ЭЛЕКТРОННЫЕ СХЕМЫ LM118, LM218, LM318 Транзистор 2N4391 и диод 1N4148 мож- но заменить аналоговым вентилем SFT7004. Рис. 1.38 Быстродействующая схема выборки-хранения (ТН) LM101A, LM201A, LM301A LM101A, LM201A, LM301A Быстродействующий однополупериодный детектор Погрешность преобразования на частоте 100 кГц не превышает 1%. (ТН) Рис. 1.40 Быстродействующий преобразователь переменного тока в постоянный (ТН) Рис. 1.39
50 ГЛАВА 1 ОРА156А ‘ Преобразователь абсолютного значения тока в напряжение Рис. 1.41 (ВВ) VFC32 Выводы +V и -Vcc шунтировать кон- денсаторами 0,01 мкФ. Номера выводов в кружочкак соответствуют изделию в корпусе ТО-ЮО, номера выводов в квадратиках соот- ветствуют изделию в пластмассовом корпусе с двухрядным расположением выводов. Дру- гие схемы на ИС VFC32 можно найти в гл. 4 (интерфейсные схемы). Схема включения преобразователя напряжение-частота для положи- тельных входных напряжений . 4, с максимальной частотой 500 кГц
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 51 LM124 Пиковый детектор с малым дрейфом Рис. 1.43 (ВВ) яммя 1/3 74LS27 Выводы для подключения источника пи- тания не показаны. Выводы питания всех ИС необходимо шунтировать конденсаторами 1-10 мкФ. Пиковый детектор (ВВ) Рис. 1.44
ГЛАВА 1 52 ПИКОВЫЙ ДЕТЕКТОР Пиковый детектор 4084/25 представляет собой вид усилителя с выборкой-хранением. Входной сигнал воспринимается и отслежи- вается в режиме пикового детектора до тех пор, пока он не достигнет максимального значения. Тогда устройство автоматически выбирает это значение, сигнализируя о том, что пик обнаружен (выход STATUS). Уст- ройство 4084/25 может также работать в ре- жиме хранения, не реагируя на последую- щие пики сигнала или сигнал сброса к опорному уровню, подготавливающий схему к обнаружению следующего пика. Чрезвы- чайно малый спад выходного сигнала (уменьшение напряжения со временем) в этом устройстве позволяет использовать его с различными приборами для записи или ото- бражения его выходного сигнала (АЦП , цифровые вольтметры, щитовые измеритель- ные приборы, аналоговые измерители и т.д.). Устройство 4084/25 обнаруживает пико- вые значения в диапазоне от -10 В до +10 В. В режиме сброса внутренний накопитель- ный конденсатор разряжается до любого опорного уровня между +10 и -10 В. Пи- ковый детектор также обнаруживает любое пиковое значение, большее опорного уровня. Например, при опорном напряжении на вхо- де 0 В устройство будет обнаруживать пико- вые напряжения в диапазоне от 0 до +10 В, а при опорном напряжении па входе -10 В оно будет обнаруживать пиковые значения в диапазоне от -10 до +10 В. ПОДАВЛЕНИЕ ДРЕБЕЗГА КОНТАКТОВ Когда на входы логических схем подают- ся сигналы от реле или механических пере- ключателей, необходимо принимать меры по устранению дребезга контактов. Дребезг кон- тактов будет приводить к срабатыванию уст- ройства в режиме пикового детектора, каж- дый раз добавляя несколько милливольт к напряжению на выходе. Схема, устраняющая дребезг контактов, показана па рис. 1.45. ИСПОЛЬЗОВАНИЕ УСТРОЙСТВА 4084/25 С АЦП Устройство 4084/25 очень удобно ис- пользовать с АЦП или цифровым вольтмет- ром. Выход STATUS будет запускать процесс преобразования сразу после обнаружения пи- кового сигнала. АЦП запустится, как толь- ко напряжение достигнет пиконого значения. ИЗМЕРЕНИЕ ВРЕМЕНИ НАРАСТАНИЯ СИГНАЛА Так как выходной сигнал STATUS при- нимает значение логического нуля, когда об- наруживается пиковое значение, его можно использовать для управления счетчиком, из- меряющим время от начала режима обнару- жения пика до достижения пикового значе- ния. Счетчик сбрасывается в нуль логическим сигналом на входе, когда устройство 4084/25 находится в режиме сброса. Он начинает считать, когда устройство переходит в режим обнаружения пика. Когда сигнал достигнет пикового значения, выходной сигнал STATUS принимает низкий уровень и счетчик оста- навливается. ИЗМЕРИТЕЛЬ ПОЛНОЙ АМПЛИТУДЫ (РАЗМАХА) СИГНАЛА На рис. 1.48 показана схема для измере- ния полной амплитуды сигнала, меняющего толярность. Инвертирующий усилитель А1 геобходим, потому что устройство 4084/25 гбнаруживает только сигналы положительной полярности. Усилитель А2 выполняет инверс- ное суммирование выходных сигналов обоих пиковых детекторов, полученная сумма явля- ется значением полной амплитуды входного сигнала.
олвмгипНЫЬ СХЕМ Схема защиты от дребезга контактов Рис. 1.45 (ВВ) ВИИИИИвИИИЯЯЙИИИвЧИИвИИИИИИИВИИИИвИЯВвИИ 4084/25 Аналоговый Аналоговый выход АЦП 4084/25 Состояние о Логические I Логический инвертор АОС-100°ГОП ЦИФРОВОЙ ADC 100 ВЫХОД ADC 100 напряжения ^Команда преобразования сброса Рис. 1.46 Использование устройства 4084/25 с АЦП (ВВ) ыаммшапмм№мм№жмявпявш1**ка*мйакжвзявяпяккваям 4084/25 Аналоговый Аналоговый 4084/25 ф Напря-ф Состояние "= жение сброса Логические входы_____ Синхронизация - ^Сброс Счетчик!——о — - J Выход счетчика Измерение времени нарастания сигнала Рис. 1.47 (ВВ) ими»—«—iimiwi ыы.1ч । ши itiгл п лт 1тптгттптггг1—(пг<т"г—г-г •.•.л"'" 4084/25 E = E , - E .. о p2 pl Детектор полной амплитуды размаха сигнала Рис. 1.48 (ВВ)
54 ГЛАВА 1 f 4340 Преобразователь средне-квадратичного значения 4340, включенный по схеме, обеспечивает заданную точность без подстройки Преобразователь истинного средне-квадратичного значения в постоянный ток Подстройка единичного усиления Рис. 1.50 Регулировка напряжения смещения (ВВ) Рис. 1.51 (ВВ)
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 55 Конденсаторы Сн = 22-100 пФ и CL>3 мкФ служат для коррекции частотной ха- рактеристики. Установка требуемого коэффициента уси- Подать на вход постоянное напряжение, ления осуществляется подбором резистора R3 соответствующее середине шкалы, и подстро- так, чтобы выполнялось условие ить R4 до получения на выходе напряжения R3 = (А2 - 1) 10 кОм. А Х V‘n‘ р 1Установка коэффициента усиления 4340 +15 В' -15 В + 5 В Вход -5 В 249 к Выход ---О Поочередно переключая вход устройства между напряжениями +5,000 В и -5,000 В, подстроить R5 таким образом, чтобы погреш- ность выходного напряжения относительно +5,000 В была одинаковой при обеих поляр- ностях входного напряжения. Коррекция погрешности, вызванной сменой полярности сигнала Рис. 1.54 (ВВ)
56 ГЛАВА 1 НЕДОРОГОЙ ПРЕОБРАЗОВАТЕЛЬ ИСТИННОГО СРЕДНЕ-КВАДРАТИЧНОГО ЗНАЧЕНИЯ В ПОСТОЯННЫЙ ТОК Если ИС 4341 используется в схемах для измерения колебаний сложной формы, рекомендуемся следующая расширенная про- цедура настройки. Сначала все потенциомет- ры устанавливаются в среднее положение. Погрешность от изменения полярности сигнала постоянного тока. Подавая попе- ременно на вход Е. напряжения +10,000 В + 1 мВ и -10,0(й В ±1 мВ, подстро- ить потенциометр R5 таким образом, чтобы показания Еои| были одинаковыми с точно- стью + 2 мВ. Подстройка усиления. Подать на вход Ejn напряжение +10,000 В +1 мВ, подстро- ить R1 таким образом, чтобы на выходе бы- ло напряжение Е = +10,000 В + 1 мВ. Входное смещение. Подавая на вход Е. попеременно напряжения +10,0 мВ ± 0,Г мВ и -10,0 мВ ± 0,1 мВ, подстроить R4 таким образом, чтобы отсчеты Еоц( отлича- лись не более чем на ±0,1 мВ. Выходное смещение. Заземлить вход Е. и подстроить R3 таким образом, чтобы вы- ходное напряжение Е стало равным 0 ±0,1 мВ. Повторить предыдущий шаг. Точность передачи сигналов низкого уровня. Подать на вход Ejn напряжение +10,0 мВ ±0,1 мВ и подстроить R2 таким образом, чтобы выходное напряжение Е равнялось +10,0 мВ ± 0,1 мВ. КОЭФФИЦИЕНТЫ УСИЛЕНИЯ БОЛЬШЕ ЕДИНИЦЫ Коэффициенты усиления больше едини- где А - требуемый коэффициент усиле- ны можно получить, подключив резистор Rx ния (1<A<10),R.-b омах. между выводами 5 и 6 ИС. При этом Rx ~ (А^ - I) х 10 кОм + 2 кОм, Расширенная процедура настройки (в прецизионных устройствах) Рис. 1.55
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 4341 Входная частота (синусоидальный сигнал со средне-квадратичным значением 1,00 В) ,Гц Зависимость амплитуды пульсаций на выходе от частоты входного сигнала Рис. 1.56 4341 Емкость усредняющего конденсатора, мкФ Зависимость времени отклика от емкости усредняющего конденсатора Рис. 1.57
58 ГЛАВА 1 МОЩНЫЙ УСИЛИТЕЛЬ Быстродействие, простота и эффектив- ность, достигаемые в импульсных преобразо- вателях тока в напряжение, делают их подходящими для генерации сигналов пере- менного тока низкой частоты. Входное на- пряжение, дискретизируемое с частотой 13 кГц, поступает в преобразователь напряже- ния в частоту типа AD460. Выходная часто- та преобразуется одновибратором в последо- вательность стандартных импульсов и посту- пает на быстродействующий каскад управле- ния выходным транзистором VN1210. Точность этой схемы определяется ста- бильностью каскадов преобразователя и спо- с ТОКОВЫМ выходом собностью выходного транзистора обеспечить неискаженную передачу фронта и спада им- пульсов. Это достигается управлением тран- зистором VN1210 от трехтранзисторного предварительного каскада, способного обеспе- чить втекающие и вытекающие токи свыше 2 А при времени переключения менее 15 нс: допустимая входная полоса частот свыше 10 кГц. Схема выборки-хранения необходима только при полосе частот свыше 100 Гц. Малые габариты и исключительная эффек- тивность делают эту схему привлекательной для портативных приборов с автономным пи- танием. VN1210N5 Входной сигналО- +5 В 0-5 кГц -j- 1 мкФ 9,1 К 5 SHC 298 J—AN (ВВ} AD 460 VFC + 15 В —О Необязательная схема выборки/хранения |для частоты выше 100 Гц) -46 згтгтт: (полисте- 15В рОЛОВЫЙ) 5ОО0 пФ । Регули-3 ровка шкалы 1 0,01 мкФ' + 15В I 10В Преобразователь напряжения — временной интервал 4 + 15В 83^ Максимальная входная частота F = Динамический диапазон (F /F . IV, . max max mm ic = w2-5- Рис. 1.58 (SU) ОРАН НТ Юк Простой источник тока, уменьшающий влияние напряжения питания на опорное напряжение стабилитрона ^BBj Рис. 1.59
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 59 Рис. 1.60 (ТН) Преобразователь переменного тока в постоянный INA104 СХЕМЫ ФИЛЬТРОВ f = (1/6,28 Cf 104) Гц, Eml, = <Ei - ЕР х U +, 40 kOm/Rg)]/(1 + °u‘ 1 + 6,28 х 104Cf). Активная фильтрация нижних частот Рис.1.61 (ВВ)
ГЛАВА 1 60 INA105 Другие схемы на ИС INA105 приведены в гл. 2 и 5. Фазовый фильтр обеспечивающий единичное усиление и фазовый сдвиг выходного сигнала от -180 Рис. 1.62 до *80° во всем диапазоне частот (вв^ ,_ЛЖаКЗЕ«®!®6.’<ЯЯИВЯЖИИИвЮввИкЯИИИвИМИ11вВЖИЫИПИв1 ОРА2111 Резисторы меньших номиналов дадут меньшие тепловые шумы, однако емкость конденсаторов придется увеличить. Av = 2,6, f, = 10 Гц, спад -24 дБ/октава. Фильтр нижних 4-го порядка частот Баттерворта на частоту 10 Гц Рис. 1.63 (ВВ)
0РА2111 Для частоты 50 Гц следует использовать резисторы сопротивлением 3,16 и 6,7 МОм. Коэффициент усиления равен 101. Режекторный фильтр-усилитель на частоту 60 Гц с высоким входным сопротивлением Рис. 1.64 (ВВ) Полосовой подавляющий фильтр Неинвертирующее включение Рис. 1.65 (ВВ) кммвмпжжмжммжтмнжшЕижмнкжотжмшммммвмамм Инвертирующее включение Биквадратный фильтр Рис. 1.66 (ВВ)
UAF11, UAF21 9778 9778 Окончательная схема Рис. 1.67 (ВВ) LM124 Конденсаторы С1и С2 - полиэтиленовые или поликарбонатные fo = 1 кГц, Q = 50, Ау = 100 (40 дБ). Активный полосовой фильтр Рис. 1.68 (TH)
ТИПОВЫЕ ЭЛЕКТРОННЫЕ СХЕМЫ 63 Перестраиваемый заградительный фильтр Фильтр нижних частот Рис. 1.69 (TH)
64 ГЛАВА 1 TL074 Выход А 2 кГц/дел Полосовой фильтр второго порядка fo =100 кГц, Q = 69, усиление = 16 Выход В 2 кГц/дел Каскадный полосовый фильтр fo - ЮО кГц, Q = зо, усиление = 4 Полосовой фильтр с положительной обратной связью Рис. 1.70 (ТН)
2 СХЕМЫ УСИЛИТЕЛЕЙ Измерительные усилители Микромощный высокоточный измерительный усилитель Усилитель для громкоговорящих связных устройств Усилителе для отклоняющей системы ЭЛТ Усилитель мощности низкой частоты с эффективной мощностью 125 Вт
бб ГЛАВА 2 3554 Инвертирующий усилитель Неинве|)тирующий буферный усилитель Рис. 2.1 (ВВ) 3554 Простой дифференциальный усилитель на ОУ Усилитель мостовой схемы с одним активным плечом Рис. 2.2 (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ 6’ ОРА201 сдвига в двух каналах А = AV2 = 1 + R2/R1. R3 = R4 = R1IIR2 во избежание влияния тока смещения. R| = (R2 + RD > 10 кОм во избежа- ние перегрузки по току. Входной усилитель для систем автоматической коррекции нуля Неинвертирующий усилитель с переключаемыми входами Рис. 2.3
68 ГЛАВА 2 ОРА201 Vin1 R4 = R5 = R6 Усилитель с переключаемым • усилением Rl II (R2 + Rl)ll (R6 + R5) > 10 кОм Инвертирующий усилитель выбран канал 2 : VQut = VD1 R2/R1. Маломощный двухканальный дифференциальный усилитель Рис. 2.3 (ВВ) Маломощный двухканальный измерительный усилитель
СХЕМЫ УСИЛИТЕЛЕЙ 69 LM11B, LM218, LM316 Быстродействующий суммирующий усилитель с малым входным током Рис. 2,4 (TH)
ГЛАВА 2 70 LM158, LM258, LM358, LM2904 R2 n<* При условии, что R1/R2 = R3/R4 (ос- лабление синфазного сигнала зависит от точ- ности согласования отношений этих резисто- ров), выходное напряжение будет опреде- ляться по формуле: voui = (1 + WR3) (V2 - V]). При указанных номиналах резисторов vout = 2(V2 - Vp. Дифференциальный усилитель постоянного тока с высоким входным сопротивлением Использование симметричного усилителя для снижения входного тока (общий принцип) Рис. 2.5 (TH
СХЕМЫ 71 Измерительный усилитель постоянного тока с высоким входным сопротивлением и регулируемым усилением Рис. 2.6 (TH) LM124, LM224, LM324, LM2902 Использование симметричного усилителя для снижения входного тока (общий принцип) R1/R2 = R4/R3 (ослабление син- сигнала зависит от точности согла- отношения этих резисторов). ош = (I + R4/R3) (V2 - Vp. Дифференциальный усилитель постоянного тока с высоким входным сопротивлением Рис. 2.7
72 ГЛАВА 2 LN 124, LM224, LM324, LM2902 Если R1 = R5 и R3 = R4 = R7 = R6, то еоц( = <1 + 2R1/R2) (е<2 - в]). При указанных номиналах резисторов eout = 10Ие2 - е1>- Измерительный усилитель постоянного тока с высоким входным сопротивлением и регулируемым усилением рис. 2.8 (TH)
СХЕМЫ УСИЛИТЕЛЕЙ 73 L.M158, LM258, LM358, LM2904 Ay = -R1/R (при указанных номиалах . резисторов Av = -10). R1 100 к Инвертирующий усилитель со связью по постоянному току Неинвертирующий усилитель со связью по переменному току Рис. 2.9 (TH)
ГЛАВА 2 тока Суммирующий усилитель постоянного тока Рис/2.10 (TH)
СХЕМЫ УСИЛИТЕЛЕЙ 75 LM193 Типовая схема компаратора Низкочастотный ОУ vo = О В при Vj = о В. Низкочастотный ОУ Детектор пересечения нуля Рис. 2.11 (TH)
7б ГЛАВА 2 LM193 Низкочастотный ОУ с регулировкой сдвига Детектор пересечения нуля (однополярное питание) ГН) Рис. 2.12
77 СХЕМЫ УСИЛИТЕЛЕЙ Ay — -R/R1 (при указанных номиналах резисторов Ау = -10). Инвертирующий усилитель со связью по переменному току Ay = 1 + R2/R1 (при указанных номи- налах резисторов Ау = И). Неинвертирующий усилитель постоянного тока Неинвертирующий усилитель со связью по переменному току Рис. 2.13 где (cq + е2) (ед + е4), е0 > 0 В. Суммирующий усилитель постоянного тока (ТН)
ОРА201 Усилитель с выборкой/хранением и нулевым спадом сигнала, использующий ОУ с переключаемым входом, 12-разрядный АЦП и два одновибратора Рис. 2.14 (ВВ)
ОРА600 Устройство для измерения времени установления выходного сигнала быстродействующих ОУ Ряс. 2.15 (ВВ)
ГЛАВА 2 пмя LM111, LM139 ДРУГИЕ СХЕМЫ НА ОУ Стробирование сигнала Компаратор уровня сигнала Увеличение тока входного каскада Детектор пересечения нуля Балансировка напряжения смещения Компаратор с отрицательным опорным напряжением Рис. 2.16 (TH)
СХЕМЫ УСИЛИТЕЛЕЙ 81 ВЧ-детектор и видеоусилитель Симметричный пироэлектрический детекор инфракрасного излучения Рис. 2.17 Тахометр с магнитным датчиком
ГЛАВА 2 82 ОРА27, ОРА37 Диапазон частот 1-50 Гц. Предусилитель гидрофона Высококачественный синхронный детектор Чувствительность ~ 2,5 • 10^ В/Вт. Выходные шумы ~ 30 мкВ средне-квад- ратичное значение в полосе частот от 0,1 до 10 Гц. Следует использовать металлопленочные резисторы и пленочные полимерные конден- саторы. Чтобы обеспечить низкий уровень шума, схему необходимо хорошо экраниро- вать. Усилитель детектора длинноволнового инфракрасного излучения Рис. 2.18
СХЕМЫ УСИЛИТЕЛЕЙ 83 ОРА27, ОРА37 Усиление = -1010 V ~ 2 мкВ, е°!~ 1 пВ/Гц1/2 при 10 Гц, 0,9 нВ/Гц1/2 при 100 Гц, 0,87 мВ/Гц 1/2 при 1 кГц. Дрейф ~ 0,07 мкВ/°С. Полоса пропускания при максимальной амплитуде сигнала ~ 180 кГц. Произведение усиления на полосу пропу- скания ~ 500 МГц. Эквивалентное шумовое сопротивление 50 Ом. Отношение ’сигнал/шум пропорционально так как шумы усилителей некоррели- рованы. Сверхмалошумящий N-каскадный параллельный усилитель Рис. 2.18 (ВВ)
84 ГЛАВА. 2 ОРА27, ОРА37 Буферный каскад с единичным усилением Буферный каскад с единичным усилением и высокой скоростью нарастания входного напряжения Инвертирующий усилитель с единичным усилением Используются металлопленочные резисто- ры и пленочные конденсаторы. Усиление 50 дБ на частоте 1 кГц. Номиналы Р.£ и С£ выбираются в соот- / ветствии с рекомендациями изготовителей магнитных головок. Инвертирующий усилитель с единичным усилением и высокой скоростью нарастания входного напряжения Предусилитель магнитной головки с характеристикой Рис. 2.19 (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ 85 ОРА27, ОРА37 Vos ~ 10 мкВ. Полоса пропускания ~ 500 кГц. Усиление = 100. Усиление по дифференциальному сигна- Ослабление синфазного сигнала ~100 Лу равно l+2Rp/R(-j. дБ. Малошумящий измерительный усилитель G « 40dB al 1kHz Работа в импульсном режиме Используются металлопленочные резисто- ры и пленочные конденсаторы. Усиление 40 дБ на частоте 1 кГц. Номиналы Rp и CL выбираются в соот- ветствии с рекомендациями изготовителей магнитных головок. Малошумящий предусилитель с характеристикой RIAA Рис. 2.19 (ВВ)
86 ГЛАВА 2 ОРА27, ОРА37 Полное усиление 10ь 10 мВ/мм 5 мм/с Дифференциальный усилитель а) Шумы ОУ 741 в схеме, хорошо экраниро- ванной от наводок и ра- диопомех. Обратите вни- мание на изменение шка- лы. б) ОУ ОР-07АН в схеме, хорошо экраниро- ванной от наводок и ра- диопомех. в) ОУ OPA27AJ в схеме, хорошо экраниро- ванной от наводок и ра- диопомех. (Изображены предельные уровни для ОРА27.) г) ОУ ОРА27 в неэк- ранированной схеме при больших уровнях наводок в условиях лабораторного стенда. (Внешние термо- электрические потенциа- лы значительно превыша- ют шумы ОУ ОРА27.) д) ОУ ОРА27 с теп- лоотводом и экраном, ко- торый защищает входные выводы от наводок. Усло- вия измерения те же, что и в п. г. (Заметно улучшение.) Экран модели 0807HS поставляется фирмой Burr-Brown. Сравнение низкочастотных шумов Рис. 2.20
СХЕМЫ УСИЛИТЕЛЕМ 87 ОРА111 Уровень входного сигнала от -46 до -20 дБм. е0 ~ 1200 мВ/мкВт. Полоса пропускания видеосигнала до 50 кГц. Квадратичный детектор радиочастот с диодом Шотки без смещения Пироэлектрические детекторы реагируют только на скорость изменения параметра (сигнал переменного тока). Пироэлектрический детектор инфракрасного излучения Рис. 2.21 (ВВ)
ГЛАВА 2 88. ММНММЖМММВМВ 0РА111 Канальный усилитель сканера для компьютеризованной аксиальной томографии Спад ~ 100 мкВ/с. Д°в и тип канала полевого транзистора на Для работы с отрицательными сигналами противоположные. следует изменить полярность включения дио- Пиковый детектор положительного сигнала с малым спадом входного напряжения Рис. 2.21 (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ 89 0РАН1 Усилитель с высоким входным сопротивлением (10м Ом) Частота среза 1/6,28 RpCp = 0,16 Гц. Зарядочувствительный усилитель пьезоэлектрического преобразовател я Выход --О Чувствительность - 5 • 10^ В/Вт. Схему необходимо тщательно экраниро- вать. Чувствительный усилитель для фотодиода Напряжение сдвига не более 255 мкВ без дополнительной регулировки. Для часто- ты 50 Гц сопротивление резисторов, отме- ченных звездочками, должно быть 3,16 и 6,37 МОм соответственно. Заградительный фильтр на частоту 60 Гц Рис. 2.22 (ВВ)
90 ГЛАВА 2 ОРА111 Предусилитель для звукоснимателя с характеристикой RIAA Высокочувствительный (<1нВт)м приемник волоконно-оптической линии для передачи данных в манчестерском коде со скоростью 9600 бод ФНЧ второго порядка на частоту 0,6 Гц Рис. 2.22 (ВВ)
СХЕМЫ УСИЛИТЕЛЕН 91 0РА111 Av = -1010. en ~ 1,9 нВ/Гц1/2 при 10 кГц. Ширина полосы пропускания 30 кГц. Произведение полосы пропускания на усиление 30,3 МГц. Напряжение смещения Ду ~ +16 мкВ/°С. 1р = 10 nA макс. Zin ~ 1012 Ом> 30 пф- Приведены теоретические характеристи- ки, достижимые с ОУ ОРАН IBM при не- коррелированном случайном распределении их параметров. N - каскадный усилитель с параллельными входами для снижения относительных шумов усилителя на выходе Рис. 2.23 (ВВ)
92 ГЛАВА 2 4 шсяяна ОРА111 Ib = 1 nA. Усиление = 100. Ослабление синфазного сигнала ~ 106 дБ. R. ~ 1013 Ом. 1П Коэффициент усиления дифференциального сигнала 1 + 2Rf/Rq. Измерительный усилитель со входом на полевых транзисторах Рис. 2.23 (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ ТАА761С, TL071, TL074 Рис. 2.24 (TH) LM193 Усилитель преобразователя Рис. 2.25 (TH)
94 4 ГЛАВА 2 INA104 Используется усилитель тока ВВ3553 или 3329. Выходной усилитель мощности Можно получить подавление синфазного сигнала более 130 дБ. Многопетлевая обратная связь дает воз- можность регулирования подавления синфаз- ного сигнала с помощью потенциометра. Регулировка подавления синфазного сигнала Рис. 2.26 (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ 95 SNA105 Ео = Ej + Е2 i 0,01% макс. Прецизионный суммирующий усилитель Ео = 2Ер Погрешность коэффициента усиления не более 0,01%. Температурный дрейф коэффи- циента усиления 2’10*® 1/°С. Прецизионный усилитель с коэффициентом усиления 2 При напряжениях питания + 15 В допу- стимо входное напряжение +20 В Ео = Ej/2 ± 0,01%. Прецизионный усилитель с коэффициентом усиления 1/2 Ео = Е2 - Ер Погрешность 0,005%. Коэффициент сигнала 100 дБ. Нелинейность стики 0,0002%. коэффициента усиления подавления синфазного передаточной характери- Прецизионный дифференциальный усилитель Рис. 2.27 (ВВ)
96 ГЛАВА 2 авыми INA105 Прецизионный измерительный усилитель Для схем с малым внутренним сопротив- лением источника сигнала наилучшие ре- зультаты в отношении шумов, напряжения сдвига и температурного дрейфа дает ис- пользование во входном каскаде ОУ ОРА37. При сопротивлении источника сигнала более 10 кОм шумы, вызванные входным током смещения ОУ ОРА37, начинают преобладать над собственным шумом ОУ. В таком случае использование ОУ ОРА111 или сдвоенного ОРА2111 со входом на полевых транзисторах обеспечит низкие собственные шумы. В не- дорогих конструкциях следует использовать ОУ ОРА121 в пластмассовом корпусе. При конструировании электрометров используйте ОУ ОРА128. Другие схемы па ОУ INA105 приведены в гл. 1 н 5. Ар А^ R1 > Ом S - ОРА37А 50,5 2,5 ОРА111В 202 10 OPA128LM 202 10 G КОСС > дБ шах Шумы на 1 кГц, нВ/Гц1'2 100 128 40нА 4 100 110 1 пА 10 100 118 38фА 38 Рис. 2.27 (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ > СХЕМЫ НА СИЛЬНОТОЧНЫХ МОЩНЫХ ОУ 3572 Обратная связь с таходатчиком = (1 + R^/Ri = ^1 r2/Ri Rs. Обратная связь по току A/W v /V = -v. r7/Ri. out' in in I Обратная связь по напряжению Программируемый источник питания Рис. 2.28 (ВВ) еа=Л®о; R»’ +1° в - ein <5 В < еои1 < +290 В. Другие схемы на ИС 3584 приведены в гл. 4. Рис. . 2.29 (ВВ)
ОРА156А Инвертирующий усилитель Неинвертирующий буферный каскад Рис. 2.30 (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ 99 во избежание влияния тока смещения. Rl II (R2 + Rl) > 10 кОм во избежание перегрузки по току. Усилитель с переключаемым усилением Неинвертирующий усилитель с переключаемыми входами Инвертирующий усилитель с переключаемыми входами R5 = R7, R6 = R8, выбран канал 1: Vou|= = V R6/R5. R4 = R2, Rl = R3, выбран канал 2: VQu = = VD) R2/R1. Маломощный двухканальныи дифференциальный усилитель Рис. 2.31 (ВВ)
100 ГЛАВА 2 ОРА201 Маломощный двухканальный измерительный усилитель Vcs < 1 мкВ/с- Дрейф 0,05 мкБ/°С. Уход нулевого уровня ~1 мкВ/с относи- тельно входного сигнала. ’ Усилитель с автоматической коррекцией нуля Рис. 2.31 (ВВ),
СХЕМЫ УСИЛИТЕЛЕЙ 101 ОРА2111 Усиление на средней частоте 26 дБ. Стереофонический предусилитель с характеристикой 1<1АА Усилитель с автоматической коррекцией нуля (ВВ) Рис. 2.32
102 ГЛАВА 2 СХЕМЫ НА ОУ • 3554 Инвертор с единичным усилением Инвертор с усилением 10 Буферный каскад с единичным усилением Инвертор с усилением 100 R, ЮО —О Сигнал ошибки юо 3554 HP 5082-2811 Диоды на горячих носителях , з к 1 пФ — 10 пФ 1 пФ — 15 пФ Выход усилителя ic'X'-t ‘"с 171 Схема измерения времени установления выходного сигнала Рис. 2.33 (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ 103 Бид со стороны размещения элементов. Заштрихованная область отображает сторону проводников. 1. Все схемы оптимизированы для боль- ших емкостных нагрузок (до 470 нФ). 2. ОУ 3554 устойчив без частотной ком- пенсации при коэффициенте усиления более 55 (CL < 100 пФ). 3. Оптимальная длительность фронта и спада импульса равна 45 нс. При очень ма- лых временах нарастания (10 - 20 нс) вход- ной каскад может насыщаться, что приведет к уменьшению времени установления относи- тельно оптимального. Звездочкой отмечены элементы, которые можно исключить, если схемы не прсдназна- чаются для работы с большими емкостными нагрузками. Конструкция схемы измерения времени установления выходного сигнала Рис. 2.33 (ВВ)
TW ГЛАВА 2 ОРА128 №ЯОй9!О0№С%9ВВВМНВеВВШВВКШВВП^И1 Усилитель с высоким импедансом (1015 Ом) Зарядочувствительный усилитель для пьезоэлектрического преобразователя -Вход 25 к 25 к >Лл>**а 25 к «ЯА*- 202 + Вход о---------- 1В ~ 100 фА Усиление = 100 КОСС ~ 118 дБ Измерительный усилитель QFAIZc 3 Выход со входом на полевых для биомедицинских исследований Дифферен-1 циальный I усилитель^* Rin » 1015 Ом Дифференциальный коэффициент усиле- ния 1+2Rf/Rg транзисторах 10i к Чувствительность 5 х 10^ В/Вг. Схему необходимо хорошо экранировать. Конденсатор <1 пФ устраняет выбросы коэффициента усиления. Рис. 2.34 ~ Чувствительный усилитель для фотодиода (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ 105 ИЗМЕРИТЕЛЬНЫЕ УСИЛИТЕЛИ Измерительный усилитель представляет собой усилительный модуль с дифференци- альным входом и замкнутой петлей обратной связи. Это схема, основная функция которой - точное усиление напряжения, приложенно- го к ее входам. Идеальный измерительный усилитель ре- агирует только на разность двух входных сигналов и обладает чрезвычайно высоким импедансом между двумя входными вывода- ми и между каждым выводом и землей. Вы- ходное напряжение снимается относительно земли и равно произведению коэффициента усиления на разность напряжений между обоими входами (см. рис. 2.35а). Коэффициент усиления G обычно уста- навливается пользователем с помощью одного внешнего резистора. Такая модель имеет бес- конечный входной импеданс, равное нулю выходное сопротивление, выходное напряже- ние, пропорциональное только разности входных напряжений (е2 - ер, точно извест- ный коэффициент усиления и неограничен- ную полосу пропускания. Такой усилитель будет полностью подавлять компоненты сиг- нала, одинаковые для обоих входов (подав- ление синфазного сигнала) и не будет иметь дрейфа и сдвига уровня выходного сигнала. ХАРАКТЕРИСТИКИ ИЗМЕРИТЕЛЬНЫХ УСИЛИТЕЛЕЙ Желательно приблизиться, насколько это возможно, к характеристикам идеального из- мерительного усилителя. В следующих пара- графах обсуждаются реальные характеристи- ки измерительного усилителя. Входной импеданс. Простая модель ре- ального измерительного усилителя приведена на рис. 2.356. Импеданс Zjd представляет со- бой полное сопротивление дифференциально- го входа. Импеданс для синфазного сигнала Zjcm представлен двумя равными компонента- ми, 2 Zjcm - сопротивлениями между каж- дым входом и землей. Эти конечные сопро- тивления вносят в действующий коэффици- ент усиления погрешность, обусловленную нагрузкой внутреннего сопротивления источ- ника сигнала. Измерительный усилитель на- гружает источник сигнала импедансом Z, = ~ Zi<j 11 Zicm* Если внутреннее сопротивле- ние источника сигнала RQ = Rgl + по- грешность коэффициента усиления, вызван- ная влиянием этой нагрузки, будет опреде- ляться выражением Погрешность коэффициента усиления = = 1 - Z./(Zj + Rs) = Rs/(Zi + Rs> ~ « R/Zt, если Z > Rs. Идеальная модель измерительного усилителя Рис. 2.35а (ВВ)
ГЛАВА 2 106 пмвввяянмвопавя Простая модель измерительного усилителя б типчной конфигурации Рис. 2.356 (ВВ) Если Rs = 10 кОм и Zj = 10 МОм, то погрешность коэффициента усиления равна 10 х 103/10 х 106 ~ 0,1%. ’ Импеданс для синфазного сигнала по по- стоянному току Zjcm не зависит от коэффи- циента усиления. Дифференциальный вход- ной импеданс по постоянному току Zjd мо- жет меняться в зависимости от усиления. В спецификациях приводится наихудшее значе- ние. Отличное от нуля выходное сопротивле- ние усилителя также приводит к появлению погрешности коэффициента усиления, вели- чина которой зависит от сопротивления на- грузки. Нелинейность. Обеспечить линейность усиления более важно, чем точность коэф- фициента усиления, так как коэффициент усиления легко регулировать, устраняя по- грешность коэффициента усиления. Нелиней- ность определяется как максимальное откло- нение от наилучшим образом подогнанной прямой, выраженное в процентах от макси- мального размаха выходного сигнала. Подавление синфазного сигнала. Как по- казано на рис. 2.356, выходное напряжение имеет два компонента. Один из них пропор- ционален дифференциальному входному на- пряжению ed = е2 - ег Второй компонент пропорционален синфазному входному напря- жению. Синфазное напряжение, приложен- ное к входным выводам усилителя, опреде- ляется как Ест = е2 + е./2. Оно может со- стоять из некоторого синфазного напряжения в самом источнике, ест (как например, на- пряжение возбуждения мостовой схемы) и любого шумового напряжения е между об- щими проводами источника сигнала и уси- лителя. Как видно на рис. 2.356, постоянная G представляет собой коэффициент диффе- ренциального усиления (установленный внешним резистором). Постоянная G/KOCC представляет собой коэффициент усиления усилителя синфазного сигнала. КОСС (коэф- фициент ослабления синфазного сигнала) есть отношение дифференциального коэффи- циента усиления к коэффициенту усиления синфазного сигнала. Таким образом, КОСС пропорционален дифференциальному коэф- фициенту усиления и возрастает с ростом дифференциального коэффициента усиления G. Поэтому КОСС обычно определяется для максимального и минимального значений ко- эффициеита усиления. Ослабление синфазно- го сигнала может быть выражено в децибе- лах: КОСС (дБ) = 20 1g КОСС. В идеальном измерительном усилителе составляющая выходного напряжения, вы- званная синфазным сигналом, равна нулю. В реальных измерительных усилителях, несмот- ря на то что КОСС весьма высок, он, тем
СХЕМЫ УСИЛИТЕЛЕЙ 107 не менее, не бесконечен и будет приводить к погрешности выходного напряжения Ест/КОСС х G. Рассогласование импеданса источника сигнала. Если сопротивления источника сиг- нала рассогласованы, напряжение источника сигнала (ест + еп) и дифференциальный сигнал на входе усилителя делятся на вход- ных сопротивлениях в синфазном режиме непропорционально. Такой сигнал рассогласо- вания невозможно выделить из полезного сигнала. В схеме на рис. 2.356 при условии, что Rs2 = 0, R ,= 1 кОм, ест + еп = 10 В и Zcm = 100 МОм, рассогласование приведет к появлению напряжения е2 - е; = 10 В - - 10 В - 108/(108 + 103) = 10 В 103/(108+ + 103) ~ 10 В/10^ ~ 0,1 мВ. Если диапа- зон входного сигнала ed равен 10 мВ, то погрешность составит 0,1 мВ/IO мВ = 1% от полной шкалы. Напряжение сдвига и дрейф. Большинст- во измерительных усилителей является двух- каскадными устройствами - они состоят из входного каскада с регулируемым усилением и выходного каскада с постоянным усилени- ем. Если V. и VQ - напряжения сдвига во входном и выходном каскадах соответствен- но, то суммарное напряжение сдвига усили- теля, приведенное ко входу, равно V. + +Vд/G, где G - коэффициент усиления уси- лителя. Начальное напряжение сдвига обычно устанавливается равным нулю и поэтому дрейф напряжения более существен, так как он не может быть равным нулю. Дрейф на- пряжения сдвига также имеет два компонен- та - один обусловлен входным каскадом уси- лителя, другой - выходным. Когда усилитель работает при большом коэффициенте усиления, преобладает дрейф входного каскада. При небольших коэффи- циентах усиления преобладает дрейф выход- ного каскада. Когда суммарный выходной дрейф приводится ко входу, эффективный дрейф входного напряжения меньше для ма- лых коэффициентов усиления. Дрейф выход- ного напряжения также будет меньше при малых коэффициентах усиления. ЕслиУ;/Т= = 2 мкВ/°С и VyT = 500 мкВ/°С, тогда при 65 °C напряжение сдвига будет равно Е (RTI) = = 40°С (2 мкВ/°С + 500 мкВ/°С/Ю00] = = 40°С (2,5 мкВ/°С) = 0,1 мВ. Если диапазон входного напряжения ра- вен 10 мВ. то погрешность, вызванная дрей- фом напряжения, составит 0,1 мВ/10 мВ = = 1 % полной шкалы. входные токи смещения и сдвига. Вход- ные токи смещения - это токи, которые те- кут в (или - из) каждом из двух входов уси- лителя. Это токи базы во входных каскадах на биполярных транзисторах и токи утечки в каскадах на полевых транзисторах. Токи сдвига представляют собой разность двух то- ков смещения. Токи смещения, протекающие через со- противление источника сигнала, будут созда- вать напряжения смещения на входе Еой = = ^В2 ^S2 и Еоц ~ R,r Если RS1 = Rs2 = = Rs/2, то напряжение смещения на входе Е • - - Е , = I х Rx/2. Это значение сдви- os2 OS1 OS 1 га, приведенное ко входу, можно сравнить непосредственно с входным напряжением, чтобы вычислить относительную погрешность. (Отметим, что источник сигнала должен иметь возвратный провод от общего провода блока питания, иначе Rs будет бесконечным и усилитель достигнет насыщения.)
ОРА156А Широкополосный измерительный усилитель со входом на полевых Рис. 1 ЗЛ rnairancTnn'jv INA110 Сверхвысокоточный измерительный усилитель с малым временем установления и входом на полевых транзисторах Типовая схема включения Рекомендуемая конфигурация печатной платы для ОУ INA110
INA110 +15 В +15 В +15 В Усилитель относительного сигнала фотоприемни ков (измеритель поглощения) Рис. 2-38 Канал быстродействующей сканирующей системы сбора данных с временем установления сигнала 5 мкс с погрешностью 0,01% (ВВ)
INA110 Следует использовать механический пере- до А< Да Измерительный усилитель с ^программируемым усилением (прецизионный неинвертирующий или инертируюший буферный каскад с усилением) Напряжение шума 7 нВ/Гц^/^ Время установления 16 мкс. Малошумящий измерительный усилитель с малым временем установления и усилением 1000 Рис. 2.38 (ВВ)
ильмы усилить^ ьи 111 Усилитель с трансформаторной связью Буферный каскад минимизирует ухудше- ние КОСС, вызванное распределенной емко- стью входных линий. Измерительный усилитель с незаземленным источником сигнала Измерительный усилитель с компенсирующим буферным каскадом Рис. 2.38 (ВВ)
Вход на полевых транзисторах позволяет обеспечить фильтрацию нижних частот с минимальным влиянием на точность по по- стоянному току. Можно использовать сопротивления боль- шей величины и конденсаторы меньшей ем- кости. Усилитель мостовой схемы Рис. 2.38 с входным ФНЧ на частоту j Гц (ВВ) Мощный усилитель Рис. 2.39 с дифференциальным входом ••’ииихиямвиииииаия (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ 113 впашмнамвямвянжшшаскахакошлвямнмввйижь 1NA110 I ш = Avin G(1/10 кОм + 1/R). При выходном токе от 0 до 20 мА R 50,25 Ом при AVin/G = 1 В. • Буферизованный источник тока с дифференциальным входом на полевых транзисторах КОСС зависит от взаимного согласования отношения сопротивлений внешних входных резисторов. Дифференциальный усилитель с диапазоном синфазного напряжения до 1000 В и единичным усилением Усилитель с дифференциальным входом и диференциальным выходом Рис. 2.39 /ВВ)
ГЛАВА?. INA110 Для частоты 50 Гц следует использовать резисторы сопротивлением 3,16 и 6,37 МОм. Добротность регулируется потенциометром 2 кОм. Прецизионный усилитель с усилением 10 и входным фильтром-пробкой на частоту 60 Гц Для малых напряжений и низких шумов резисторов Rl - R2 = 20 кОм, DI - D4 - FDH300 (ток утечки 1 нА). Для повышенных напряжений и шумов ре- зисторов Rl = R2 = 100 кОм, DI - D4 - 1N4117A (ток утечки 1 пА). Взаимное согласование постоянных времени на входах будет влиять на КОСС, ио может быть оптимизировано подстройкой R1 или Калибровка нуля Vzwo = 100МКВ R2. Измерительный усилитель с защитой по входу, минимально ухудшающей точность по постоянному току Быстродействующий входной каскад на полевых транзисторах позволяет получить большую пропускную способность при не- скольких каналах практически без погрешно- сти по постоянному току из-за токов смеще- ния, взаимодействующих с сопротивлениями ключей и источника сигнала.
СХЕМЫ УСИЛИТЕЛЕЙ 115 INA110 Код Усиление Время установления до уровня 0,001% 00 10 6 мкс 01 100 б мкс 10 1000 12 мкс Программируемый измерительный усилитель с цифровым управлением и малым временем установления Рис. 2.40 (ВВ)
116 ГЛАВА 2 INA110 в^^вяаакижвннпвв Усилитель термопары с компенсацией холодного спая и входным ФНЧ (< 1 Гц) LM101A, LM201A, LM301A Рис. 2.40 _____________________ (ВВ) жяскяжиамвмжммакчвноявчвявзжлвямвшмквпняввкяаммжямиинвммвммв Измерительный усилитель Быстррдействуюй инвертирующий усилитель с высоким входным сопротивлением Рис. 2.41 (ТН)
СХЕМЫ УСИЛИТЕЛЕЙ INA101 Активная регулировка выходного сдвига модульных измерительных усилителей Регулировка выходного сдвига, независимая от усиления (ВВ) Рис. 2.42 INA101 Типичный . измерительный усилитель (INA101) Номиналы всех резисторов 25 кОм. Рис. 2.43 Недорогой измерительный усилитель с единичным усилением (3627) (ВВ)
ГЛАВА 2 Рис. 2.44 3656 ИС измерительного усилителя в качестве ФВЧ с диференцйальным входом (ВВ) ИС Burr-Brown 3556 в качестве высокоточного измерительного усилителя с КОСС = 160 дБ и диапазоном синфазного сигнала 3,5 кВ Рис. 2.45 (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ 119 МАЛОМОЩНЫЙ ВЫСОКОТОЧНЫЙ ИЗМЕРИТЕЛЬНЫЙ УСИЛИТЕЛЬ Маломощный высокоточный измеритель- ный усилитель INA102 предназначен для об- работки сигналов в системах с малой по- требляемой мощностью. Тонкопленочные ре- зисторы обеспечивают высокую температур- ную и временную стабильность. Технология лазерной подгонки обеспечивает высокую точность коэффициента усиления и большой КОСС, несмотря на то, что не используются дорогостоящие внешние компоненты. Благо- даря этим особенностям ИС INA102 идеально подходит для автономных устройств с высо- ким уровнем сигнала. ИС INA102 удобна в эксплуатации. Ко- эффициенты усиления 1, 10, 100 и 1000 можно получить простым соединением соот- ветствующих выводов ИС. Без дополнитель- ной внешней регулировки при небольших коэффициентах усиления достигается дрейф усиления 5 х 10*® 1/°С. Когда требуется КОСС, больший паспортного значения, его легко подстроить, используя соответствующие выводы ИС. Кроме того, симметричная фильтрация может осуществляться в выход- ном каскаде. . ОБСУЖДЕНИЕ ХАРАКТЕРИСТИК Измерительные усилители являются уси- лительными модулями с замкнутой петлей обратной связи, которые представляют собой схему, правильно усиливающую напряжение, приложенное к ее выходам. Они реагируют главным образом на разность между входны- ми сигналами и имеют очень высокий вход- ной импеданс как для дифференциального, гак и для синфазного сигналов. Цепи обрат- ной связи в этом измерительном усилителе зключены в кристалл ИС. При коэффициен- тах усиления 1, 10, 100 и 1000 никаких внешних резисторов для ИС INA102 не тре- )уется. ОУ, с другой стороны, представляет со- бой устройство с разомкнутой петлей обрат- ной связи, которое требует внешних цепей для замыкания обратной связи. Хотя ОУ можно использовать для получения тех же основных функций, что и измерительные усилители, в них чрезвычайно трудно полу- чить такие же характеристики. При исполь- зовании ОУ часто приходится принимать компромиссные решения, когда нужно уси- ливать сигналы низкого уровня в присутст- вии синфазных напряжений, несмотря на то, что сохраняется высокий входной импеданс. На рис. 2.50а показана упрощенная модель измерительного усилителя, которая исключа- ет многие проблемы. \ ИС INA102 На рис. 2.46 показана упрощенная схема ИС INA102. Схема из трех ОУ использу- ется, чтобы обеспечить требуемые характери- стики измерительного усилителя. Кроме того, имеется ряд особенностей, обычно не реали- зуемых в ИС измерительных усилителей. Входные буферные каскады А1 и А2 со- держат схемы высококачественных усилите- лей с малым дрейфом. Усилители включены в неинвертирующей конфигурации, чтобы обеспечить высокое входное сопротивление (ЮЮ Ом), требуемое во многих случаях применения измерительных усилителей. На- пряжение сдвига и его температурная зави- симость малы при интегральном исполнении и уменьшаются еще больше при лазерной подгонке. Выходной каскад АЗ включен по схеме дифференциального усилителя с единичным усилением. Критической точкой этого каска- да является взаимное согласование четырех резисторов сопротивлением 20 кОм, которые используются для реализации различных функций. Эти резисторы изначально должны быть хорошо согласованы, и согласование должно сохраняться во времени и во всем диапазоне температур, чтобы поддерживался высокий коэффициент ослабления синфазно- го сигнала. Все встроенные резисторы выполнены из тонких пленок нихрома непосредственно в ИС. Резисторы, критичные х номиналу, про- ходят лазерную подгонку, чтобы обеспечить высокую точность коэффициента усиления и подавление синфазного сигнала. Нихром обеспечивает временную и температурную стабильность и имеет превосходный темпера- турный коэффициент сопротивления (ТКС) и его отслеживание. Этим обеспечивается точность коэффициента усиления и подавле- ние синфазного сигнала, когда ИС INA102 работает в широком диапазоне температур.
120 ГЛАВА 2 1NA102 Рис. 2.46 (ВВ) ИСПОЛЬЗОВАНИЕ ИС INA102 На рис. 2.46 показана простейшая схема ИС INA102. Выходное напряжение равно дифференциальному входному напряжению, умноженному на усиление. Коэффициент усиления 1, 10, 100 или 1000 устанавливается надлежащим соедине- нием выводов 2-7 ИС (см. табл. 2.1). От- метим, что при коэффициенте усиления 1000 специальный контроль усиления обес- печивает сохранение точности. Таким обра- зом устраняется погрешность коэффициента усиления, обусловленная наличием внешних резистоов, включенных последовательно с внутренним низкоомным (40,04 Ом) резисто- ром установки коэффициента усиления, хотя это требуется не всегда. Промежуточные значения коэффициента усиления (между 1 и 10, 10 и 100 и 100 и 1000) можно получить подключением внеш- него резистора между выводом 6 и выводами 2, 3 или 4 ИС соответственно (см. пример на рис. 2.54). Коэффициент усиления определяется из выражения G = 1+40 kOm/Rg, где RG - суммарное сопротивление между двумя ин- Таблица 2.1. Подключение выводов установ- ки коэффициента усиления Коэффиент усиления Соединяемые выводы 1 "1 6 и 7 10 2, 6 и 7 100 3, 6 и 7 1000 4 и 7 — отдельно 5 и 6 вертирующими входами входных ОУ. При больших коэффициентах усиления, когда ве- личина Rg становится малой, дополнитель- ное сопротивление (например, контактов ре- ле или соединителей) в цепи Rc будет спо- собствовать возникновению погрешности ко- эффициента усиления. Следует принять ме- ры для минимизации этого эффекта.
СХЕМЫ УСИЛИТЕЛЕЙ 121 INA102 Электрические характеристики при температуре окружающей среды +25° С, напряжении питания 15 В, и в схеме по рис. 2, если не указало иное Параметр Условия INA102AG INA102CG мин. тип. макс. мин. тип. макс. Усиление: Диапазон изменения, В/В 1 1000 * * Выражение для G=l+40 определения G кОм/Ед1) * (точность внешних элементов 20%) Погрешность G по постоянному току, % G = 1 0,1 0,05 G = 10 „ 0,1 0,05 G = 100 Т. = +25 С 0,25 0,15 G = 1000 0,75 0,5 G = 1 0,16 0,08 G = 10 Т„ от Т 0,19 0,11 G = 100 до Т 0,37 0,21 G = 1000 0,93 \ 0,62 Температурный дрейф усиления. 10‘6/°C G = 1 10 5 G = 10 15 10 G = 100 20 15 G = 1000 30 20 Нелинейность усиления по постоянному току, % от шкалы G = 1 0,03 0,01 G = 10 0,03 0,01 G = 100 ТА = +25 ° С 0,05 0,02 С = 1000 0,1 0,05 G = 1 0,045 0,015 G = 10 ТА от Tm,n 0,045 0,015 G = 100 до Т, . 0,075 0,03 G = 1000 0,15 0.1 Выходные параметры Напряжение, В Rl= 10 ±(IVec' кОм -2,5) * * Ток, мА ±1 * (BE)
122 ГЛАВА 2 INA102 Параметр Условия мин. INA102AG тип. макс, мин INA102CG тип. макс. Ток короткого замыкания, мА 2 * * Выходной импеданс при G=1000, Ом 0,1 * Входные параметры Напряжение сдвига, мкВ Начальный сдвиг 2, мкВ Дрейф температуры, мкВ/ ° С Дрейф от напряжения питания, мкВ/В Временной дрейф напряжения сдвига, мкВ/мссяц ТА = +25 ° С ±300 ±300/G ±5±10/G ±40±30/G ±20±30/G ±100±200/G ±2±5/G ±10±20/G * Ток смещения Начальный ток смещения по каждому входу, иА • ТА до 30 от Т . , _ min т max ±25 50 6 Температурный дрейф, нА/'0 С Дрейф от источника питания, нА/В Дрейф начального тока сдвига от температуры, нА/с Начальный ток сдвига, нА ±0,1 ±0,1 ±2,5 ±15 ±0,1 ±2,5 * * ±10 Сопротивление.Ом нФ Дифференциальное Синфазное Ю10 112 IO10 112 * * Напряжение, В: Диапазон, линейность КОСС, при разбалансе сопротивления ИСТОЧНИКОБ сигнала, кОм G = 1 дБ ТА ДО от Т т 1 max ±(!Vccl -2,5) . 80 * 94 90 94 (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ 123 INA102 Параметр Условия INA102AG мин. тип. INA102CG макс. мин. тип. макс. G = 10 дБ До 60 Гц G = 10 - 1000 дБ Шумы: Входное напряжение шума, мкВ L от 0,01 до 10 Гц Спектральная плотность при G = 1000, нВ/Гц1/2 f0 = Ю Гц f = 100 Гц fo = 1 кГц Шумы входного тока, пА f от 0,01 до 10 Гц Спектральная плотность, пА/Гц1'2 f0 = Ю Гц f = 100 Гц f° = 1 кГц Динамические характеристики Малосигнальная полоса пропускания по уровню ±3 дБ, кГц Vout = 0,lVrnls G = 1 G = 10 G = 100 G = 1000 Малосигнальная полоса пропускания при неравномерности 1 дБ, кГц Vou, = 0,lVfms G = 1 G = 10 G = 100 G = 1000 Полоса пропускная на полной мощности, кГц G от Г до 100 Vout = 10В, Rl = 10 кОм Скорость нарастания выходного сигнала, Vou = В, В/мкс Rl= 10 кОм Время установления,мкс для 0,1%, шаг 10 В R,=10 кОт, CL=100 пФ 80 80 2,4 0,15 100 100 0,1 30 25 25 25 0,3 0,2 0,15 300 30 3 0,3 30 3 0,3 0,03 3 0,2 - 90 90 * * * 100 100 * * * * * л * * * * * * * * * * (ВВ) SWJjMtS __ _ - _< .. , ' t ~
124 ГЛАВА 2 INA102 Параметр Условия INA102AG INA102CG МИН. тип. макс. мин. ТИП. макс. G = 1 G = 100 G = 1000 для 0,01%, шаг G = 1 G = 100 G = 1000 / 10 В 50 360 3300 60 500 4500 * * * * * Энергетические характеристики Напряжение питания, В Диапазон напряжений питания, В Потребляемый Vo=0 ток,3), мкА Т от Т ДО Т max ±3.5 ±15 ±500 18 ±750 * * * * * Т емпературный диапазон, ° С По спецификации Рабочий Rl>50 кОм При хранении -25 -25 -65 '+85 +85 +150 * * * * * * коэффициенты усиления, не Звездочкой отмечены значения парамет- ров, совпадающие с INA102AG. 1. Внутренние резисторы установки ко- эффициента усиления имеют абсолютное от- клонение ±20%, однако их взаимное рассог- ласование составляет 50 х 10“°/°С. Rq вно- сит дополнительную погрешность, если извне устанавливаются равные 1, 10, 100 или 1000. 2. Начальное напряжение сдвига всегда можно установись нулевым. 3. При повышении температуры выход- ной ток ограничивается. В случае необходи- мости можно использовать буферный выход- ной каскад. (ВВ) НЕОБЯЗАТЕЛЬНАЯ ПРОЦЕДУРА РЕГУЛИРОВКИ НАПРЯЖЕНИЯ СДВИГА Иногда желательно, чтобы входное и/или выходное напряжение сдвига было равно ну- лю, чтобы получить более высокую точность. Качество потенциометра будет влиять на ре- зультат, поэтому предпочтительны потенцио- метры с хорошей температурной стабильно- стью и механическими характеристиками. Способ балансировки напряжения сдвига показан на рис. 2.51. Регулировка R4 влияет только на напряжение сдвига входного кас- када. Отметим, что условие балансировки будет нарушено, когда изменится коэффици- ент усиления. Кроме того, дрейф входного каскада составит приблизительно 0,31 мкВ/°С на 100 мкВ подстраиваемого напря- жения входного сдвига. Поэтому, когда пред- полагается использование устройства в схе- мах управления, следует принять меры к ус- транению других источников напряжения сдвига. Скомпенсировать выходной сдвиг можно, подав через буферный усилитель на- пряжение относительно земли на вывод 10 ИС посредством элементов Ар Rp R2 и R3. Этот буфер ограничивает последовательное сопротивление в цепи вывода 10, что мини- мизирует ухудшение КОСС. Сопротивление
СХЕМЫ УСИЛИТЕЛЕЙ 125 более 0,1 Ом приведет к ухудшению ослаб- ления синфазного сигнала 'др уровня ниже 100 дБ. Необходимо сохранять это сопротив- ление низким. Важно не превышать динамический диа- пазон входного усилителя. Усиленный диф- ференциальный входной сигнал и его соот- ветствующее синфазное напряжение не дол- жны вызывать на выходе усилителей Ах и А2 напряжение более ±12 В при напряже- нии источников питания ±15 В, иначе ре- жим работы будет нелинейным. Для защиты от повышенной влажности, особенно при большом коэффициенте усиления можно ис- пользовать' изолирующий состав. Токи, про- текающие через выводы коррекции сдвига, необходимо минимизировать. INA102 Предполагается, что информация, приве- денная здесь, достоверна, однако фирма Burr-Brown не берет на себя ответственность за неточности или неполноту информации. Burr-Brown не несет ответственности за ис- пользование этой информации, потребитель пользуется ею исключительно на свой страх и риск. Цены и технические характеристики изменяются без уведомления. Никаких па- тентных прав или лицензий на любую опи- санную здесь схему третьей стороне не пре- доставляется. Burr-Brown не гарантирует дол- говечность изделий фирмы в составе уст- ройств и/или систем. ПРЕДЕЛЬНО ДОПУСТИМЫЕ ЗНАЧЕНИЯ Напряжение питания ±18 В Температура припоя Диапазон входного +v ~ VCC (пайка в течение 10 с) напряжения Продолжительность Рабочий температурный короткого замыкания диапазон от -25 до +85 ° С по выходу Температурный диапазон при хранении от -65 до +150 ° С ИНФОРМАЦИЯ ДЛЯ ЗАКАЗА +300 ° С Непрерывная на землю Номер основной модели изделия INA102 Код качественных параметров х А, С от -25 до +85 ° С код типа корпуса g G - 16-выводной, герметичный с двух- рядным расположением выводов INA102AG INA102CG НАЗНАЧЕНИЕ ВЫВОДОВ 1 - Регулировка сдвига 2 - Усиление х 10 3 - Усиление х 100 4 - Усиление х 1000 5 - Контроль усиления х 1000 6 - Контроль усиления 7 - Установка усиления 8 - Подстройка КОСС 10 - ойций 11 - Выход 12 - +vcc 13 - Фильтр 14 - Отрицательный вход 15 - Положительный вход 16 - Регулировка сдвига Рис. 2.48 (ВВ)
126 ' ГЛАВА 2 INA102 КОНСТРУКЦИОННЫЕ ХАРАКТЕРИСТИКИ Номера выводов приведены только для справки. Номера могут не маркироваться на корпусе ИС. Пайка на плату с допуском 0,25 мм по высоте. Поверхность печатной платы Размер, ММ Размер, ММ Мин. Макс. Мин. Макс. А 20,07 20,57 J 0,20 0,30 С 2,67 4,32 к 3,05 6,10 D 0,38 0,53 L 7,62 базовый F 1,22 1,52 М - 10 G 2,54 базовый N 0,64 1,52 Н 0,76 1,78 (ВВ) INA102 температуре +25 ° С , если не Приведенные ниже характеристики соответствуют рабочей оговорено иное. Зависимость КОСС от рассогласования сопротивлений источника сигнала Зависимость коэффициента усиления от частоты Зависимость КОСС от частоты (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ 127 INA102 Изменение входного напряжения сдвига от времени прогрева от Зависимость потребляемого тока напряжения источника питания Импульсная характеристика Зависимость времени установления сигнала от коэффициента усиления Зависимость амплитуды шумового напряжения от коэффициента усиления Зависимость шумового напряжения от частоты Рис. 2.49 (ВВ)
128 ' ГЛАВА 2 INA102 В ИС INA102 усиление 1, 10, 100 и 1000 программируется соответствующим под- ключением выводов. В схеме 2.50,а усиление равно 1, шунтирующие конденсаторы - тан- таловые. Эквивалентная схема измерительного усилителя Рис. 2.50 (ВВ) г^зг^£гщзп№кшнввпявм№№явапям^яииям1нвмтвяиивммяяащжав№Ж8зяяв SNA102 Подстраивается до получения на выходе напряжения не более tl5 мВ. Дополнительная балансировка напряжения сдвига Рис. 2.51 (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ 129 INA102 1. Подать на оба входа синфазное на- пряжение. 2. Отрегулировать потенциометр до полу- чения на выходе напряжения, близкого к нулю. Рис. 2.52 Дополнительная схема для внешней подстройки КОСС (ВВ) INAW2 ИС INA102 заменяет классический изме- рительный усилитель на трех ОУ. Усиление дифференциальных сигналов с резистивной мостовой схемы Рис. 2.53 (ВВ)
130 1 'ЛАВА 2 INA102 +15 В -О “out Y - один из выводов 2, 3 или 4. Ry ~ 4,4 кОм, 404 или 40 Ом для ко- эффициентов усиления 10, 100 или 1000 со- ответственно. Дрейф усиления будет выше, чем приве- денный в спецификации, только для внут- ренних резисторов. Усиление гальванически развязанного аналогового сигнала с использованием внешней установки коэффициента усиления (ВВ) Рис. 2.54 INA102 Изолированный усилитель термопары с компенсациией холодного спая Рис. 2.55 (ВВ)
СХЕМЫ УСИЛИТЕЛЕМ 131 INA102 Eout ~ 1 В (размах) к изолированному усилителю. Усилитель ЭКГ или предусилитель для записи биологических сигналов Рис. 2.56 (ВВ) INA102 Маломощный измерительный усилитель с однополярным источником питания Рис. 2.57 (ВВ)
132 ГЛАВА 2 INAW2 Вывод контроля усиления х.1000 не ис- пользуется для упрощения коммутации схе- мы. При использовании изолированного уси- лителя 3656 изолированный источник пита- ния не требуется. Прецизионный изолированный измерительный усилитель Рис. 2.58 <ВВ> INA102 Каналы 0 и 1 можно использовать для сдвига и калибровки коэффициента усиления автоматической балансировки напряжения соответственно. Многоканальный прецизионный измерительный усилитель с программируемым усилением Рис. 2.59 (ВВ)
СХЕМЫ УСИЛИТЕЛЕЙ IHA102 13 3 Защитные диоды - FDH300 (с малыми токами утечки). Измерительный усилитель на ИС INA102 и PGA102 с программируемым усилением Рис. 2.60 (ВВ) Передатчик сигнала измерительного моста от 4 до 20 мА на основе измерительного усилителя с однополярные источником питания Рис. 2.61 (ВВ)
134 ГЛАВА 2 INA102 ' ИС' INA102 правильно снимает и усиливает напряжение Vj- Компенсатор сопротивления заземляющего провода Рис. 2.62 (ВВ) INA102 Усиление вдвое больше, чем у одной ИС INA. Общее усиление 200. Усилитель с дифференциальными входом и выходом Рис. 2.63 (ВВ)
INA102 Управление SI S2 О Замкнут Замкнут 1 Разомкнут Разомкнут S3 S4 S5 Разомкнут Замкнут Замкнут Замкнут Замкнут Разомкнут Режим ) Лвто- / балан- сировка Усиле- ние сигнала Рис. 2.64 Схема измерительного усилителя с автоматической балансировкой (ВВ)
136 ГЛАВА 2 ДОПОЛНИТЕЛЬНАЯ ФИЛЬТРАЦИЯ ИС INA102 обеспечивает качественную фильтрацию с помощью одного внешнего конденсатора, включенного между выводами 11 и 13 ИС. Этот однополосный фильтр можно использовать для устранения шумов вне полосы частот сигнала, но при этом ухудшается ослабление синфазного сигнала по переменному току. Когда важно сохранить ослабление син- фазного сигнала по переменному току (осо- ДОПОЛНИТЕЛЬНАЯ ИС INA102 гарантирует высокий КОСС благодаря лазерной подгонке ее элементов при изготовлении. Однако в случае необхо- димости можно включить последовательно с выводом 10 небольшое сопротивление для повышения КОСС. В зависимости от харак- бенно на частоте 50 Гц), следует использо- вать два конденсатора. Дополнительный кон- денсатор подключается между выводами 8 и 10 ИС. При этом баланс импедансов в вы- ходном каскаде сохраняется. Один из этих конденсаторов при необходимости можно сделать подстроечным для получения макси- мального КОСС. Отметим, что согласование их отношения оказывает влияние на темпе- ратурную зависимость КОСС. РЕГУЛИРОВКА КОСС тера внутреннего рассогласования может по- требоваться либо положительное, либо отри- цательное сопротивление. Схема, показанная на рис. 2.52, работает в качестве биполярно- го потенциометра и позволяет легко' регули- ровать КОСС. ТИПИЧНЫЕ ПРИМЕРЫ ПРИМЕНЕНИЯ Измерительные усилители чаще всего ис- пользуются для усиления слабых дифферен- циальных сигналов от мостовых схем и та- ких преобразователей, как тензодатчики, термопары и термометры сопротивления. К важным параметрам относятся ослабление синфазного сигнала (дифференциальное по- давление синфазного напряжения смещения и шумов, см. рис. 2.50,а), входной импеданс напряжение сдвига и дрейф, стабиль- ность,коэффициента усиления, линейность и уровень шумов. Все это ИС INA102 обеспе- чивает с высокой точностью при на удивле- ние малом ' токе потребления. Однако при больших коэффициентах усиления (> 10) и при больших сопротивлениях источника сиг- нала (> 100 кОм) ток смещения может вы- звать значительное напряжение сдвига па выходе. Это может привести к насыщению выходного каскада, если сопротивление ис- точника сигнала не разделить, например, ис- пользуя на входе две цепи по 500 кОм вме- сто одной несбалансированной 1 МОм. Вход- ной ток сдвига, протекающий через резистор 500 кОм, будет в этом случае вносить лишь небольшое напряжение рассогласования. На рис. 2.53 - 2.59 показаны некоторые типовые схемы.
СХЕМЫ УСИЛИТЕЛЕЙ 137 УСИЛИТЕЛЬ ДЛЯ ГРОМКОГОВОРЯЩИХ СВЯЗНЫХ УСТРОЙСТВ ИС ТЕА7031 в 28-выводном корпусе с двухрядным расположением выводов специ- ально разработана для использования в каче- стве усилителя громкоговорителя. В ИС включены следующие узлы: Усилитель громкоговорителя. Система устранения акустической обрат- ной связи. Источник питания микрокомпьютера. Импульсный стабилизатор. Эти узлы электрически разделены и мо- гут использоваться независимо. Одним из примеров применения ИС является телефон- ный аппарат с громкоговорителем. В этой конфигурации ИС используется совместно с ИС ТЕА7030. УСИЛИТЕЛЬ ГРОМКОГОВОРИТЕЛЯ Напряжение питания от 2,5 до 7 В. Потребляемый ток менее 1,5 мА без вы- ходного сигнала. Большой размах выходного напряжения: 3 В на 50-омном громкоговорителе. Коэффициент усиления программируется линейно или ступенями по 6 дБ. Когда требуется выходная мощность больше, чем мощность источника питания, система АРУ во избежание искаисв^ний сни- зит усиление. ВЫСОКОЭФФЕКТИВНАЯ СИСТЕМА УСТРАНЕНИЯ АКУСТИЧЕСКОЙ ОБРАТНОЙ СВЯЗИ Настраивается в соответствии с механи- другие сигналы, предотвращая таким образом ческой обратной связью выключение усилителя в присутствии фоно- Оригинальная система различает речь и вых шумовых сигналов помещения. ИСТОЧНИК ПИТАНИЯ МИКРОКОНТРОЛЛЕРА Напряжение постоянного тока от 2 до Сигнал обнаружения вызова позволяет 5 В. использовать схему в качестве звонка теле- Имеются сигналы сброса и останова. фонного аппарата. ИМПУЛЬСНЫЙ СТАБИЛИЗАТОР Работает при малых напряжениях пита- ния. Если схема питается от высоковольтного источника, например, 24 В (такое напряже- ние обычно используется в случае приема сигнала вызова), обусловленный высоким входным сопротивлением схемы ток будет недостаточен для удовлетворительной работы схемы. В этом случае встроенный импульс- ный стабилизатор будет преобразовывать имеющееся высокое напряжение в низкое напряжение, например, 3 В и обеспечит ток, требующийся для высокоэффективной работы схемы. ИМПУЛЬСНЫЙ ИСТОЧНИК ПИТАНИЯ Позволяет использовать схему в качестве вызывного звонка. Преобразует высокое напряжение в силь- ный ток, необходимый для громкоговорителя и питания ИС. Таким образом, одна и та же схема может использоваться и как уси- литель речевого сигнала,, и как усилитель вызывного сигнала. Когда импульсный стабилизатор работает правильно и источник питания микроконтрл- лера удовлетворяет требованиям, схема пере- даст активный сигнал обнаружения вызова микроконтроллеру, заставляя его генериро- вать мелодичный сигнал, который в этом случае вырабатывается внутри ИС ТЕА7031 и поступает па громкоговоритель.
ТЕА7031 13 - Вход головного телефона 1 - База внешнего 14 - Питание микроконтроллера рпр~ транзистора [ 1 28 15 - Регулировка питания 2 - Вход стабилизатора [ 2 27 2 микроконтроллера _ напряжения С 3 26 3 16 - Общий 2 3 VZ1 [ 4 25 3 17 - Сброс 4 - Регулировка [ 5 24 3 18 - Останов 5 - Регулировка Vjjj С 6 23 19 - Вход вызывного сигнала 6 - Общий 1 о 22 20 - Выход громкоговорителя 1 7 - Фильтр АРУ Е g 9 20 h 21 ->Vref 9 - Микрофонный вход 1 С 10 19 22 - Выход громкоговорителя 2 10 - Микрофонный вход 2 с 11 18 ] 23 - Общий 3 11 - Фильтр подавления с 12 17 1 24 - Регулировка усиления 1 акустической с 13 16 ] 25 - Регулировка усиления 2 обратной связи 1 12 - Фильтр подавления акустической обратной связи 2 с 14 15 ] 26 - Включение/выключение 27 - Обнаружение вызова 28 - Вход источника питания вызова Назначение выводов Схема телефонного аппарата Рис. 2.66 (TH)
СХЕМЫ УСИЛИТЕЛЕЙ 139 УСИЛИТЕЛЬ ДЛЯ ОТКЛОНЯЮЩЕЙ СИСТЕМЫ ЭЛТ Для того, чтобы получить как можно бо- лее близкие к идеальным характеристики, при комплексной нагрузке, к сильноточному широкополосному ОУ L165 подключают ком- плементарный выходной каскад на ДМОП- транзисторах. ДМОП-транзисторы превосход- но изолируют нагрузку от источника тока ИС L165. Размах входного сигнала 0,5 В со- здает на выходе колебания амплитудой ±10 В при токе 8 А. Сигнал обратной связи снимается с заземленного резистора 0,06 Ом, включенного последовательно с отклоняющей катушкой. VN1210N1, VP1210N1 Рис. 2.67 (SU)
140 ГЛАВА 2 УСИЛИТЕЛЬ МОЩНОСТИ НИЗКОЙ ЧАСТОТЫ С ЭФФЕКТИВНОЙ МОЩНОСТЬЮ 125 ВТ Основные достоинства этой схемы - про- стота и малые габариты. Сдвоенный ОУ в режиме инвертирующего усилителя обеспечи- вает усиление 26 дБ и размах выходного сигнала ±19 В между выводами 1 и 7 при полосе частот 100 кГц для большого сигна- ла. Мостовой выходной каскад на ДМОП- транзисторах с каналами и- и р- типов обеспечивает ток более 7 А на нагрузке 4 Ом. Максимальная мощность, рассеиваемая транзистором, равна 40 Вт па устройство, что позволяет использовать корпуса типа ТО-3. Этот усилитель обеспечивает перегру- зочные характеристики как у лампового уси- лителя при малом коэффициенте нелиней- ных искажений. Кроме того, это устройство хорошо работает на постоянном и перемен- ном токе и в схемах с трансформаторной связью. VN1106N1, VP1206N1 Рис, 2.68 (SU)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ, СХЕМЫ СИНХРОНИЗАЦИИ, УМНОЖИТЕЛИ/ДЕЛИТЕЛИ Прецизионный генератор колебаний/ГУН Простейший генератор с насыщающимся сердечником Прецизионный квадратурный генератор Таймер 555 Таймер 556 Мощный таймер со сбросом Прецизионный программируемый лабораторный КМОП-таймер мощный интервальный таймер, программируемый микропроцессором Счетчики Четырехквадрантный аналоговый перемножитель Недорогой многофункциональный преобразователь
142 ГЛАВА 3 ПРЕЦИЗИОННЫМ ГЕНЕРАТОР КОЛЕБАНИЙ/ГУН Генератор колебаний ICL8038 представ- ляет собой монолитную ИС, способную про- изводить с высокой точностью синусоидаль- ные, прямоугольные, треугольные, пилообраз- ные и импульсные колебания при минимуме внешних компонентов. Частоту (или период следования) можно устанавливать внешним образом в диапазоне от 0,001 Гц до 300 кГц, используя резисторы или конденсаторы, а частотную модуляцию и качание частоты можно реализовать внешним источником на- пряжения. ИС ICL8038 производится по улучшеной мололитной технологии с исполь- зованием диодов Шотки и тонкопленочных резисторов, выходные характеристики ее ста- бильны в широком диапазоне температур и напряжений питания. Такие устройства мож- но включать в схемы ФАПЧ для снижения температурного дрейфа до менее 2,5 х 10"^ 1/°С. ХАРАКТЕРИСТИКИ Малый дрейф частоты в. зависимости от температуры 2,5 х 10"^ 1/°С. Независимые выходы синусоидальных, прямоугольных и треугольных колебаний. Малые искажения 1% (синусоидальный сигнал). Высокая линейность 0,1% (треугольный сигнал). Широкий диапазон частот от 0,001 Гц до 300 кГц. Регулируемый коэффициент заполнения от 2 до 98%. Высокий уровень выходных сигналов - от ТТЛ до 28 В. Простота в применении. Требуется лишь несколько внешних компонентов. Функциональная схема 3 «I 3 и ¥1 1 - Регулировка синусоиды 2 - Выход синусоиды 3 - Выход треугольного сигнала 4 - Регулировка коэффициента заполнения. 5 - Регулировка частоты 6 - V+ 7 - Смещение ЧМ 8 - Вход качания частоты ЧМ 9 - Выход прямоугольного сигнала 10 - Времязадающий конденсатор 11 - V- или общий. 12 - Регулировка синусоиды. 13 - Не подключен. 14 - Не подключен Назначение выводов (IN) Рис. 3.1
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 143 Определение терминов Напряжение питания (Vgupply^- Полное напряжение питания между выводами V+ и V-. ' Ток потребления. Ток, требуемый уст- ройству при работе от источника питания, за исключением токов нагрузки и токов, протекающих через резисторы Ед и RB- Диапазон частот. Диапазон частот для прямоугольного выходного сигнала, при кото- ром гарантируется работа схемы, fCL8038 Электрические характеристики Диапазон изменения частоты при ЧМ. Отношение максимальной частоты к мини- мальной, которое можно получить, прикла- дывая напряжение модуляции к выводу 8. Для правильной работы напряжение модуля- ции должно быть в диапазоне (2/3)VSUpp]y + 2 В < '''sweep ** ^supply (при Vsuppiy = ±10 В или ±20 В, ТА = +25 °C, RL = 10 кОм и не указано иное) тестовой схеме>если Обозна- Общие 8038СС 8038BC0JM) 8038АС(АМ) чение характе- мин. тип. макс. мин. тип. макс. мин. тип. макс. ристики '''supply Рабочий диапазон питания, В V+ Однополярный +10 +30 +10 30 +10 30 V+, V- Биполярный ±5 ±15 ±5 ±15 ±5 ±15 'supply Потребляемый ТОК ^supply = = ±10 В)2 8038АМ, ВЫ, мА 12 15 12 15 8038АС, ВС, СС, мА 12 20 12 20 12 20 Частотные характеристики (для всех форм колебаний) ^тах Максимальная частота колебаний, кГц 100 100 100 Частота качания Р по ЧМ-входу, кГц 10 Ю 10 Диапазон измерения ристики ЧМ"' 35:1 35:1 35:1 Линейность ЧМ (10:1), % ОД 0,2 0,2 Af/А'Г Дрейф частоты от температуры, 10 0/°С3 8038АС, ВС, СС от 0 до +70 °C 250 180 по 8038АМ. ВМ, от -55 до +125 °C 350 250 Рис. 3.2
ICL8038 Обозна- чение Общие характе- мин. ристики 8038СС тип. макс. мин. 8038ВС(ВМ) 8038АС(АМ) мин. тип. макс. тип. макс. Af/Av Дрейф частоты напряжения источника питания, %/В 0,05 0,05 0,05 Выходные характеристики: TOLK Прямоугольные колебания Ток утечки (Vg = 30 В), мкА Напряжение насыщения 1 1 1 ^sat «sink = 2 3 “А)’ В 0,2 0,5 0,2 0,4 0,2 0,4 . ‘г Время нарастания (R^ = 4,7 кОм), нс 180 180 180 V Время спада, (Rl = 4,7 кОм), нс 40 40 40 Ad Регулировка коэффициента заполнения, % 2 Треугольные, пилообразные, ступенчатые колебания 98 2 98 2 98 vtri Амплитуда х V ] (Rlrj = 100 кОм) 1 0,30 0,33 0,30 0,33 0,30 0,33 zout Линейность Выходной импеданс, 0,1 0,05 0,05 «out = $ мА), «м Амплитуда х V ] 200 200 200 V • vsine (R = 100 кОм) 0,2 0,22 0,2 0,22 0,2 0,22 THD Искажения, % (Rs = 1 МОм)4 * 2,0 5,0 1,5 3,0 1,0 1,5 THD Искажения, % регулировки 1,5 1,0 0,8 2 Токи через Ид и RB не учитываются. 3 VsupDly = 20 В. «А = «В “ 10 кОм- f ~ 10 кГц (номинальная); можно расши- рить до 1000:1. 4 Между выводами 11 и 12 подключен резистор 82 кОм. Коэффициент заполнения для треугольного напряжения установить 50%. (Используйте Ед и RB.) 5 Выводы 7 и 8 соединены, Vsu__|v = = ±10 В. Рис. 3.2 ЧМ-линейность. Относительное отклоне- ние от прямой, лучше всего аппроксимирую- щей зависимость выходной частоты от уп- равляющего напряжения. Амплитуда выходного сигнала. Полный размах сигнала, получаемого на выходе. Напряжение насыщения. Выходное на- пряжение на коллекторе транзистора Q23 ИС, когда он открыт. Измеряется при втека- ющем токе 2 мА. Время нарастания и спада сигнала. Время, необходимое для изменения выходно- го сигнала от 10 до 90% или от 90 до 10% его установившегося значения. Линейность треугольного напряжения. Относительное отклонение от прямой, наибо- лее близкой к возрастающему и спадающему колебанию треугольной формы. Суммарные нелинейные искажения. Сум- марные нелинейные искажения на выходе синусоидального сигнала.
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 145 ICL8038 Условия тестирования Параметр R*. кОм «В- кОм Rl, кОм Ср нФ Ключ SW1 Измерение Ток потребления 10 10 10 3,3 Замкнут Ток в выводе 6 Диапазон изменения ЧМ^ 10 10 10 3,3 Разомкнут Частота, вывод 9 Температурный дрейф частоты Дрейф частоты от напряжения 10 10 10 3,3 Замкнут Частота, вывод 3 питания^ 10 10 10 3,3 Замкнут Частота, вывод 9 Выходная амплитуда4 синусоида 10 10 10 3,3 Замкнут Размах сигнала на выходе 2 треугольный сигнал 10 10 / 10 3,3 Замкнут Размах сигнала на выводе 3 Ток утечки (выключен)3 10 10 3,3 Замкнут Ток в выводе 9 Напряжение насыщения (включен)3 Времена нарастания 10 10 3,3 Замкнут Напряже- ние на выводе 9 и спада5 10 10 4,7 3,3 Замкнут Форма колебаний на выводе 9 Регулировка коэффициента заполнения5 Максимальная 50 1,6 10 3,3 Замкнут Форма колебаний на выводе 9 Минимальная 25 50 10 3,3 Замкнут Форма колебаний на выводе Линейность треугольного напряжения 10 10 10 3,3 Замкнут Форма колебаний на выводе 3 (JN) Рис. 3.3
глава з ICL8038 Параметр RA, RB, кОм кОм Суммарные нелинейные искажения 10 10 RL, кОм Cl, и® Ключ SW1 Измерение 10 3,3 Замкнут Форма колебаний на выводе 2 Верхнюю и нижнюю частоты можно получить, соединив выводы' 8 и 7 <fysj) и вывод 8 с выводом 6. Можно подать напря- жение качания частоты на вывод 8 ((2/3)VSUppjy + 2 В < V^gp < V SUppiy), гае V j - полное напряжение питания. 2 fo*B < V+ <30 В или ±5 В< —Vsupply — — В. 3 Генератор можно отключить, подав на вывод 10 напряжение +5 В или -5 В. & Выходная амплитуда проверяется в статике подачей на вывод 10 напряжения +5 Я, затем -5 В. 5 Не контролируется; только для разра- боток. Схема тестирования Рис. 3.3 (IN)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 147 ICL8038 Возможные схемы подключения внешних времязадающих резисторов Рис. 3.4 (IN) аияшяе ICL8038 Схема, обеспечивающая минимальные искажения синусоидального сигнала Рис. 3.5 (IN)
148 главаз ммн»яппхаткиявмиаинмга1иянпювааяаваакяяяжяннм1 ICL8038 Схемы для частотной модуляции (а) и качания частоты (б) (IN) ипяажлвтляая Рис. 3.6. ввмвптшятавявааанвмшжжз ICL8038 Стробируемый генератор тональных посылок Перестраиваемый генератор НЧ от 20 Гц до 20 кГц Рис. 3.7 (IN)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, счетчики 149 ICL8038 Линейный ГУН Рис. 3.7 (IN) ICL8038 Вход/ГУН Усилитель Фазовый детектор Коэфф. Сдвиг ЧМО- Выход прямоугольного колебания "Де моду л и ровен ны й 19 ICL8038 2 -OV Выход треугольного сигнала' -о лд Выход синусоидальное о сигнала сигнал —очм R. Вход I качания* частоты ЧМ 11 12 Регулировка синусоиды ФНЧ Времяза- гг^дающий конденсато| Регулировка синусоиды —.—о V Общий Функциональный генератор, используемый в качестве стабильного ГУН в петле ФАПЧ Рис. 3.8
150 ГЛАВА 3 TL071, TL072, ТАА761С Генератор импульсов Резисторы, отмеченные звездочками, под- бираются для получения симметричного сиг- нала. Квадратурный генератор Рис. 3.9
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ Высокочастотный ГУН с диапазоном две декады Рис. З.Ю (ТН)
152 ГЛАВА 3 ПРОСТЕЙШИЙ ГЕНЕРАТОР С НАСЫЩАЕМЫМ СЕРДЕЧНИКОМ Несложные генераторы с минимумом компонентов и преобразователи встречаются в портативных многоканальных источниках питания и электролюминесцентных или све- тоотражающих ЖКИ. Использование транзи- сторов серии VP01 и VN01 устраняет необ- ходимость в обычных базовых обмотках на трансформаторе Т1 и сопутствующих- компо- нентов, необходимых в схемах на биполяр- ных транзисторах. При включении схема благодаря положи- тельной обратной связи принимает устойчи- вое состояние и остается в нем до тех пор, пока трансформатор не достигнет состояния насыщения. Как только трансформатор насы- щается, ток, протекающий через резистор 10 Ом, резко возрастает до тех пор, пока напряжение питания усилителя не упадет ниже порогового напряжения полевого тран- зистора. Как только МОП-трапзистор начнет закрываться, исчезновение магнитного поля в трансформаторе быстро перебросит эту схему в другое стабильное состояние. Двухтранзисторная схема из четырех, компонентов для устройств большей мощно- сти еще проще. VN0104N3, VC0106N6 Рис. 3.11
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 153 ПРЕЦИЗИОННЫЙ КВАДРАТУРНЫЙ ГЕНЕРАТОР ИС 4423 в качестве квадратурного гене- ратора на частоту 20 кГц не требует ника- ких внешних компонентов. Схема включения приведена на рис. 3.12. Для управления частотой в диапазоне от 2 до 20 кГц схема подключения показана на рис. 3.13. Сопротивление резистора R можно вычислить по формуле R = 3,785 f/(42,05 - 2 f), где R - в килоомах, f - в килогерцах. Для генератора с частотами ниже 2 кГц следует использовать два одинаковых конден- сатора и два одинаковых резистора, как ре- комендовано на рис. 3.14. Схему, представ- ленную на рис. 3.14, можно использовать в генераторах на частоты от 0,002 Гц до 20 кГц. Частоту можно вычислить из выражения f = 42,05 R/(C + 0,001X3,785 + 2R), где f - в герцах, С - в микрофарадах, R - в килоомах. Лучше всего выбрать емкость конденсато- ра из табл. 3.1 в соответствии с нужным диапазоном частот. После выбора конденсатора необходимое для получения нужной частоты сопротивле- ние резистора можно найти из графика на рис. 3.15 или по формуле R « 3,785f(C + 0,001)/[42,05 - -2f(C + 0,001)], где R - в килоомах, f - в герцах и С - в микрофарадах. Квадратурный генератор на частоту 20 кГц Рис. 3.12 опнякавш 4423 Квадратурный генератор, программируемый резистором Программируемый квадратурный генератор на частоту 0,002 Гц Рис. 3.13 (ВВ) Рис. 3.14 (ВВ)
4423 График для выбора резисторов Рис. 3.15 (ВВ) •явяж Таблица 3.1. Номиналы конденсаторов, соответствующие диапазону частот f 20-2 кГц 2 - 0,2 кГц 200 - 20 Гц 20 - 2 Гц с 0 10 нФ 0,1 мкФ 1 мкФ f 2 - 0,2 Гц 0,2 - 0,02 Гц 0,02 - 0,002 Гц с 10 мкФ 100 мкФ 1000 мкФ Схему можно нагружать на два ТТЛ- или ДТЛ-входа.
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 155 LM111 Несинхронизированный мультивибратор на частоту 100 кГц Рис. 3.16 (TH) LM101A, LM201A, LM3C1A Генератор синусоидального сигнала 10 кГц Низкочастотный генератор прямоугольных колебаний Рис. 3.17
ГЛАВА 3 156 СХЕМА ТАЙМЕРА 555 Монолитная ИС таймера 555 представля- ет собой высокостабильное устройство, позво- ляющее получать точные временные задерж- ки или стабильные колебания. Дополнитель- ные выводы ИС обеспечивают запуск или сброс схемы, если это необходимо. В режи- ме временной задержки временной интервал точно определяется номиналами внешних ре- зистора и конденсатора. При работе в режиме несинхронизиро- ванного генератора частота собственной гене- рации и коэффициент заполнения точно ус- танавливаются двумя внешними резисторами и конденсатором.' Схема может запускаться и сбрасываться спадающим сигналом, выходной каскад мо- жет работать с токами до 200 мА или уп- равлять ТТЛ-схемами. ОСОБЕННОСТИ Выдержка времени в диапазоне от не- скольких микросекунд до нескольких часов. Работа в режимах одновибратора и не- синхронизированного генератора. Регулируемый коэффициент заполнения. Втекающий и вытекающий выходной ток 200 мА. Температурная стабильность 0,005%/° С. NE55r>, SE555 Информация для заказчика Имеются изделия с большими отклонениями. Тип Температурный диапазон Тип корпуса DP DG FP Н NE555 - От 0 до +70°С X X X X SE555 От -55 до +125 ° С X X X NE5551 От -40 до +85 ° С X X Пример заказа: NE555DP, NE555IDG СВ-98 СВ-342 Предельно допустимые значения Напряжение источника питания В 18 Выходной ток 10щ, мА Рассеиваемая мощность Р1о«, мВт Рабочий температурный диапазон T0Der, °C SE555 1 200 600 От -55 до +125 NE555 От 0 до +70 NE5551 От -40 до +85 Температурный диапазон при хранении Tgtg, °C От -65 до +150 1 - Общий 2 - Запуск 3 - Выход 4 - Сброс 5 - Управляющее напряжение 6 - Порог 7 - Разряд 8 - VCC Расположение выводов (вид сверху) Рис. 3.18 (ТН)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 157 NE555, SE555 Принципиальная схема Разряд t Выход Рис. 3.18 (TH)
158 Электрические характеристики при Тат^ = +25 ° С, 4qq от +5 до +15 В (если не указано иное) ГЛАВА 3 SE555 NE555, Е5551 МИН. ТИП. макс. МИН. ТИП. макс. Напряжение питания Vqq, В Потребляемый ток (Ry =оо)* 1гг,мА 4,5 18 4,5 16 Выход 0, Vqq = +5 В VCC = +1^ “ 3 5 3 6 10 12 10 15 Выход 1, Vqq = +5 В Временная погрешность 2 2 (одновибратор) (RA = 1 - 100 кОм, С = 0,1 мкФ) Начальная точность2, % 0,5 2 1 Дрейф от температуры, ю-6 1/°С Дрейф от напряжения 30 100 50 питания, % /В Временная погрешность (мультивибратор) (Ra, RB = 1 - 100 кОм, 0,05 0,2 0,1 С = 0,1 мкФ, Vpr = +15 В) Начальная точность2, % 1.5 2,25 Дрейф от температуры, ю-6 1/°С Дрейф от напряжения 90 150 питания, %/В Уровень управляющего напряжения Vqq, В 0,15 0,3 Vcc = ® 9,6 10 10,4 9 10 11 V(3C = ® Напряжение порога V^, В 2,9 3,33 4 2,6 3,33 4 Vcc = ® 9,4 10 10,6 8,8 10 11,2 vcc = +5 ,в 2,7 3,33 4 2,4 3,33 4,2 Ток порога3 Itjj, мкА Напряжение запуска Vtri_, мкА 0,1 0,25 0,1 0,25 VCC = +15 в 4,8 5 5,2 4,5 5 5,5 Vcc = В Ток запуска (Vtrig - 0 В) 1,45 1,67 1,9 - 1,1 1,67 2,2 Ijrig, мкА Напряжение сброса* Vreset, В 0,5 0,9 0,5 2,0 0,4 0,7 1 0,4 0,7 1 Ток сброса Ireset, мА ''reset = 0’4 ® 0,1 0,4 -0,1 0,4 ''reset = 0 В Выходное напряжение 0,4 1 0,4 1,5 НИЗКОГО УРОВНЯ Vq£, В VCC = +15 в’ rsink = 10 ЧА 0,1 0,15 0,1 0,25 ^sink = ^0 мА 0,4 0,5 0,4 0,75 ^sink s 2,0 2,2 2,0 2,5 Tsink = 200 мА 2,5 2,5 VCC = +5 в’ Csink = 8 мА 0,1 0,25 0,3 0,4 ^sink = $ мА 0,05 0,2 0,25 0,35 Выходное напряжение ВЫСОКОГО УРОВНЯ Vqjj, В Vqq — +15 В,
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 159 SE555 NE555, Е5551 МИН. ТИП. макс. МИН. ТИП. макс. -source ~ 200 мА. 12,5 12,5 , = 100 мА vcc == В, 13,0 13,3 12,75 13,3 ^source ~ 1®® Ь<А Ток утечки вывода разряда, высокий выходной 3 3,3 2,75 3,3 уровень Idig foff), нА Напряжение насыщения вывода разряда5 Vdis (saip мВ VCC = +15 в’ Edis = 13 мА VCC = +4=5 В’ Irfis = 4,5 мА 1 100 I 100 150 180 70 100 80 200 Время нарастания выходного сигнала tr, нс Время спада 100 100 200 100 300 выходного сигнала ip нс 200 100 300 1 Ток, потребляемый от источника пита- ния, при высоком уровне на выходе обычно меньше 1 мА. Измеряется при VjqC = +5 и +15 В. 3 Этот ток будет определять максималь - ное значение Кд + Rg; при работе от +15 В суммарная величина R не должна превышать 20 МОм. 4 Указывается при высоком уровне на вхо^ запуска. “ Никакой запщты от чрезмерного тока через вывод 7 не требуется, значение рассе- иваемой корпусом мощности не будет превы- шено. , Минимальная длительность импульса, необходимая для запуска Зависимость потребляемого тока от напряжения питания (THJ
NE555, SE555 Зависимость высокого уровня выходного напряжения от втекающего выходного тока Зависимость низкого уровня выходного напряжения от втекающего выходного тока Зависимость низкого уровня выходного напряжения от вытекающего выходного тока Зависимость низкого уровня выходного напряжения от втекающего выходного тока
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 161 Относительное время задержки NE555, SE555 Зависимость времени задержки от температуры Зависимость времени задержки от напряжения питания Зависимость времени распространения от амплитуды запускающего импульса (ТН)
162 ГЛАВА 3 NE555, SE555 СТАНДАРТНЫЕ СХЕМЫ ВКЛЮЧЕНИЯ Режим одновибратора
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 163 NE555, SE555 СВ-11 1ММКЯ SEMICONDUCTORS СВ-11 (ТО-99) Н - металлический корпус СВ-11 (ТО- 99) СВ 98 DG - керамический корпус СВ-98 DP - пластмассовый корпус СВ-98 (TH)
164 ГЛАВА 3 NE555, SE555 СВ-342 FP - миниатюрный пластмассовый пус СВ-342 кор- (TH)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 165 СДВОЕННЫЙ ТАЙМЕР 556 ИС сдвоенного таймера NE556/SE556 представляет собой высокостабильное устрой- ство, позволяющее получать стабильные вре- менные задержки или непрерывную генера- цию. ИС NE556/SE556 представляет собой два таймера 555. Оба таймера работают незави- симо друг от друга, имея лишь общие выво- ды питания и земли. При работе в качестве несинхронизиро- ванного генератора частота собственных ко- лебаний и коэффициент заполнения точно устанавливаются двумя внешними резистора- ми и конденсатором. Схема может запускаться и сбрасываться спадающим сигналом; выходной каскад мо- жет работать с токами до 200 мА или уп- равлять ТТЛ-схемами. Особенности Заменяет два таймера NE555/SE555. Выдержка времени от нескольких микро- секунд до нескольких часов. Работа в режиме одновибратора и несин- хронизированного генератора. Регулируемый коэффициент заполнения. Втекающий и вытекающий выходной ток 200 мА. Температурная стабильность 0,005%/° С. NE556, SE556 Тип изделия Температурный диапазон Тип корпуса DP DG FP NE556C SE556M От 0 до +70 °C От -55 до +125 °C X X X X Примеры заказа: NE556CDP, SE556MDG ИНФОРМАЦИЯ ДЛЯ ЗАКАЗЧИКА Имеются изделия с большими отклонени- ями 1 - Разряд 2 - Порог 9 - Выход 10 - Сброс 3 - Управляющее напряжение 11 - Управляющее напряжение 4 - Сброс 12 - Порог 6 - Триггер 13 - Разряд 7 - Общий 14 . \'qq 8 - Триггер Расположение выводов (вид сверху) (ТН)
166 ГЛАВА 3 NE556, SE556 Напряжение источника питания Усс> В +18 Выходной ток 10щ, мА 200 Рассеиваемая мощность Р(ф, мВт 600 Рабочая температура окружающей среды Торег, °C SE556 От -55 до +125 NE556 От 0 до +70 Температурный диапазон при хранении Tsl„, °C От -65 до +150 Предельно допустимые значения [г] Порог Управляющее напряжение Запуск Принципиальная схема ' (1/2 NE556) 1, 13 - Разряд 2, 12 - Порог 3, 11 - Управляющее напряжение 4, 10 - Сброс 5, 9 - Выход б, 8 - Запуск 7 - Общий 14 - VCC Цоколевка ИС, корпус СВ-2 (TH)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 167 NE556, SE556 Электрические характеристики при Tamb = +25 °C, V от +5 до +15 В (если не указано иное) Мин. SE556 Тип. Макс. Мин. NE556 Тип. Макс. Напряжение питания VcC’ В Потребляемый ток 4,5 18 4,5 16 Rj^oo)1 мА Низкий уровень VCC = +5 В 6 10 6 12 VCC = +15 В Высокий уровень 20 24 20 30 VCC = +s в Временная погрешность (одновибратор) Ед от 1 до 100 кОм, 4 4 С = ОД мкФ Начальная точность2, % 0,5 1,5 0,75 Дрейф от температуры, КУ6/° С Дрейф от напряжения 30 100 50 питания, %/°С Временная погрешность (мультивибратор) R^, Rg от 1 до 100 кОм, С = 0,1 мкФ, Vcc, = +15 В Начальная точность2, % 0,05 0,2 0,1 1,5 2,25 Дрейф от температуры, 10’б/°С Дрейф от напряжения 90 150 питания, %/В 0,15 0,3 Уровень управляющего напряжения В VCC = +15 в 9,6 10 10,4 9 10 11 vcc ~ ® Напряжение порога V^, В 2,9 3,33 3,8 2,6 3,33 4 Vcc = +15 В 9,4 10 10,6 8,8 10 11,2 vCc = +5 в 2,7 3,33 4 2,4 3,33 4,2 Ток порога3 Ifh , мкА Напряжение запуска VtriR, В 0,1 0,25 0,1 0,25 Vcc = +15 в 4,8 5 5,2 4,5 5 5,5 VCC = +5 В Ток запуска (V^ = 0) 1,45 1,67 1,9 1Д 1,67 2,2 W мкА , д Напряжение сброса Vresep В Ток сброса мА 0,5 0,9 0,5 2 0,4 0,7 1 0,4 0,7 1 Vreset = °’4 В 0,1 0,4 0,1 0,4 Vreset - 0 В 0,4 1 0,4 1,5 (TH)
168 ГЛАВА 3 NE556, SE556 Мин. SE556 Тип. Макс. Мин. NE556 Тип. Макс. Выходное напряжение низкого уровня Vq£, В 0,15 0,5 0,1 0,4 0,25 0,75 VCC = +15 В’ Tsink = 10 мА Isink = 50 мА Isink = 100 мА Isink = 200 мА 0,1 0,4 2,0 2,25 2 2,75 2,5 2,5 0,4 VCC = +S В> rsink = 8 мА Isink = 5 мА 0,1 0,25 0,3 0,05 0,2 0,25 0,35 Перепад выходного напряжения (высокий уровень) VOH, В ^СС ~ +15 В, Isource ~ 12,5 12,5 Source ~ мА 13 13,3 12,75 13,3 VCC = +5 в’ Source = 100 wA Ток утечки разряда 3 3,3 2,75 3,3 (выводы 1 и 13) Idis (off), нА Напряжение насыщения - разряда (выводы 1 и 13) 1 100 1 100 ^dis (sat)’ м®6 VCC = +15 В, Ц = 15 мА 150 180 Vcc ~ В’ I? = ^>5 мА 70 100 80 200 Время иарастания выходного сигнала tj., нс Время спада выходного 100 200 100 300 сигнала tp нс Взаимное согласование5 100 200 100 300 начальная точность2, % 0,5 1 1 2 Дрейф от температуры, 10-6/°С Дрейф от напряжения ±10 ±10 питания, % /В 0,1 0,2 0,2 0,5' 1 Ток, потребляемый, когда на выходе высокий уровень, обычно менее 1 мА при VCC = +S в- * Проверяется при Vqq = +5 и +15 В. 3 Определяет максимальное значение ®А + ЬВ ПРИ Работе от +15 В. Максималь- ное значение R = 20 МОм. 4 Указывается при высоком уровне на входе запуска. 5 Характеристики взаимного согласования относятся к разности точностных характери- стик каждой секции таймера. 6 Никакой защиты от чрезмерного тока через выводы 1 и 13 не требуется. Значение мощности, рассеиваемой корпусом, не будет превышено. (TH)
Минимальная амгшйтуда импульса запуска ( х Vcc) Напряжение питания, В Минимальная длительность импульса, необходимая для запуска Зависимость высокого уровня выходного напряжения от выходного вытекающего тока Зависимость низкого уровня выходного напряжения от выходного втекающего тока Зависимость низкого уровня выходного напряжения от выходного втекающего тока Зависимость низкого уровня выходного напряжения от выходного втекающего тока __________ ' _____(ТН)
ГЛАВА 3 NE556, SE556 Минимальная амплитуда импульса запуска, В Зависимость выходной задержки распространения сигнала от амплитуды запускающего импульса Зависимость выходной задержки распространения сигнала от амплитуды запускающего импульса I Зависимость напряжения на разрядном транзисторе (выводы 1, 13) от втекающего тока I Зависимость напряжения на разрядном транзисторе (выводы 1, 13) от втекающего тока (TH)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 171 NE556, SE556 Н= 0.693 Яд.С t2 = [(ВА вв) / (Вд + ВВ)]С In 2Ra! 2Rb “ ra Широтно-импульсный модулятор (ШИМ) Генератор с 50%-ным коэффициентом заполнения Первый таймер используется в качестве одновибратора и определяет продолжитель- ность посылки после срабатывания его от положительного импульса на выводе 6. Вто- рой таймер запускается высоким уровнем от одновибратора. Он включен по схеме муль- тивибратора и определяет частоту тональной посылки. Генератор тональных посылок (ТН)
172 ГЛАВА 3 (аанвиимпя^мамнот NE556, SE556 Режим одновибратора t2 ------ tl - 0.693 (НА +Нц)С Высокий уровень __----на выходе *—----t2 =0.693 НВС Низкий уровень на выходе DP - пластмассовый корпус СВ-2 ТО-116 , DG - керамический корпус СВ-2 ТО-116
, SE556 СВ-511 FP- миниатюрный пластмассовый корпус СВ-551
174 ГЛАВА 3 ICM7242 Ч» Ч» Ч» *Чю Для запуска цикла нажать S 1 Следует подобрать RC для получения желаемого времени включения в каждом таймере ICM7242. ИС используется в управлении процесса- ми, в автоматике, электропневматических приводах, и др. Запускающий импульс должен быть ко- роче, чем время включения. РнС. 3.20 Последовательный таймер (IN) ЯШ ICM7242 в качестве счетчика пульсаций (делителя) Низкочастотный генератор опорной частоты Рис. 3.21 (IN)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 175 ICM7242 Выход 2 {вывод 2} —Выход 128/256 (вывод 3) (режим мультивибратора) —Выход 128/256 (вывод 3) (режим одновибратора) Вход запуска (вывод 6) Выход временной базы (вывод 8) Выход Формы колебаний на выходах ICM7242 Вывод 6 Выход временной базы 111111Г ^|| I^Ь|ВОД® Запуск Выход ГТ Вывод 3 Режим одновибратора Рис. 3.22 (IN) ICM7242 4-Э/2-разрядный ЖК-индика'Ор 1VOD 1ППП п /оооо 5ВР 36 35 34 33 32 31 30 29 28 открытый сброс Пауза 29 сегментов 2.3,4 6-27 37-40 ICM7224A Нормально Необяза тельный !0- -220 пф часы/минуты Минуты/секунды 22 пФ 2 3 4 5 6 7КМ72138~ 14-1 13- .12- 11 - 10 9 1N4148 ., .0,1 мкФ ts=35 0мл=4,194304 МГц - 5в+ Прецизионный таймер с двухчасовой выдержкой Рис. 3.23 (IN)
176 ГЛАВА 3 МОЩНЫЙ ТАЙМЕР СО СБРОСОМ Отключение нагрузки в цепи постоянного тока по истечении заданного времени реали- зуется просто, если использовать МОП-тран- зисторы VP1220 с максимальным током за- твора 100 нА и широкой областью безопас- ной работы. Таймер включается при замыка- нии ключа SW1 и напряжении на затворе -15 В. С1 совместно с R3 определяет скоро- сть спада напряжения на затворе и времен- ной интервал, в течение которого нагрузка включена. Конденсатор разрядится, когда D1 (с малыми токами утечки) проводит, если ключ SW1 разомкнуть во время отработки временного интервала.- Включение терморезистора с линейной характеристикой последовательно с R1 повь/. сит точность в широком диапазоне условий эксплуатации. VP1220N1 +150 В Пуск -А о Быстрое 3 часа SW1 / отключение Общий О------*------------------ VP1220N1 Г-- Нагрузка [60 Вт I Рис. 3.24 (SU)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 177 ПРЕЦИЗИОННЫЙ ПРОГРАММИРУЕМЫЙ ЛАБОРАТОРНЫЙ КМОП-ТАЙМЕР (О - 99 С/МИН) ИС ICM7250 удобно применять в качест- ве лабораторного таймера для индивидуаль- ной сигнализации об окончании установлен- ного интервала времени. Собранный по схеме, приведенной на рис. 3.25, таймер может точно отрабатывать предварительно установленный временной интервал от 0 до 99 с или от 0 до 99 мин. 5-В зуммер сигнализирует оператору об окончании временного интервала. Схема работает следующим образом. Сначала с помощью переключателя S1 выби- рается временная база (секунды или мину- ты), затем двумя кнопочными поворотными переключателями S4 и S5 устанавливается необходимое значение в диапазоне от 0 др 99. Наконец, нажатием кнопки S2 запуска- ется таймер. Одновременно сбрасывается схе- ма делителя частоты, управляемая кварце- вым резонатором, запускается ИС ICM7250 и начинается счет. ICM7250 считает до тех пор, пока не будет достигнуто предваритель- но установленное значение, после чего она сбрасывается, на выводе 10 ИС CD4082B появляется разрешающий сигнал и включает- ся зуммер. Отключается зуммер нажатием кнопки S3. JCM7240, ICM7250, ICM7260 (IN) вяв Рис. .3.25
178 ГЛАВА 3 МИКРОМОЩНЫЙ ИНТЕРВАЛЬНЫЙ ТАЙМЕР, УПРАВЛЯЕМЫЙ МИКРОПРОЦЕССОРОМ Программируемый двоичный КМОП-тай- мер ICM7240, дополненный несколькими не- дорогими ИС серии CD4000, может функци- онировать в качестве недорогого реле време- ни, управляемого микропроцессором. Устройство, показанное на рис. 3.26, ра- ботает следующим образом. Микропроцессор выдает на свою шину ввода-вывода 8-бито- вый двоичный код (двоичный код требуется для программирования ИС ICM7240), сопро- вождаемый четырьмя импульсами записи WRITE, поступающими на десятичный счет- чик CD4017B. Первый импульс сбрасывает 8-битовый регистр-защелку, второй стробиру- ет двоичный код в этот регистр, третий за- пускает ИС ICM7240, активизируя ее цикл выдержки времени, и четвертый сбрасывает десятичный счетчик.. Затем ICM7240 отрабатывает временной интервал, определяемый параметрами RC-це- почки, подключенной к выводу 13, и пред- варительно установленным двоичным кодом на выводах 1 - 8. По окончании запрограм- мированного временного интервала срабаты- вает одновибратор сигнала прерывания, ин- формируя микропроцессор о том, что запрог- раммированный временной интервал окончен. С резистором 10 МОм и конденсатором 0,1 мкФ время цикла ICM 7240 равно 1 с. Таким образом, микропроцессор может за- программировать временной интервал от 1 до 225 с и, изменяя величины R и С, можно установить большее или меньшее вре- мя цикла. ICM7240, ICM7250, ICM7260 R, 15к 10 мкс Одновибратор сигнала прерывания ,001мк9 Прерывание к микропроцессору |1/гС[)4001В ИС040011 по завершении времени работы Сброс Ю Запуск^ 10 8 7 Программируемый двоичный КМОП-таймер ICM72401PE 21 34 9|8 Четыре ключа 13 12 211131419 1М 9,1М ИЗ’ 16 0,1 . мк<Р С, Четыре ключа 13 12 6 5 4 3 2 1 5 6 5 6 Запуск 5 7 9 11 17 19 21 23 .Сброс Строб-им пульс Запуск Сброс Сигнал записи от 1ДС040001В микропроцессора импульс 8-битовый регистр-защелка 3,15 3апРет Сброс ЦЗ Строб-2,14 Сброс = 13 4г Десятичный счетчик СЗР 10 16 18 20 22 МЗР 4 6 8 8-битовая шина микропроцессора Рис. 3.26 (IN)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 179 ICM7226 А/В Юк Вход А II ' ~°Va> Voo 39 пФ_________ 9 Г ашение Проверка индикатора индикатора 7 1 Отпирающий , сигнал г внешнего [Di генератора Нт ЮОк Захват Р. £8_Е (хранение) Диоды 1N 914 ,—cfo-o. ч ей; Ей ЙЗ ЕЯ ей ЕЯ RS Ей Ей 31 Ей f®l *1 <г а Вход В ICM7226 Юк Di, А ___, Кварц 10 МГц 3^фгМ#39пФ п ' у у Вход внешнего ----------О генератора а dS Переполнение Типичные параметры кварцевого резонатора: ~ 22 пФ, Rg ~ 35 Ом. — Ds д’ Сброс 8 мкф! Универсальный счетчик на частоту до 10 МГц Рис. 3.27 (IN) ICM7226 А/В ТОк Выход записи Вход хранения Ключ Режим S1 S2. Однократное измерение S3 Открыт - Замкнут - Активизация нового цикла измерения Замкнут - Вход хранения
ГЛАВА 180 яяпамвммяямнвпнпв ICM7226 A/В Измеритель частоты и периода до 100 МГц Рис. 3.28 ('N> Если использовать кварцевый резонатор на частоту 2,5 МГц, то диод D1 и ИС 1 и 2 можно исключить. Рнс. 3.29 Измеритель периода и астоты до 40 МГц (IN)
181 ICM7226A/B Многофункциональный счетчик на частоту до 100 МГц Рис. 3.29 (IN)
182 ГЛАВА’ СЧЕТЧИКИ На рис. 3-30 приведена схема чрезвы- чайно простого счетчика, который можно ис- пользовать, к примеру, для дистанционного подсчета числа включений нагрузки. Блок питания содержит три или четыре никель- кадмиевых перезаряжаемых аккумулятора (номинальное напряжение 3,6 или 4,8 В). Если в схеме используются четыре 1,5-воль- товых элемента, рекомендуется включить по- следовательно С блоком питания диод, гаран- тирующий, что напряжение источника пита- ния не превысит б В. Во входной цепи использован однополос- ный переключатель на два направления. Можно также использовать однополюсный выключатель (при наличии нагрузочного ре- зистора), но тогда последовательно со входом счетчика необходимо включить схему подав- ления дребезга контактов. Чтобы избежал дребезга контактов, следует использовать на входе стробирования ICM7208 переключателе иа два направления. Счетчик обновляет показания на индика- торе на каждый отрицательный nepenaj входного сигнала. Информация будет счита- на, затем сброшена при показаниях от 00 дс 9999999 и затем следует сброс в 0000000 г начало нового цикла счетчика. Гашение не- значащих нулей влияет на две цифры ре- зультата. В системах с автономным питанием дле снижения потребляемой мощности индикато[ можно отключить. ICM7208 Модуль счетчика Рис. 3.30 (IN)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 183 СЧЕТЧИК-ЧАСТОТОМЕР ИС ICM7208 можно использовать в каче- стве счетчика-частотометра, применив внеш- ний источник опорной частоты и стробирую- щую логику. Для этого можно использовать контроллер генератора ICM7207 (рис. 3.31). ИС ICM7207 использует кварцевый генератор для получения импульсов запоминания и сброса, образующих совместно интервал сче- та. На рис. 3.32 показаны рекомендуемые временные диаграммы сигналов на входах ICM7208. По окончании периода счета (ко- эффициент заполнения 50%) вход счетчика блокируется. Затем содержимое счетчика пе- ресылается и запоминается в регистре-защел- ке и может быть отображено на индикаторе. Сразу же после запоминания этой информа- ции счетчики сбрасываются и готовы к за- пуску нового цикла счета, когда будет раз- блокирован вход счетчика. При использовании кварцевого резонато- ра на частоту 6,5536 МГц и ИС ICM7207 для управления ICM7208 можно получить два диапазона счета, использующие в работе временные интервалы 0,01 или 0,1 с. Приведенные выше замечания по поводу гашения незначащих нулей результата и пр. справедливы также и для этого устройства. ИС ICM7207 вырабатывает опорную час- тоту 1,6 кГц. Параметры кварцевого резонатора: CL = 12 пФ, См = 15 фф, Rg = 55 Ом, СО = 3 пФ. Счетчик-частотомер Рис. 3.31 (IN)
184 ГЛАВА 3 ICM7208 1:1 разрешения счета 1 1 ов ч—Вход счетчика » открыт (окно счета) Вход записи Стробирование данных сегментов Вход сброса Длительность импульса не критична ^50 мкс Сброс счетчика Вход счетчика Измеряемая внешняя частота Рис. 3.32 Формы входных сигналов счетчика-частотомера (inj жяоапиаявиншяяшмииямаяммшнвшнвжвиввпмпавпв ICM7249 Измеритель времени работы электродвигателя Рис. 3.33 (IN)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 185 ИИВвИИВ«ИИИЛ|| ICM7224/ICM7225 Старшие разряды Младшие разряды Параметры кварцевого резонатора: ^IN “ 22 п®’ {"OUT ~ 22 пФ, ff) = 5,24288 МГц, Rc < 75 Ом, Cs = 0,015 пФ, Ср = 3,5 пФ. Прецизионный восьмиразрядный частотомер Рис. 3.34 (IN)
186 ГЛАВА 3 ICM7216 A/B/C/D | Входа 11C90 v> Кварц 10 МГц Десятичная I точка •OD, 74LSOO Хранение | Светодиодный индикатор с общим анодом | у чик 2 3 Светодиодный индикатор переполнения Ключ режима: разомкнут - частота, замкнут — период 0,1 мкФ — Сброс 1100 пФ 26 25 24 21 9' 20 110 19 II $ 13 16 Г-ТИ 15 ±:39пФ :-39пФ 22М Ds 2N2222 Юк Юк I Диапазон П 2N2222 2N2222 40 ШВВВВВВВ. А Измеритель периода до 2 МГц и частоты до 100 МГц Типичные рабочие параметры Рис. 3.35
ГВНВР ТОРЫ, ТА МЕРЫ, СЧЕТЧИКИ 187 ICM7216 A/B/C/D Вход В I. ВходА о СК1СК2 QaJ Qq~| СК1 СК2 Од- ______Ос 4=100 пФ 4>D V DD Хранение Юк Vdd О Vfc© =!= 30 пФ 039 пФ 74LS90 или11CS0 11090 Зк Частота Отношение частот 0,1 мкФ=±: -о__о- Сброс —SI Z7 26 25 24 ICM23 7 7216Д22 В 9 10 11 12 13 а ’|- >100 к 22М 10МГц О, Проверка индикатора. 1N914 8 21 20 19 18 17 16 >d2 р» '0л ft4- 'o'. Юк Светс диодный индикатор с общим анодом [ 4 4 Десятичная I точка - | [ Диапазон | 1к 1к 2N2222 ___. - - - - 40 ,8 Светодиодный _ индикатор Ж переполнения Е 'в ъ Многофункциональный счетчик на частоту 100 МГц «Ч1С. О.ЛО I ~ (IN) ICM7216 A/B/C/D Вход А JCK1 11С90 K2 Qa Qc Vdd Vcd _l Г <? ? 2к 100 пФ' dp е g а d< b< c ' _p i c f ' - - Сброс T Z8 Z7 Z5 25 24 ICM23 77216С22 в 9 10 11 12 13 14 2 3 4 5 6 21 Z0 19 18 17 16 15 мкФ~ ^8 Светодиодный индикатор переполнен IXpanej 4=30 пФ 030 пФ Чо О ч о Разрешение внешнего _ управления Гашение десятичной Внешний инди- Проверка точкой генератор катора индикатора 10 МГц О____ Д, D3 -%Voo Db Юк 1N914 Вход внешнего генератора | Диапазон^) | Светодиодный индикатор с общим анодом ^ЕВВЕ.ВВВЕ. jo, h h Рис. 3.37 Частотомер на 100 МГц (IN)
Вход А ICM7216 A/B/C/D -Е 1/274LS112 0j6 Q|5 I Kll2 J,CL Ь 1-12 1/,74IS11? 1/Z74LS112 9 100 Q Хранение %D Vdd Разрешение внешнего Выключение Проверка генератора индикатора индикатора 100 пФ. 100к dp е 9 а T”d OJmk^-L b “Г с _JL_ f _L Сброс 2 3 4 в 9 10 11 12 13 14 26 25 21 20 19 18 16 15 И о2 d^°Vdd & db Юк =сь -В Светодиодный индикатор “dp анодом ъ Рис. 3.38 Частота Период, Отношение частот ={=39 пФ ?-39пФ 2,5 МГц | Диапазон | 4 1N914 [светодиодный индикатор с общим анодом ВВВВВВВВ ъ 3 2 Частотометр на 40 МГц ICM7216 A/B/C/D Вход А Вход В --------------1 j 100 пФ Генератор частоты' 0.1 с^, Os' •6 Сброс |8 Z 3 4 5 6 7- 8 9 Ю 11 12 13 14 2В 27 26 25 24 ICM 23 721682Z 21 Z0 ) 19 18 ; 17 DP .G >E 'A 'D B F D8 -3 -о В ход •внешнего генера- тора (IN) Разрешение VDD Г ашение Проверка внешнего О индикатора индикатора генератора 39 пФ-/ 10kJ Диалааон I ? Кварц 10 МГц наь। 39 пФ —oVdd dT Е' D3 1N914 рольные ключи ц 7Г Сек Циклы Вход О внешнего генератора — 3 [Схемы управления сегментами [Схемы управления ; индикаторомJ вввввлвв. С2 |ц [Светодиодный индикатор с общим катодом 8^ '8 ьа= de5 d9- dp '8 Ъ Светодиодный индикатор переполнения! Рис. 3.39 Универсальный счетчик на 10 МГц
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 189 ICM7215 Индикатор с общим катодом S1 Ю^е f f fl 18 Выбор кристалла Параметры кварцевого резонатора- f » 32768 Гц, CL = 15 пФ, См = 23 фф, Со = 14 пФ, Rs = 50 Ом. Нормально разомкнутый *~К индикатору Таблица истинности переключателей Режим v Положение Режим (21) Старт/стоп/сброс 1 Плавающий Разделение 2 ^DD 3 ^SS Тайм-аут 4 Плавающий Индикатор (19) переключателя Плавающий Разомкнут Разомкнут VSS Рис. 3.40 Секундомер (IN) ICM7245 *5-25 rff □ Кварц _32768 Гц -Jr 1,55 В Параметры кварцевого резонатора: f = 32768 Гц, CL = 10 пФ, См = 2,5 фф, Rg = 20 кОм. Рис. 3.41 ] Типичная часовая схема (IN)
ЧЕТЫРЕХКВАДРАНТНЫЙ АНАЛОГОВЫЙ УМНОЖИТЕЛЬ ИС 1CL8013 представляет собой четырех- квадрантный аналоговый умножитель, выход- ной сигнал которого пропорционален алгеб- раическому произведению двух входных сиг- налов. Обратная связь, охватывающая внут- ренний ОУ, обеспечивает сдвиг уровня и может использоваться для реализации опера- ций деления и извлечения квадратного кор- ня. Несложное подключение потенциометров можно использовать для подстройки коэффи- циента усиления, напряжения смещения и взаимного влияния каналов. Высокая точ- ность, широкая полоса пропускания, универ- сальность ICL8013 делают ее идеальной для всех приложений умножителя в измеритель- ных и управляющих системах. В число при- ложений входят устройства измерения сред- неквадратичного значения сигнала, удвоители частоты, балансные модуляторы и демодуля- торы, функциональные генераторы и усили- тели, управляемые напряжением. Точность ±0,5% -(для ИС группы А). Диапазон входных напряжений ±10 В. Полоса пропускания 1 МГц. Стандартные источники питания ±15 В. Встроенный ОУ обеспечивает сдвиг уров- ня, выполнение операций деления и извле- чения квадратного корня. УМНОЖЕНИЕ, В стандартном включении перемножителя вывод Z соединен с выходом ОУ. Весь вы- ходной ток модулятора протекает через рези- стор обратной связи R27 и создает пропор- циональное выходное напряжение. ПРОЦЕДУРА НАСТРОЙКИ УМНОЖИТЕЛЯ Установить = Yjn = 0 В и отрегу- лировать Zqs до получения нулевого напря- жения на выходе. Подать синусоидальный или треугольный сигнал ±10 В низкой частоты (<100 Гц) на Уп4 ПРИ Xjn = 0 и отрегулировать Xpg для получения минимального выходного сигнала. Подать аналогичный сигнал на Хд^ при YjN = 0 и отрегулировать Ygg для получе- ния минимального выходного сигнала. В случае необходимости повторить peiy- ЛИрОВКу ZQg. При XjN = 10,0 В постоянного тока и низкочастотном сигнале, поданном на YjN, установить регулировкой усиления выходной сигнал равным Ущ- Этого легко добиться, используя режим суммирования (А+В) в ос- циллографе с дифференциальным входом, проинвертировав один из сигналов и OTpeiy- лировав усиление таким образом, чтобы на- пряжение иа выходе минус Yjn равнялось нулю. ДЕЛЕНИЕ Если вывод Z используется в качестве входного, а выход ОУ соединен со входом Y, то устройство реализует операцию деле- ния. Хотя вход ОУ является виртуальной землей и требуется незначительный ток сме- щения, общая обратная связь делает выход- ной ток модулятора равным току через вы- вод Z. Поэтому I = Xjn Yin = 2in/R = 10ZIN- Так как Yjn = Equ'I’’ EOUT = zIn/*TN- Отметим, что в режиме делителя, чтобы добиться общей отрицательной обратной свя- зи, на вход X должно подаваться напряже- ние отрицательной полярности. ПРОЦЕДУРА НАСТРОЙКИ ДЕЛИТЕЛЯ Установить подстроечные потенциометры в среднее положение, добиваясь нулевого на- пряжения на выводах 7, 9 и 10 (Xgg, Ygg, Zqs’- При Zin = 0 ® установить Zgg таким, чтобы напряжение на выходе сохранялось неизменным при изменении X™ в пределах от -10 до -1 В. * При Zin “ 0 В и Xin = -10 В подстро- ить Ygg для получения нулевого выходного напряжения.
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ ТУГ При ZIN = XIN (и/или ZIN = -XIN) ре- гулировкой Xqs добиться минимального из- менения выходного напряжения при измене- нии Хц^ в пределах от -10 до -1 В. Если последняя процедура потребует длительной начальной регулировки, повто- рить первые два пункта процесса настройки. При Zjjj = Xjpj (и/или Zjjj = -Xjjf) от- регулировать коэффициент усиления таким образом, чтобы выходное напряжение было как можно ближе к +10 В (-10 В для Zjj<j = = -Xjjj) при изменении Xj^ в пределах от - 10 до -3 В. ВОЗВЕДЕНИЕ В КВАДРАТ Возведение в квадрат осуществляется квадрат также можно взять за основу для простым перемножением при соединенных удвоителей частоты, так как вместе обоих входах. Схему возведения в э__ cos = (cos 2dJ\ + l)/2. ИЗВЛЕЧЕНИЕ КВАДРАТНОГО КОРНЯ Объединение входов X и Y и использо- вание общей обратной связи от ОУ дает в результате функцию квадратного корня. Вы- ходной сигнал модулятора стремится срав- няться с током, поступающим на Z: louT = XIN Yin = (-Еоит)2 = 10 Z[N, EOUT - "(1° ZinP1/2- Отрицательное выходное напряжение поддерживает общую отрицательную обрат- ную связь. Диод, включенный последователь- но с выходом ОУ, предотвращает переход в ключевой режим, что иногда происходит при отрицательных входных напряжениях. ПРОЦЕДУРА НАСТРОЙКИ СХЕМЫ ИЗВЛЕЧЕНИЯ КВАДРАТНОГО КОРНЯ Включить ИС ICL8013 по схеме делите- ля. Отрегулировать Zgg, Ygg, Xgg и уси- ление в соответствие с первыми шестью пунктами процедуры настройки делителя. Образовать схему для вычисления квад- ратного корня, соединив вывод Х^ с выво- дом ОУ и включив диод между выходом ОУ и выводом 4. При Zjjq = 0 В установить нулевое вы - ходное напряжение, регулируя Zq^. ICL8013 Блок-схема операции умножения Схема включения в режиме умножения Рис. 3.42 (IN)
деления z Блок-схема возведения в квадрат Схема включения в режиме возведения в квадрат Рис. 3.42 (IN1 Направление управляющее усилением _ Z ^os^os Zqs 7,5 кг Рис. 3.43 Усилитель с регулируемым усилением (IN)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 193 УСИЛИТЕЛЬ С ИЗМЕНЯЕМЫМ КОЭФФИЦИЕНТОМ УСИЛЕНИЯ Большинство применений ICL8013 явля- ются непосредственными вариациями про- стых арифметических функций, описанных выше. Хотя описание схемы часто скрывает этот факт, уже было показано, что удвои- тель частоты есть не что иное, как схема возведения в квадрат. Схема с изменяемым усилением есть не что иное как перемножи- тель с входным сигналом, поданным на вход X, и управляющим напряжением, приложен- ным ко входу Y. ОПРЕДЕЛЕНИЕ ТЕРМИНОВ Погрешность умножения/деления - ос- новная характеристика точности. Она вклю- чает соответствующие погрешности линейно- сти, усиления, напряжения смещения и вы- числяется в процентах от полной шкалы. Проникновение сигналов из одного кана- ла в другой. При нулевом сигнале на одном из входов выходной сигнал идеального умно- жителя должен быть нулевым, независимо от сигнала, приложенного к другому входу. Вы- ходной сигнал, наблюдаемый в неидеальном умножителе, есть признак проникновения сигналов из канала в канал. Нелинейность - это максимальное откло- нение от наилучшим образом проведенной прямой, представляющей выходную характе- ристику, выраженное в процентах от полной шкалы. Напряжение на одном из входов вы- бирается постоянным, на другом изменяется в пределах номинального диапазона. Нели- нейность представляет собой часть суммар- ной погрешности умножения/деления, кото- рую нельзя устранить регулировкой.
194 ГЛАВА 3 НЕДОРОГОЙ МНОГОФУНКЦИОНАЛЬНЫЙ ПРЕОБРАЗОВАТЕЛЬ Многофункциональный преобразователь модели 4302 фирмы Burr-Brown представляет собой недорогое решение для реализации многих аналоговых преобразований. Гораздо лучше, чем большинство других умножите- лей/делителей, ИС 4302 реализует множест- во схем аналоговых функций при весьма высокой степени точности и чрезвычайно низких затратах потребителя. В данном материале представлены основ- ные характеристики многофункционального преобразователя модели 4302. Приведенные характеристики позволяют считать устройство 4302 гибким трехвходовым многофункцио- нальным преобразователем. Ниже приводятся примеры применения, облегчающие использование ИС 4302 в кон- кретных схемах, реализующих те или иные функции. Приведенный материал посвящен схемным реализациям математических дейст- вий по порядку их сложности: умножение, деление, возведение в степень, извлечение квадратного корня, вычисление синуса, коси- нуса и арктангенса, операции векторной ал гебры. Цель этого информационно-справочное материала состоит в том, чтобы дать воз можность потребителю быстро и эффективн осуществлять необходимые аналоговые преоб разования с помощью ИС 4302. Большинству приведенных ниже схем н ИС 4302 необходим источник опорного на пряжения для масштабирования. Напряжени этого источника указано равным +15 В, та как в большинстве случаев при питании И 4302 от источника напряжением +15 В обес печивается достаточная температурная и вре менная стабильность, чтобы получить указа» ные в спецификации характеристики. Если отдельные источники питания, кс торые предназначаются для питания И1 4302, не обладают нужной стабильностьи для получения требуемой точности преобрг зования может потребоваться дополнительны прецизионный источник питания +15 В. . УМНОЖИТЕЛЬ Схема умножителя на ИС 4302 обеспе- чивает высокую точность и недорога. На входах 4302 допустимо напряжение до +10 В, и во всем диапазоне обеспечивается точность ±0,25%. Установить R1 таким, чтобы Ej = Е2 = +10,00 В, EqUT = +10>00 В- 4302 Операция Умножение Деление Возведение в квадрат Извлечение квадратного корня Возведение в степень Извлечение корней Синус Косинус Арктангенс Y/X (X2 + У2)1/2 ±0,25 ±0,25 ±0,03 ±0,07 ±0,15 (ш = 5) ±0,2 (ш = 0,2) ±0,5 ±0,8 ±0,6 ±0,07 Рис. 3.44 (ВВ]
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 195 ДЕЛИТЕЛЬ При использовании в качестве делителя ИС 4302 превосходит по выходным характе- ристикам большинство имеющихся в прода- же умножителей/делителей при значительно меньшей стоимости. В схеме делителя дости- гается точность преобразования ±0,25% от полной шкалы. 1) Установить R1 таким, чтобы при Ех = Е3 = +10,00 В, Еоит = +10,00 В. 2) Установить R2 таким, чтобы при Ej = Е3 = +0,10 В, — +10,00 В. 3) Установить R3 таким, чтобы при Ej = +0,01 В и Е,= +0,1 В Equt ~ +1,00 в. 4) Повторить шаги 1-3, если необхо- димо, для получения нужных выходных на- пряжений. СТЕПЕННЫЕ ФУНКЦИИ ИС 4302 можно использовать для возве- дения в степень в диапазоне показателей степени от 0,2 до 5. Возведение в степень 0,5 и 2, извлечение квадратного корня и возведение в квадрат, соответственно, явля- ются наиболее часто употребляемыми дейст- виями и рассматриваются ниже. Другие зна- чения показателя степени (ш) можно ис- 1) Для получения промежуточных значе- ний корней (0,2 < ш < 1) и степеней (1 < ш < 5) подключить 100-омные потен- циометры, как показано на рис. 3.50. 2) Установить R1 таким, чтобы при Ej = +10,00 В Еоит = +10,00 В. 3) Выбрать положительный уровень на- пряжения Е| таким, чтобы выходное напря- жение Eqjjj при работе с требуемым пока- зателем степени не превышало +10,00 В. Подходящим является уровень, лежащий в середине полезного диапазона входных на- пряжений. Установить R2 таким, чтобы вы- ходное напряжение Eq^jt п0 своемУ значе- нию соответствовало предлагаемому для вы- бранных значений входного напряжения Ej и показателя степени ш. пользовать в случае линеаризации нелиней- ных функций или просто для выполнения математических преобразований. Характери- стики схем для ш = 0,2 и 5 представлены в табл. 3.2. Для других значений ш кривые, представленные на рис. 3.49, можно исполь- зовать для интерполяции погрешности при неуказанных значениях ш. 4) Повторить пункты 2-4, если необ- ходимо. Когда вычисляются корни при малых уровнях входного сигнала, модифицированная передаточная функция Eq^jj = ПО Ej)m будет обеспечивать повышение точности пре- образования. Для реализации такой переда- точной функции следует: 1) Использовать источник опорного на- пряжения +1,5 В вместо +15 В, как показа- но на рис. 3.50. 2) Сделать сопротивление R3 = 1,40 МОм и заново подключить резисторы R1 и R3 к опорному напряжению +1,5 В. 3) Повторяя п. 1, 3, 4, подать напряже- ние +0,1 В на вывод 7 и установить рези- стором R1 Eqjjt ~ +1,00 В. Таблица 3.2 Передаточная функция ®OUT = 10(Е1/10)ш Суммарная погрешность преобразования (типичные значения) ш = 0,2 0,5 В < Е1 < 10 В ±2 мВ 0,1 В < Е1 < 0,5 В ±25 мВ ш = 5 1,0 В .< Е1 < 10 В ±15 мВ Диапазон показателей степени (непрерывный) Диапазон выходного 0,2 < ш < 5 напряжения От 0 до +10 В
196 4302 Модель 4302 Передаточная функция Еоит = = vY (Vz/Vx)m Выходные параметры: Напряжение +10,0 в Ток 5 мА Входные параметры: Диапазон сигнала 0 < (Vy, VY, Vz)< < +10 в Предельное значение (VX, vY, VZ)< +18 B Входное сопротив - ление 100 кОм/90 кОм/ 100 кОм Диапазон показателя степени (см. рис. 3.46): Корни 0,2< m < 1 ш = H7/(R.y + Е^) Показатели степени (1 < ш < 5) m = (R| + R2>/r2 (ш = 1) Rj = 0 Ом, R2 не используется Требования к источникам питания: Напряжение питания ±15 В Диапазон От ±12 до +18 В Потребляемый ток ±10 мА Температурный диазон: Рабочий От -25 до +85 °C При хранении От -25 до +85 °C Электрические х арактеристики Рис. 3.45 Расстояние между рядами выводов 7,6 мм Масса 3,4 г Соединитель 14-выводной 0145МС Механические характеристики <14 1< 1 - Вход X <13 2< 2 - Выход <12 3< 3 - -15 В <11 4< 4 - Не подключен <10 5< 5 - Регулировка смещения X <9 Ь< 6 - Шд <8 7< 7 - Вход Z 8 - Регулировка смещения Z 9 - Не подключен 10 - Общий 11 - шв 12 - biq 13 - Вход Y 14 - +15 В. Расположение выводов (вид снизу) (ВВ) Корни (0,2 < m < 1) R,+R2 —200 ом Степени (т=1) Рис. 3.46 Функциональная схема ИС 4302 (вв) iWiTn миг —п——
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 197 4302 Установить Rj таким, чтобы при Ej = Е2 = +10 В Еоит = +10 В. Рис. 3.47 1) Установить Rj таким, чтобы при Ei = Е3 = +10,00 В, Еоит = +10,00 В. 2) Установить R2 таким, чтобы при Ej = Е3 = +0,10 В, Еоит = +10,00 В. 3) Установить R3 таким, чтобы при Ej = +0,01 В и при Е3 = +0,10 В EqjjT = = +1,0 В. 4) Повторить шаги 1-3, если необхо- димо, для получения указанных выходных напряжений. Входное напряжение можно снизить до менее 0,03 В, подключив конденсатор 0,047 мкФ между выводами 11 и 5, вызывающий Передаточная функция Точность Суммарная погрешность типичное значение Еоит = =+Ej Е2/10 при +25 °C максимальное значение ±25 мВ при +25 ° С для входного ±50 мВ диапазона 0,03 В < Ej < 10В 0,01 В < Е2 Ю В Температурный дрейф Погрешность смещения Ej = Е2 = 0 ±1 мВ/°С выходной сдвиг (при +25 °C) его температурный ±10 мВ дрейф ±0,2мВ/°С Шумы от 10 Гц до 1 кГц (среднеквадратичное) Полоса пропускания Ej, Е2 100 мкВ для малого сигнала (-3 дБ) 500 кГц на полной амплитуде 60 кГц (ВВ) небольшое уменьшение ширины полосы про- пускания. (Режим умножения и деления.) Передаточная функция Точность Суммарные погрешности: типичное значение при +25 ° С максимальное значение при +25 ° С при El < ЕЗ и входном диапазоне Температурный дрейф Погрешность смещения (Е1 = 0, ЕЗ = +10 В) выходной сдвиг при 25 ° С его температурный дрейф Шумы от 10 Гц до 1 кГц (среднеквадратичное) Е3 = +10 В Е3 = +0,1 В Полоса пропускания Ej, Е3 для малого сигнала (-3 дБ) на полной амплитуде (Е = +10 В) ±25 мВ ±50 мВ 0,03В< Е1 < 10 В 0,1В < Е3< 10В ±1 мВ/°С ±10 мВ ±1 мВ/°С 100 мкВ 300 мкВ 500 кГц 60 кГц Рис. 3.48 (ВВ) №
198 1 JklDAJ Экспоненциальные передаточные характеристики Рис. 3.51 Рис. 3.50 Передаточная функция Суммарная погрешность преобразования (типичное значение): 0,5 В < Е1 < 10 В 0,02 В < Е1 < 0,15 В Диапазон входного напряжения Диапазон выходного напряжения (ВВ) =°1^Ф1/10)1/2 ±7мВ ±55 мВ От 0 до 10 В От 0 до +10 В (ВВ). 4302 Передаточная функция РИС. 3.52 Суммарная погрешность преобразования (типичное значение): 0,1 В < Ej < 10 В Диапазон входного напряжения Диапазон выходного напряжения EOUT = , = lOCEj/lO)1* ±3 мВ От 0 до +10 1 От 0 до +10 I (ВВ)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 199 ИЗВЛЕЧЕНИЕ КВАДРАТНОГО КОРНЯ При вычислении квадратного корня (ш = = 0,5) ИС 4302 обеспечивает типичное зна- чение суммарной точности преобразования + 0,07%. Указания по подключению и на- стройке приведены на рис. 3.51 и ниже. 1) Соединить вместе выводы 6, 11 и 12. Установить Rj таким, чтобы при Ej = = +10,00 В Еоит = +10,00 В. 2) Подключить 100-омные резисторы, как показано на рис, 3.51. 3) Для повышения точности преобразова- ния Rg и R2 можно заменить потенциомет- ром. 4302 Передаточная функция Еоит = 10 sin 9Е Аппроксимация степенным выражением: EOUT = 5.5708Е - 1,5924(Е/6,366)2’827 Полная погрешность (типичное значение) Диапазон входного напряжения Диапазон выходного напряжения преобразования ±50 мВ От 0 до От 0 до +10 В +10 В (ВВ) Рис. 3.53 Передаточная функция Eq^jj = 10 cos 9Е Аппроксимация степенным выражением: EOUT = 10 + 0.3652 Е - 0,4276 Е1’504 Полная погрешность (типичное значение) Диапазон входного напряжения Диапазон выходного напряжения преобразования ±80 мВ От 0 до +10 В От +10 до 0 В Рис. 3.54 (ВВ)
200 ГЛАВА 3 ВОЗВЕДЕНИЕ В КВАДРАТ При возведении в квадрат (ш = 2) ИС 4302 обеспечивает высокую точность преоб- разования при типичном значении 0,03%. Обращайтесь к рис. 3.526 и сопроводитель- ным примечаниям. 1) Установить Rj таким, чтобы при ВЫЧИСЛЕНИЕ ИС 4302 и соответствующая схема (рис. 3.55) обеспечат вычисление обратного значе- ния тангенса отношения. Такие вычисления используются, когда требуется преобразова- ние из прямоугольной системы координат в полярную, где Е = arctg Еу /Ех. Ej — +10,00 В Eqjjt = +Ю.00 В. 2) Подключить 100-омные резисторы, как показано на рис. 3.52. 3) Для повышения точности преобразова- ния резисторы R2 и можно заменить по- тенциометром, как показано на рис. 3.52. АРКТАНГЕНСА Точность преобразования зависит от уровней входных сигналов. Обращайтесь к табл. 3.2. 1) Установить Rj таким, чтобы при Ej — Е2 = +10,00В Bqjj.j' =+4,500В ±1 мВ. ВЫЧИСЛЕНИЕ ДЛИНЫ ВЕКТОРА ИС 4302 реализует вычисление квадрат- ного корня из суммы квадратов двух вход- ных сигналов. Эта функция совместно с ар- ктангенсом отношения используется при пре- образование прямоугольных координат в по- лярные. 1) На рис. 3.56 показан практический пример реализации передаточной функции ЕОЦГ = ®1 + с пом°щыо ИС 4302. В схеме использованы ОУ 3501А. Вы- ходное напряжение ИС 3501 составляет ±10 В. Это предел диапазона входных напряже- 4302 ОЕо=Ее 15 В -О Передаточная функция EOUT = arc,g OEJI/IE2O . * Аппроксимация степенным выражением EOUT = = 90° (IEjI/IE2I) 1,212'/(1+ (1EjI/IE2I) 1>2125 Полная погрешность преобразования: 2 < Ej, Е2 < 10 В ±55 мВ 0,1 <Е1, Е2 < 2 В ±65 мВ 0,03 < El, Е2 < 0,1 В ±340 мВ Диапазон входного напряжения El, Е2 От +0,01 до +10 В Диапазон выходного напряжения (0 < Е < 90°) От 0 до +9 В Рис. 3.55 (ВВ)
ГЕНЕРАТОРЫ, ТАЙМЕРЫ, СЧЕТЧИКИ 201 “ “ 4302 Передаточная е?)1/2 Диапазон входного напряжения Ej От О до +10 В Е2 От -10 до 10' В Диапазон выходного напряжения От 0 до +10 В Погрешность преобразо- Рис. 3.56 вания i7 мВ (ВВ) Рис. 3.57 (ВВ) ний Е] и Е2, так что всегда должны вы- полняться условия Ej < (100 - Е^)1/2 1Е21 < -(5 - Ег2 /20) и (Ej2 + Е22) < 10. а) Выше подразумевается, что О < Ej < 10 В, -5 В < Е2 < +5 В. б) Подразумевается также, что при Ej = =Е2 диапазон будет ограничен максимально 4,142 В. 2) Использование модели 3627, как по- казано на рис. 3.57, непосредственно заме- ниг восемь резисторов 10 кОм и два ОУ модели 3501А. Это позволит снизить число компонентов, необходимых для реализации функции длины вектора и снизить суммар- ные затраты.
202 ГЛАВА 3 4302 Синус Е -Sinx-— 1ПХ тж Реализация тригонометрических функций многофункциональным преобразователем Рис. 3.58 (ВВ)
4 ИНТЕРФЕЙСНЫЕ СХЕМЫ Типовые схемы ЦАП и АЦП Схемы подключения преобразователей напряжение - частота Компьютерные игры с АЦП фирмы Intersil
204 ГЛАВА 4 ТИПОВЫЕ СХЕМЫ ЦАП И АЦП В общем схема представляет собой ТТАП, в значительной степени компенсирующий ошибку отслеживания в резистивных цепоч- ках и транзисторных токовых ключах. Пре- обладает такой источник погрешности, как дрейф стабилитрона, вырабатывающего опор- ное напряжение. Типовая схема ЦАП (ВВ) Рис. 4.1 ЦАП, также влияет и на АЦП последова- качестве одного из его блоков, как следует тельного приближения, так как эти компо- из рисунка. Рис. 4.2 Типовая схема АЦП (ВВ)
ИНТЕРФЕЙСНЫЕ СХЕМЫ 205 Поел едов ате л ьн ый выход Q--------- Занята ©________ 12-разрядный регистр Ф 0 последовательного приближения Разряд 1 О------ Аналоговая —О земли Выход ф______ синхронизации Регулировка периода Синхро _ синхронизации ГСНерЭТО —.---------------0+5 В Цифровая .-----—.......~—Q земля V —----------------0-15 В Рис. 4.3 12-битовый гибридный АЦП ADC10HT (ВВ) LM118, LM218, LM318 Конденсатор уменьшает время Выход Рис 4.4 ЦАП с многозвенной лестничной резистивной цепочкой (TH) -------------- - -------------------г-тж-г»^г>—
чпь Цифровая ♦ +ьв -124 23 22 ♦Б В Цифрован Тб 1БВ 7 Г □РАЮ 21 SB 15 Юк 14 100 39 к Схема установки для проверки линейности ЦАП и АЦП земля 74 LS 161 говая ля Аналоговая земля 33 к Аналоговая земля Диапазон 10В PGA 100' частот (стремя полюсами) 74 LS 123b Аналоговая i5b/™J" Цифровая земля --------07 --------06 ОБ 04 D3 02 Цифровая [_земл?_ Свободный 74 L S10 Рис. 4.5 При проверке ЦАП удалить проверяемый АЦП и подключить входы ЦАП (DO - D15) к выходам ППЗУ (DO - D15) Цифровая земля 2 СППЗУ 2716 18 1S" 74LS04 Цифровая земля g Цифровая земля Цифровая земля Q И Цифровая земля as -BS 74LS 161 74LS 161 Цифрован земля 13 12 14 ^/Цифровая земля земля —(СЭР) -----014 -----013 -----012 ------011 -----010 ------09 ------08 ♦+5В СППЗУ 2716 \7 Цифровая -X____земла_ |19 18 17 16 15 14 ТУ Аналоговая земля_____ Ц?кФ Подавитель 5^—*• 1Б В . выбросов МикрОМОЩНЫЙ 10 к МР512 Вход выборки/ Аналоговая земля . Диапазон 24 I 20 В „ 28 ’5b!wb—2 1 1О1Б| 1 2 014 2 3 013 3 4 J.D12' 4 5 пи 9 ё !ою! 10 7 J_P9 L 11 тг 08 12 9 ! 07 ! 1Б 1Q _I.D6 L 17 11 05 18 12 I D4 I 19 13 0$ 23 ГТ- р? 24 Т5“ D1 26 16 26 бТЛ1 *5 В - Фильтр нижних 15 В 2 15 8{-Л Усилитель с программируемым усилением__________ Фиксация ""| усиления ~t Выбор | усиления Усилитель выборки/хрвнения 20 | Выход
Рис. 4.6 Прибор для измерения времени установления быстродействующего ЦАП
208 ГЛАВА 4 DAC63 +15 В Г рубая подстройка подстройка Схема, использующая ЦАП DAC63 для получения ступенчатого напряжения, которое можно использовать для оценки выбросов характеристики Рис. 4.7
СХЕМЫ 209
10 ГЛАВА 4 ‘ - : . - ----------------? - • .. ——------------_ --- - .--------- — DAC63 Принимающие схемы Быстродействующий ЦАП, принимающий данные от удаленного источника результата Точный преобразователь Блок-схема ЦАП с временем преобразования 250 нс Рис. 4.8 (ВВ)
ИНТЕРФЕЙСНЫЕ СХЕМЫ 211 Простой недорогой тестер для ЦАП ис- пользует триггер для получения парафазных логических сигналов. Однополюсные пере- ключатели на два направления с нейтраль- ной средней позицией и нагрузочные рези- сторы используются для задания кода несу- щей или изменения рабочего диапазона. Недорогой тестер для ЦАП а. Резистивный делитель, снижающий напряжение, используется для получения ну- левого уровня. Коэффициент усиления 1/2. б. Способ проверки времени установле- ния коэффициента усиления на усилителе с коэффициентом усиления 10. Цепь для измерения времени установления Рис. 4.10 (ВВ) Рис. 4.11 (ВВ)
212 ГЛАВА 4 м Автоматическая схема проверки ЦАП на вычислительном контроллере и цифровом вольтметре Рис. 4.12 -ттиив IT'ГТ Выбор РПП авто корре к цин усиления Автокор! Одновибратор 1 Одновибратор 2 - рекция нуля Авто- Сихнрониаация РПП — г •У коррек, сипения ’азряд 1 к Разряд 2 Входы ЦАП Преобразование таверн >рнп Однбвибратор 3 Одновибратор 4 “ 1— $" г п Разряд 12 _ Исклю-- чающее 4ПИ Выбор РПП 2 к Схема использует возможности быстрой обработки прерывания вычислительным конт- роллером, заменяет цифровой вольтметр в предыдущей схеме и снижает время изме- рения до менее 100 мкс. Быстродействующая схема цифрового кодирования (ВВ) Рис. 4.13 Схема для автоматического контроля линейности ЦАП (ВВ)
ИНТЕРФЕЙСНЫЕ СХЕМЫ 213 Нагрузка (СЗР) О С ?«с Подстрой ка усиления Подстройка нулп +5 В IM3P) Проверяемый ЦАП Выход ошибки Синхро- генератор »0 «с ж ОВ 74191 _ - т Запрет третьей ИС вибратор 74121 7408 «о «с "в о* ~оа 1 «с ж 0в 0> Разряд 1 ^oul Синхронизация осциллографа —о Нелинейность определяется как отклоне- ние от центральной линии на экране осцил- лографа. Чувствительность по входу вертикальной развертки составляет 1/4 МЗР на деление. Вход старшего двоичного разряда указы- вает на середину диапазона и заполнение двоичного счетчика. О С В Динамический тестер для контроля всех 2п двоичных кодов а. ЦАП с нелинейным резистором обрат- ной связи, который вызывает положительную погрешность в каждом разряде. б. ЦАП с неоднородностью ширины ка- нала (асимметрия). Погрешности наложения и асимметрии каналов Рис. 4.14 (ВВ)
214 ГЛАВА 4 Схема подключения преобразователя напряжение - частота (ВВ) Рис. 4.15 VFC32 Другие схемы на ИС VFC32 приведены в гл. 1. Схема подключения преобразователя напряжение - частота (ВВ) ЙШ Рис. 4.16
ИНТЕРФЕЙСНЫЕ СХЕМЫ 215 LM111 Размах сигналов от 0 до 30 В, порог +15. В. Сопряжение ТТЛ с высокими логическими уровнями со схемой Рис. 4.17 (TH)
ГЛАВА 4 216 emb 3584 Рис. 4.19 (1) Можно подключить к источнику по- стоянного тока +15 В, (2) Используется для подгонки коэффи- циента передачи ЦАП. V = I R out ВАС OUT Г (3) Необязательная регулировка смеще- ния. Быстродействующий высоковольтный ЦАП (ВВ) DAC63 (СЗР) Разряд 1 Разряд 2 Разряд 3 Разряд 4- Разряд б- Разряд 6 Разряд 7___ Разряд 8— — Разряд 9--- Разряд 10--- Разряд 11--- (МЗР) Разряд 12-- Стробирование данных исшив Mcieue Синхро низациг Синхро низациг Нагрузочные резисторы 510 Ом. Конденсаторы Cj - С3 подбираются в ди- апазоне от 0 до 50 пФ для подавления вы- -5.2 В KCI0I16 -5,2 В 1 мкФ ^Танталовый SIT 7 BITS BITS BIT 10 BIT 11 BIT 12 Логический порог | 2? Г 1п(СЗР) BIT 2 BITS BIT 4 BIT 5 —J BIT 6 броса. Цепи питания и земли не показаны. Подключение ЦАП DAC63 к входным регистрам-защелкам со схемой подавления выбросов Рис. 4.20 (ВВ)
ИНТЕРФЕЙСНЫЕ СХЕМЫ 217 ЬЯ DAC63 Д Аналоговый вход Разряды со 2-го по 11-й DAC63 также подключены к входам разрядов со 2-го по 11-й РПП соответственно. Использование ЦАП DAC63 в быстродействующем АЦП Рис. 4.21 \(ВВ)
ГЛАВА 4 218 КОМПЬЮТЕРНЫЕ ИГРЫ С АЦП ФИРМЫ INTERSIL Настоящие указания по применению от- носятся к подборке схем по большей части ограниченного, или специального применения, публикация которых по отдельности не ра- циональна, однако вместе они составляют полезное краткое руководство. Схемы произ- вольно разделены на четыре группы для удобства ссылок следующим образом: Нестандартный вход. Схемы, которые изменяют входной сигнал (сигналы) несколь- ко необычным или неочевидным способом для получения неожиданной или нестандарт- ной функции. Нестандартное преобразование. Схемы, вызывающие некоторые изменения в работе преобразователя, чтобы получить результат, отличный от нормального прямого линейного преобразования напряжения. Нестандартный выход. Схемы, влияю- щие на выходной сигнал преобразователя, например, для изменения или дополнения индикации, или подключения связи с ЭВМ, или сигнализации. Нестандартные решения. Схемы, кото- рые трудно отнести к той или иной катего- рии, или реализующие более одной из пере- численных выше возможностей. Так как в большинстве схем использова- ны лишь некоторые из большого числа до- ступных АЦП фирмы Intersil, указывается, какие АЦП непосредственно или с модифи- кацией применены в данных схемах. Пред- полагается, что нормальный режим работы устройств знаком и понятен читателю. Если это не так, внимательно познакомьтесь со справочными материалами и рекомендациями по применению. Комплекты для расчетов, содержащие БИС АЦП, печатную плату, основные необ- ходимые пассивные компоненты, в некото- рых случаях индикаторы, в других - различ- ные вспомогательные ИС вместе с необходи- мыми инструкциями, доступны АЦП ICL7106, 7107, 7126, 7135 и 7136. Боль- шинство простых схем, приведенных здесь, легко собрать из соответствующего набора, добавив несколько компонентов, а иногда пе- ререзав несколько проводников на печатной плате. Некоторые наборы имеют платы для макетирования, что облегчает введение внеш- них компонентов. Приведенные здесь схемы заимствованы из различных источников. Многие получены непосредственно от пользователей устройств, другие по запросам или предложениям к ним, остальные взяты из наших разработок по всему миру. А047 Эту схему можно использовать для полу- чения любого сообщения на том же индика- торе, где отображается цифровая информа- ция. ЖКИ-сигнализатор, управляемый логическими сигналами Рис. 4.22 (IN)
ИНТЕРФЕЙСНЫЕ СХЕМЫ 219 иовкашам А047 Схема на рис. 4.22 может обеспечить постоянное управление сигнализатором, но она сравнительно дорога. Простой способ со- стоит в соединении сегмента ЖКИ с общим выводом, хотя при этом на индикатор пода- ется существенный потенциал, который мо- жет вызвать ряд проблем. В любом случае его нельзя использовать, если общий провод заземляется внешним образом, и т. п. Эта схема, предложенная французским специали- стом, устраняет эти проблемы и работает также в качестве грубого детектора разряда батареи. Время пропадания изображения сег- мента существенно зависит от опорного на- пряжения и уровень обнаружения индивиду- ален для каждого индикатора. Управление десятичной точкой без связи по постоянному току Рис. '4.23 (1N) швммнизммммнайшнвивмкммвшр А047 10 мкФ Порог устанавливается отношением со- противлений резисторов внутренней логической схемы стабилизатора для каждого конкретного случая. Остальные вентили ИС можно использовать для управления сигнали- заторами и т. п., как показано на рисунке. Детектор разряда батареи Рис. 4.24 (1N)
220 ГЛАВА4 А047 Иногда отсутствие показаний лучше, чем неверные показания. Подобную схему можно использовать и в других условиях. Схема ЖКИ сигнализирует о выходе за пределы диапазона при замыкании входа опорного напряжения и инвертирует логическую еди- ницу, разрешая лишь возможную индикацию полярности. Гашение индикатора при разряде батареи Рис. 4.25 (1N) А047 Схема а образует регулируемое напряже- ние на анодах светодиодов и могут возник- нуть трудности с согласованием. Схема б использует ИС таймера для управления ко- эффициентом заполнения. Вместо таймера можно использовать счетчик, управляемый генератором. Управление яркостью светодиодного индикатора Рис. 4.26 (IN)
СХЕМЫ 221 А047 Остальные сегменты ' ‘ Схема обнаруживает условие “сегмент f и НЕ сегмент g“ и таким образом гасит ин- дикатор. Гашение ведущих незначащих нулей результата в АЦП ICL71X6 и ICL71x7 Рис. 4.27 (IN)
222 ГЛАВА 4 A047 d Ы ЕТЕПЗ EJ Ы Ikl IsTlkJ l»J IsJlzJ l£l'[£j fej IsTHJ If! К подложке Только для ICL7106. Для правильного выбора этих компонентов обратитесь к ин- формационным листкам или руководству А052. Выходной сигнал при необходимости можно использовать для управления звуковой сигнализацией и т. п. Непрерывная индика- ция или звуковой сигнал будет означать, не оказывая влияния на нормальный цикл из- мерения, что входной сигнал меньше, чем установленная часть максимального входного сигнала. При использовании ИС ICL7107 или 7109, например, потребуются различные сигнализаторы. При использовании ИС ICL7116, 7117 или 7135 следует поменять местами известное RST и неизвестное Rx со- противления, поменять местами входы IN HI и REF HI, IN LO и REF LO соответственно и инвертировать знак выходного сигнала. Для ИС ICL7135 также потребуется источ- ник питания для резисторов. Мгновенная непрерывная индикация при измерении сопротивления Рис. 4.28 (IN)
ИНТЕРФЕЙСНЫЕ СХЕМЫ 223 УПРАВЛЕНИЕ ВЫСОКОВОЛЬТНЫМ ИНДИКАТОРОМ Вакуумную флуоресцентную индикатор- ную панель можно подключить к трем высо- ковольтным согласующим каскадам D1805A, которые непосредственно управляются от 3 1/2-разрядного АЦП ICL71X7. Обычно АЦП ICL71X7 управляет светодиодным ин- дикатором с общим анодом и его выходы при'яключении сегмента принимают низкий уровеньХПодключение цепей сетки и накала индикаторной панели показано на рис. 4.29. Газоразрядный индикатор, показанный на рис 4.30, работает с тремя ИС согласующих каскадов индикатора типа D1220, которые управляются непосредственно от АЦП ICL71X6. АЦП ICL71X6 предназначен для работы с ЖК-индикаторами. В данной схеме сигнал управления подложкой, буферизован- ный эмиттерным повторителем на рир-тран- зисторе при низком его уровне, разрешает работу высоковольтных согласующих каска- дов, подключая их к общему проводу. Высокий уровень на входе D1220, когда сигнал управления подложкой имеет низкий уровень, включит сегмент индикатора. Таким образом, сегмент будет включен» только ког- да сигнал на шине сегментов не совпадает с сигналом управления подложкой. В этом ре- жиме индикатор будет работать с коэффици- ентом заполнения 50%. Рис. 4.29 (IN) Подключение вакуумного флуоресцентного индикатора
224 ГЛАВА 4 А047 Подключение газоразрядного плазменного индикатора Рис. 4.30 (IN)
ИНТЕРФЕЙСНЫЕ СХЕМЫ 225 БАТАРЕЙНЫЙ ТАХОМЕТР Задача получения показаний, выражен- ных через число оборотов в минуту, для не- которой входной частоты решается путем ис- пользования преобразователя частота - на- пряжение совместно с АЦП. Преобразование частота - напряжение осуществляется с по- мощью КМОП-таймера, генерирующего на выходе колебания с постоянной длительно- стью импульса, которые интегрируются мощ- ным КМОП ОУ. Питание таймера от внут- реннего источника опорного напряжения АЦП исключает необходимость в дополни- тельном опорном напряжении из-за ограни- ченного размаха входного сигнала таймера. Выходной сигнал АЦП определяется как V. = t V„ Е R4/R3 х in pw R Г 7 x (число оборотов/мин), где t - длительность ; гмпульса таймера, равная 1,1 R2 С2, VR - опорное напряжение ICL7106, равное 2,8 В, Е - число тактов за оборот, равное 2 в дан- ном примере. Показания АЦП определяются по форму- ле n = (V.n/VR) (R8 + R71/R8A, п = 1,1 R2 С2 Е (R4/R3) [(R8 + R71/R8A] х (число оборотов/мин). Коэффициент Е определяется числом им- пульсов на оборот от магнитного или опти- ческого датчика, числом лопастей крыльчат- ки или числом точек замыкания на оборот в автомобильных системах, Резистор R6 необ- ходим, только jjcjih АЦП настроен на шкалу 200 мВ. । ПРЕДУПРЕЖДЕНИЕ Некоторые схемы ймеют отношение к использованию устройств семейства ICL7106/7 для контроля! их собственного ис- точника питания. Очевидно, такие схемы не работают, если напряжение источника пита- ния слишком мало, так что повышение этого уровня схемами на рис. 4.33 будет обосно- ванно. Схемы для ICL7107 можно также ис- пользовать и для ICL7109 и ICL7135. Д8 ufft j -Ут'"1 А047 СХЕМЫ ЦИФРОВЫХ ВОЛЬТМЕТРОВ. ИЗМЕРЕНИЕ НАПРЯЖЕНИЯ И ТОКА Полная шкала 200 мВ при всех замкну- тых ключах. Высокое напряжение опасно для жизни. При работе необходимо принять меры предо- сторожности. Фирма Intersil не берет на себя ответственность,- за работу без соблюдения техники безопасности. Многодиапазонный вольтметр, альтернативная реализация Многодиапазонный вольтметр Рис. 4.31 (IN)
226 ГЛАВА 4 А047 Измерение сопротивления Схема 3 1/2-разрядного вольтметра с автоматическим переключением диапазонов Многодиапазонный измеритель тока приведена в документе А046, 4 1/2-раз- рядного вольтметра - в документе А028. Рис. 4.31 (IN) А047 Вход С1 220 пФ 1СМ7555 360 k з Выход Запуск датчика R4 130 к VW- Сз 0,1 *2 2.7 М 100 пФ Общий КХ7Юв/ 26736 1 м Низкий уровень V* Высокий уровень Юк Wv Опорный высокий уровень Опорный низкий Уровень У" I» 9 В Тахометр на АЦП семейства ICL7106 ''' (IN) Рис. 4.32
ИНТЕРФЕЙСНЫЕ СХЕМЫ 227 РАБОТА ОТ 1,5-ВОЛЬТОВОГО ИСТОЧНИКА ПИТАНИЯ (С ПОМОЩЬЮ ПРЕОБРАЗОВАТЕЛЯ НАПРЯЖЕНИЯ) Две ИС преобразователя напряжения ICL7660 учетверяют напряжение от одного элемента, выдавая напряжение 6 В, доста- точное для питания АЦП ICL7126/7136 и источника опорного напряжения ICL8069. Последний необходим, потому что для нор- мальной работы требуется опорное напряже- ние, превышающее 6,5 В. Ток, потребляе- мый от элемента, обычно меньше 0,75 мА и возможно использование батарей с напряже- нием до 3,5 В. При напряжении батареи около 3 В может потребоваться диод CR1. ИС ICL7109 и 7135, а также ICL7107/7176 могут использовать ту же схему, хотя токи, потребляемые СИД, обыч- но слишком велики. А047. К выводу 1 OSC1 100 к OSC2 0SC3 38]—[ 100 пФ test эт| II —.Калибровка REF Hl gvR,F = 100.0^ ----- 34]—' REF LO CREF CREF Общий 32p IN HI зТ]. ICL7107 -. ICL7137 IN LO ™T A/Z 2s]- BUFF INT 2?}- ICL8069 К выводу 1 OSC1 100 к 38‘ OSC2 OSC3 TEST REF Н| 33| 281 G2 2б] C3 24] A3 G3^l Земля 23] 100 пФ 22 к I к :0j мкФ 1 м Общий -Оу+ 0,01 0,22 мкФ <V~ К индикатору 0,47 кФ IN HI ICL71O6 IN LO IEF REF LO \ CREF 37| II —। Калибровка 29VrEF = 1OO.OmB 0,1 мкФ A/Z buff INT V- G2 С3 А3 G3 28р 27| 26] 25 EI 2з| 22] ВР 1М 0.01 500 к 0,22 мкФ К индикатору -OV~ Показанное штриховой линией соедине- ние использовать при напряжении источни- ков питания 4 - 6 В. При более высоких напряжениях обеспечить стабильное напря- жение 5 В между выводами V+ и земля. * Только для ICL7106/07. Индикатор напряжения с ICL7107, светодиодная индикация В схеме используется внутреннее опорное напряжение. При напряжении питания ниже 6 В следует использовать источник опорно- го напряжения ICL8069. * Эти резисторы подобрать таким обра- зом, чтобы при минимально допустимом напряжении источника питания напряжение IN LO было на 2,8 В ниже V+ и получался нужный коэффициент деления для IN HI - IN LO. Индикатор напряжения, ЖК-индикация Рис. 4.33 (IN)
ГЛАВА 4 228 ИЗМЕРЕНИЕ hfa Схема, приведенная на -рис. 4.34, уста- навливает ток эмиттера биполярного транзи- стора посредством резистора R3 и измеряет отношение результирующих токов базы и коллектора, контролируемых резисторами R1 и R2 на выводах REF и IN соответственно. При фиксированном напряжении и установ- ленном с помощью R3 токе эмиттера ток коллектора и входное напряжение несколько меньше, тогда как опорное напряжение из- меняется как l/hfe. Несмотря на то, что высокие значения hfe вызовут переполнение, схема обычно не перегружается, а при малых hfe большие опорные напряжения дадут короткий цикл разряда, однако показания останутся верны- ми. Для номиналов резисторов, указанных на схеме, полная шкала составляет 199,9, увеличение сопротивления R1 'в 10 раз даст шкалу 1999 единиц. Резисторы R1 и R2 должны иметь точность 0,1 % или лучше, а номинал R3 некритичен. Хотя на рис. 4.34 показаны схемы отдельно для рпр- и лри-транзисторов, их можно объединить с переключателем диапазона. При небольших изменениях номиналов компонентов можно приспособить АЦП ICL7126/7136. А047 К выводу 1 0SC1 ~Г юо к osc3 зе}-1 юо пФ | REF HI REF LO Общим A/Z CREF CREF ICL7107, IN HI ICL713/ IN LO —ч Установка Земля BUFFbsI — 100,0 мВ 0,47 мкФ +5 В к нагрузке „1—0,01 мкФ 0,22 мкФ -5 В к нагрузке 0,01 мкФ ► К индикатору Величина RSH зависит от измеряемого тока, обеспечивает в середине шкалы паде- ние напряжения 100 мВ. Индикатор тока биполярного источника питания на АЦП 7107, использующий внутреннее опорное напряжение Рис. 4.33 (IN)
ИНТЕРФЕЙСНЫЕ СХЕМЫ 229 СИГНАЛ СОСТОЯНИЯ ПРЕОБРАЗОВАТЕЛЯ Большинство схем на АЦП семейства ICL7106/7 легче реализовать с сигналом BUSY или STATUS в таких АЦП, как ICL7109 и 7135. Простая схема, приведенная здесь, вырабатывает сигнал окончания преоб- разования для использования в мультиплек- сировании данных, автоматическом выборе диапазона и т. д. Отметим, что она будет работать при напряжении на опорном входе около V+ и при опорном напряжении менее нескольких сотен милливольт. Так как опорное напряжение изменяется при проте- кании тока, то использование схемы в лого- метрах не рекомендуется. Сигнал преобразо- вания будет заметно задержан. Погрешность, обсуждаемая в документе А032, проявляюща- яся, когда источник опорного напряжения не соединен с общей точкой, в данной схеме не проявляется. Варианты схем приведены в документе А046. А047 К выводу 1 Г К нагрузке 0SC1 4о)—‘ „ 100 к ^1,2 В (ICL8069) TEST 137 Установка REFHlfk^T^B REF LO 36} CREF 34| 270 к CREF Зз|- Общий 32)- ICL1706/7, IN HI 3j} ICL7136/7 > 0,1 мкФ 1 М <> 9 Б BUFF Mp 0,47 мкФ 0,22 мкФ 0,01 мкФ ----------11 270 к. к, С3 2^ " К индикатору К нагрузке К V или подложке В схеме можно использовать АЦП 1CL7106 или 7107. Для достижения требуе- мой точности резисторы делителя необходимо весьма тщательно согласовать. Номинал R зависит от контролируемого тока и парамет- ров делителя. Только для ICL7106/7107. Индикатор источника питания, использующий внешнее опорное напряжение (IN) Рис. 4.33
230 ГЛАВА 4 А047 IE(IC) R1 R2 R3 1 мкА 20 МОм 200 кОм 2 МОм 10 мкА 2 МОм 20 кОм 200 кОм 100 мкА 200 кОм 2 кОм 20 кОм 1 мА 20 кОм 200 Ом 2кОм Переключатель позволяет проводить измерения при VCD ~ 0,5 или 3,5 В. 34 Цифровой измеритель hfe А047 ЖК-индикатор Использование ИС ICL7660 для работы АЦП ICL7126/36 от элемента 1,5 В Рис. 4.35 (IN)
СХЕМЫ 231 А047 Простой детектор окончания преобразования Рис. 4.36 ПРЕДУСИЛИТЕЛЬ С ПЕРЕКЛЮЧЕНИЕМ Разрешение слабых сигналов даже у лучших АЦП ограничено эффективным шу- мовым напряжением на входах, обычно оп- ределяемым для семейства ICL7106/07 шу- мовым напряжением, выделяемым на кон- денсаторе автокоррекции нуля. Недорогие предусилители обычно вносят свой вклад в чрезмерную погрешность на- пряжения смещения, однако схема, приве- денная здесь использует выход платы объе- динения модулей ICL7106 (или 7116, 7126, 7136) для синхронизации переключения дифференциального усилителя посредством пары аналоговых ключей, изменяющих по- лярность входного сигнала- На входе всегда имеется сигнал одной полярности и уровня, однако напряжения смещения предусилителя инвертируется на входе АЦП с 50%-коэф- фициентом заполнения, так что оно в сред- нем равно нулю за полный цикл. Переклю- чение осуществляется с частотой 60 Гц, обеспечивая чрезвычайно малый уровень шу- мов 20 мВ на всю шкалу (10 мкВ на еди- ницу счета). В схеме можно использовать большинство сдвоенных ОУ, однако важно, чтобы они имели разумно близкие друг к другу скоро- сти нарастания 1|оложительного и отрица- тельного сигналоя. ОУ с перекрестными искажениями (на- пример LM124/324) использовать нельзя. См. рис. 4.37 и материалы по применению аль- тернативных схем предусилителей.
ГЛАВА 4 232 - -.-.тяЕйв- . явив;.з&айаяк ,. . . . . _ - мм.заакм -аь»- .. . «яи А047 Только для ICL7106/07. Правильный выбор номиналов этих компонентов приведен в документе А052. Предусилитель с переключением с усилением 10 Рис. 4.37 г ееетяжйг. . -у .«я«яиаая®зтаи.“ - . _-.лзиа^_ ИЗМЕРИТЕЛЬ ЕМКОСТИ НА БАЗЕ 3 1/2-РАЗРЯДНОГО АЦП Схема заряжает и разряжает конденсатор со скоростью, определяемой частотой кварце- вого резонатора, и сохраняет в усилителе разности полученное изменение напряжения. Ток, который протекает в цикле разряда, усредняется и отношение измеряется в АЦП, использующем изменение напряжения в ка- честве опорного. Переключение диапазонов осуществляется изменением частоты цикла и сопротивления токоизмеряющего резистора. Частота цикла синхронизована с частотой преобразования АЦП посредством сигналов осциллятора OSC (внешнее деление) и платы объединения ВР (внутреннее деление). Для удобства синхро- низации цикл переключения занимает 5 пе- риодов счета, хотя используется только 4 со- стояния ключей. Можно измерять емкости более 200 мкФ с разрешением на нижнем пределе менее 0,1 пФ.
ИН ГЕгФьИСНЫЬ сльмы 33 А047 jSBHSi-' -SiSSSSSSSa Проверка Диапазон Переключатель 1А Переключатель 1В С шах 1 10 МОм 6 кГц 200 пФ 2 1 МОм б кГц 2 нФ 3 100 кОм б кГц 20 нФ 4 10 кОм б кГц 0,2 мкФ 5 100 кОм 60 Гц 2 мкФ б 10 кОм 60 Гц 20 мкФ 7 1 кОм 60 Гц 200 мкФ Состояния счетчика и ключей « Ключи Счетчик Режим 0Y 00 Заряд Сх IX 01 Формирование Ду на С , 2Y 10 _ сх „ ref Разряд Сх через делитель ЗХ 11 Сброс С( в нуль Измеритель емкости на базе 3V2 Рис. 4.38 разрядного устройства (IN)
234 ГЛАВА 4 УСТРАНЕНИЕ “ЗАТЯГИВАНИЯ11 ПРИ ПЕРЕПОЛНЕНИИ ДИАПАЗОНА В АЦП С ДВОЙНЫМ ИНТЕГРИРОВАНИЕМ 4 1/2-разрядные и 14 - 16-битовые АЦП фирмы Intersil исключают большинство конт- раргументов при реализации точных систем обработки данных, цифровых вольтметров, цифровых щитовых приборов и т.д. Однако опубликованные стандартные! схемы могут при некоторых обстоятельствах давать невер- ные показания. Наиболее досадные ошибки связаны с перегрузками, когда остаточное напряжение интегратора, как и поступаю- щее, передается в систему автоматической коррекции нуля, которая затем стремится вернуться в исходное состояние. В системах с мультиплексируемым вхо- дом, имеющих последовательные каналы, на- рушения в работе при перегрузке в одном канале могут представлять серьезную пробле- му. Такое “затягивание** можно исключить, добавив схему на двух ИС для установки выхода интегратора в нулевое положение в начале цикла автоматической коррекции ну- А047 4 1/2-разрядныйЖК-мндикатор *8 сегментов Di - Подложка CD4064A В( 28- ------1 -15 в—|ё POL R/H Вход компара- тора REF ICL71 СОЗ 51 31 32 °з& ОдЗ- 33 34 1 мкф Г г11—is 41 100к RC1 RC~ |4 OR Ю мкФ ЗООпФ 300 к —15 В н- вз^ В4& В2 2} В1 & о5Ц 30 В'р о2 °3 Од 59 2В ___27 36 ОВ — вз В2 Bi ICM7211A OSC во Строб. | ЙЖ A/2IN !> 2,3.4 6-26 37-40 Нео бязател ьн ы й конденсатор 22-100 пФ о+6В v+ Х+5 в а |£ 3 A/Z OUT it)— Цифровая ___ =±300 пФ ОВ — Аналоговая земля !СМ 7576 10—15 kDm, регулировать до получения частоты синхро- -|4 CL8O52U JZ IA)B0S3 <А> Q И И ICL 8053 (А) Ё 13 +5 В—[4 41 4 1/2-разрядный щитовой прибор с ЖКИ и фазой интегратора нуля Рис. 4.39 а (IN)
интерфейсные схемы 235 А047 Г" выходном- +5 В |8 2 0,22 ’ I Необязатель- ииный конден- сатор -Усброс 12_ Выход I СМ 7555 I 14 36 к .Буферный I Хкаскад 1 Аналоговый вход |ДВыхрд I J интегратора иНтеграторат 101(—)Вход| ^буферного -, « - каскада каскада ( 18 н утренний "ис4 «точник опорно) рыход ин тег ратора , \Компа-6~ хА₽ЭТоР*7 1бв ГО-(,2В фё-1_+15в .Интегра-- д5 \»ор у I ICL8053 5 В 300пФ 39. Счетчик SW4 SW7 Управляющая логика О, SW2' ^2ДНВЁЙ -«J23 MBEN 122----- -ф LBEN 430ce/ld Э^Выход Убуферного , каскада зоок I / Г~^(+}8ход 113 буферного» >300к n Усиление ’< буферного каскада [ _ (необяза- [_ Двоичный ВЫХОД Вход бу- ферного каскада Детектор пересечения (Выходные каскады с тремя состояниями I I -----1 I 41 I Т IT t-T 1-1 ! I 1 I 1------ 1 Ре г истры-фиксаторы шшшшпш -ф29 SEN -ф27 MODE Сииэро- Синхро- j/S О/ГГ —15 В низацинИ) низация(2) Состояние [у П'Г1 Приведен 16-разрядный вариант, 14- н 12-разрвдные версии отличаются используе- мыми выводами ICL7104. Блок-схема АЦП на 1СМ8052А(8068А)/7104 16/14/12 бит с защитой от перегрузки и схемой интегратора нуля Рис. 4.396 (IN) ля, как показано на рис. 4.39а для устрой- ства. 10.8052/71(003 и на рис. 4.396 для устройства ICL8052/7104-16. Схема функци- онирует при подключении входа компаратора к инвертирующему входу буферного каскада в первой части цикла автоматической кор- рекции нуля и затем (как обычно) к кон- денсатору автоматической коррекции иуля в оставшееся время (рис. 4.39в). Половина ИС ICM7556 управляет синх- ронизацией, другая образует синхрогенера- тор, ИС ICL8053 обеспечивает переключе- ния. Эта схема предпочтительнее многих других обычных ключевых устройств, так как она инжектирует малый заряд в конден- сатор автоматической коррекции нуля. Период интегратора нуля можно устано- вить сначала равным 1/3 - 1/2 минимально-
236 го времени автоматической коррекции нуля, однако если необходима “оптимальная" регу- лировка, нужно пронаблюдать выходной сиг- нал компаратора на осциллографе в услови- ях максимальных перегрузок. Выходной сиг- нал таймера задержки останется низким, по- ка компаратор не выйдет из режима ограни- чения и не окажется в линейной области (там обычно проявляются шумы). В отсутствие перегрузки желательна ко- роткая фаза интегратора иуля, однако зани- мать все время нет необходимости. Включен- » й.' - ЗЭТШаММННЙМММНВЯ А047 ный параллельно времязадающему резистору рМОП-транзистор можно использовать для уменьшения постоянной времени в отсутст- вие перегрузки. Кроме того, можно исполь- зовать цепочку из трех диодов или двухвхо- довый вентиль ИЛИ (рис. 4.39г). Схема задержки также вносит задержку перед началом интервала преобразования, прежде чем разрешается фаза интегратора нуля. Если схема подверглась модификации, это необходимо проверить. Фаза I: фаза II: фаза III: Автокоррак- Интегри- Интегрирование Интегратор ция нуля рование опорного Интегратор Внутренняя синхронизация 10 000 10000 До 20 000 импульсов импульсов импульсов синхронизации синхронизаций синхронизации рис. 4.39в Временные диаграммы 4 1/2-разрядного АЦП (IN) А047 Рис. 4.39г asяж-'aaas Защита ’от перенапряжений (IN)
ИНТЕРФЕЙСНЫЕ СХЕМЫ 237 . АЦП И СЧЕТЧИК, ОБРАЗУЮЩИЕ ЭЛЕКТРОННЫЕ ВЕСЫ С АВТОМАТИЧЕСКИМ УЧЕТОМ МАССЫ ТАРЫ Эта схема, использующая 12-разрядный АЦП фирмы Intersil ICL7217, управляющий индикатором, позволяет простым нажатием кнопки учитывать массу тары в электронных весах и требует лишь одного 5-В источника питания. При любой массе тары на шкале нажатием кнопки устанавливается нуль ин- дикатора, который затем показывает суммар- ную или текущую массу. Преобразователь с двойным интегрирова- нием ICL7109, работающий в режиме асинх- ронного обмена, вырабатывает на выводе 19 после пересечения нуля импульс HBEN дли- тельностью в один период синхрогенератора. По природе преобразования с двойным ин- тегрированием пересечение нуля, а следова- тельно, и импульс HBEN, будет появляться N периодами позже, так как входное значе- ние возрастает на N бит, и обратно (рис. 4.406). Каждый цикл преобразования зани- мает 8192 периода синхронизации. На вход ИС 7109 можно подать сигнал от мостового датчика, питающегося от того же самого источника 5 В, что и ICL7109, и ее опорное напряжение, так что операция измерения отношения в 7109 подавит пуль- сации источника питания. Четырехразрядный реверсивный счетчик имеет регистр-защелку индикатора, включае- мый сигналом STORE (вывод 9), который управляет мультиплексированным индикато- ром на светодиодах. Выход ZERO (вывод 2) индицирует нулевое состояние счетчика, ко- торое также вызывается сигналом на входе RESET (вывод 14). Отдельный регистр срав- нения предварительно загружается через ди- оды из выбранной цифры индикатора в порт BCD, когда сигнал LOAD Register (вывод 11) имеет высокий уровень. Сигнал EQUAL (вывод 3) принимает низкий уровень, когда содержимое регистра и счетчика одинаково. При включении питания конденсатор 10 мкФ на выводе LOAD Register (вывод 11) загружает регистр сравнения числом 4096. Сигнал счетчика UP/DOWN, вырабатывае- мый триггером (1/2 741574), соответственно устанавливается и сбрасывается сигналами ZERO и EGUAL, так что циклы прямого и обратного счета от 0 до 4096 насчитывают 8192 периода синхрогенератора за цикл. Когда весы уравновешены а пределах шкалы, сигнал HBEN от ИС 7109, активи- зируя вход STORE ИС 7217 каждые 8192 периода синхрогенератора, дает баланс, од- нако в исходном состоянии индуцируется случайное число. Когда ключ TARE замкнут’, счетчик сбрасывается сигналом HBEN, уста- навливая нуль индикатора. Даже когда ключ TARE разомкнут, индикацйя остается нуле- вой, потому что при неизменной массе сиг- нал "MBEN разрешает запись в регистр-за- щелку индикатора всякий раз, когда счетчик имеет нулевое состояние (сплошная линия на рис. 4.406). Если масса соответствует N-разрядному значению, добавляемому на чашку весов, сигнал HBEN появляется N импульсами по- зже, следовательно, число N запишется в регистр и отобразится на индикаторе (пунк- тирная линия на рис. 4.406). И обратно, ес- ли N-разрядный код массы уменьшится, на дисплее отображается -N. Знак минус обус- ловлен запоминанием состояния сигнала UP/DOWN при сигнале HBEN на втором триггере. Единственное ограничение состоит в том, что входной сигнал преобразователя не дол- жен изменять полярность. В случае необхо- димости выходным сигналом POL (вывод 3) ИС 7109 можно воспользоваться для предуп- реждения о такой ошибочной ситуации. Чтобы получить разрешающую способ- ность более 4096 единиц, можно заменить ИС 7109 на двухкристальный АЦП ICL8052A/ICL7104 с 1б-разрядным разреше- нием. Счетчик ICM7217 является каскадиру- емым. Система, очевидно, может работать с взвешивающими устройствами с принуди- тельной балансировкой или другими видами входного сигнала. 3 3/4-РАЗРЯДНЫЙ (±4095 ЕДИНИЦ) ИЗМЕРИТЕЛЬ С ИНДИКАЦИЕЙ НА СВЕТОДИОДАХ, ЖК- ИЛИ КАТОДОЛЮМИНИСЦЕНТНЫХ ИНДИКАТОРАХ Используя 12-разрядный АЦП ICL7109, счетчики/дешифраторы ICM7224, 7225 или 7236 и две КМОП ИС серии 4000, можно создать 3 3/4-разрядный (4095 единиц) цифровой измеритель с автоматическим оп- ределением полярности. Выбор счетчика опе- деляется выбором индикатора. ICM 7224 уп- равляет ЖК-индикатором, ICM7225 - свето- диодным индикатором с общим анодом и ICM7236 - ярким зеленым катодолюминес- центным индикатором. На рис. 4.41а показана блок-схема уст-
А047 400 мВ Полная шкала Вход 0,1 мкФ 1GND 2 STATUS 3 POL 4 OR Рис, 4.40а V 40 REF IN 39 REF CAP 38 D s Q >С R6 —СТ- 4-разрядный светодиодный 5 B12 6B71 7B10 8B9 9B8 10 B7 11 B6 12 B5 13 B4 14 B3 15 B2 18 B1 17 TEST 18 LBEN 19 HBEN 20SE/LOAD ICL71O9 REF CAP 37 REF IN 36 IN Hi 35 IN LO34 COMMON 33, INT32 AZ 31 BUF30 REF OUT 29 V2B SEND 27 RUN/HOLD 26 BUF OSC OUT 25 OSC SEL24 OSC OUT 23 OSC IN 22 MODE 21 Юк 0.1 мкФ 100 пФ 5x1N4148 _ 47 К -5 В 5B 100 к -5В Учет тары 5В—1(- 10 мкФ 1 CARRY/3ORROW 2 ZERO 3 EOUAL 00 28 D1 27 D2 26 4 BCD 8 D3 25 5 BCD 4 V 24 6 BCD 2 DtSP. CONT. 23 7 BCD ICM7217 5 22 8 COUNT В 21 — 9 STORE V 20 10 UP/DOWN Ё19 11 LOAD REG. F 18 12 LOAD CTR. D 17 13 SCAN A 16 C 15 14 RESET Преобразователь с двойным интегрированием в весах с автоматическим учетом массы тары (IN)
ИНТЕРФЕЙСНЫЕ СХЕМЫ 239 А047 v Рис. 4.406 Временные диаграммы схемы весов с автоматическим учетом массы тары (IN) ройства. ИС ICL7109 работает в режиме асинхронного обмена (сигнал MODE имеет высокий уровень). Аналоговый вход стандар- тный и аналоговые цепи подробно не пока- заны. Временные диаграммы приведены на рис. 4.416. ИС ICL7109 совершает полное преобразо- вание за 8192 периода синхрогенератора, 2048 периодов занимает автоматическая кор- рекция нуля, 2048 периодов - интегрирова- ние сигнала и 4096 периодов - восстановле- ние к опорному уровню. Сигнал STATUS имеет высокий уровень в начале интегриро- вания и принимает низкий уровень спустя 1,5 периода после пересечения нуля, давая в сумме 2050 + N импульсов генератора, пока сигнал STATUS имеет высокий уровень, где N - цифровые показания АЦП. Следователь- но, подсчитывая синхронизирующие импуль- сы во время действия высокого уровня сиг- нала STATUS и вычитая 2050, можно полу- чить искомые показания. ИС счетчиков, управляющих индикато- ром ICM7224/7225/7236, имеют идентичные входные цепи. Они содержат 4 1/2-декад- ный счетчик с управляющими входами CLOCK, RESET и COUNT INHIBIT и от- дельный регистр-защелку индикатора, управ- ляемый сигналом STORE. Во время работы этот регистр управляет через дешифратор немультиплексированиым 7-сегментным инди- катором. _______ Когда сигнал STATUS имеет низкий уро- вень, сигнал RESET ИС CD4040 принимает высокий уровень и триггер, образованный вентилями 3 и 4, взводится, формируя низ- кий уровень сигнала COUNT INHIBIT. В на- чале цикла интегрирования сигнал STATUS принимает высокий уровень, снимая сигнал RESET со счетчика CD4040, и разрешает ему начать счет. Вентиль 3 обнаруживает 2050-й импульс и сбрасывает триггер, сни- мая сигнал COUNT INHIBIT и разрешая счетчику счет синхроимпульсов. Когда сиг- нал STATUS принимает низкий уровень по- сле перехода через нуль, триггер опять взво- дится и запрещает счет. Счетчик теперь считает все импульсы, следующие за 2050-м, следовательно, содержимое счетчика дает нужное показание. Оно передается в ре- гистр-защелку индикатора сигналом HBEN от ИС 7109. Счетчик сбрасывает сигнал LBEN, и схема теперь готова к следующему циклу преобразования. Двоичные входы ИС 7109 не используются. Конечно, вместо ICM7224/7225/7236 можно использовать и другие счетчики, од- нако они очень удобны благодаря их способ- ности непосредственно управлять индикато- ром. ICM7217 можно использовать со свето- диодными индикаторами, а ее регистр срав- нения может служить для индикации верх- него или нижнего предела. Если необходимо большее разрешение, (до 65536 единиц счета), ICL7109 можно заменить на ICL8052/8068 и 14- или 16- разрядной АЦП ICL7104, который имеет вы- ходной интерфейс, аналогичный ICL71O9.
ГЛАВА 4 240 Рис. 4.41а Блок-схема ‘ ^Я^МВвННЯММЯНЯВННВНММЯМИНВЯВНН1ВМММВЯВНВВЯ1МВ1ЯНММ1йНВМ1 А047 Выход интегратора Фаза 1: Детектирование полярности Фаза II: Пересече нуля ние Обнаружение пересечения нуля Фаза 1: Внутренняя синхронизация Внутренняя автокоррек^-^ U^ffLTL интегриро- ъ П вание ълпл. ъ_ги Фаза 111: . разрнд ► гъпги—1_гиъ П- , автокоррек^^ ция нуля и—LTLTL 1_ фиксация Выход 1 — СОСТОЯНИЯ Минимум 2048 Ровно Максимум Минимум импульсов Зисло импульсов / (уля пропорциона на входе * 2048 импульсов о пересечения чьно напряжению импульсов Фазы повторного интегр автокоррекции нуля зан 6144 импульса 2048——*- импульсов ирования и имают Вход сброса CD4040 "А* — — "В" Запрет счета - = 20491/2 импульса “*— синхронизации—*- Т Г LBEN-Сброс Рис. 4.416 Форма действующих сигналов (IN)
ИНТЕРФЕЙСНЫЕ СХЕМЫ 241 Счетчики ICM7224/25/36 допускают кас- кадирование. В этом случае цепочку сче- тчиков CD4040 следует увеличить до со- ответствующей длины для работы с 14 или 16 разрядами, так как в этом случае нужно вычитать 8194 и 32770 единиц счета со- ответственно. Так как управление индикатором не- мультиплексированное, схемы управления удобно располагать на одной плате с ин- дикатором. Для соединения платы инди- катора с основной платой необходимо всего четыре сигнала: COUNT, COUNT INHIBIT, STORE, RESERT. Кроме того, с взаимозаменяемыми платами ЖК-, светодиодных и катодолюминесцентных индикаторов можно использовать одну и ту же основную плату. 1 ВЕСЫ С ДИАПАЗОНОМ И РАЗРЕШЕНИЕМ * 1 / Легко построить недорогие цифровые ве- сы, используя измерительный датчик деформации и КМОП-усилитель типа ICL7650, АЦП двойного интегрирования, на- пример, однокристальные КМОП-устройства ICL7106/7 (3 1/2-разрядные), 1СЦ7Ю9 (12- разрядный двоичный) или ICL7135 (4 1/2- разрядный) фирмы Intersil, и если необ- ходимо, каскад управления индикатором. В торговле требуются электронные весы с раз- решением 1/4 единицы показания инди- катора. Это легко реализовать, заменив каскад управления индикатором со счет- чиками и несколькими стандартными КМОП ИС средней степени интеграции. Цикл работы 4 1/2-разрядного АЦП с двойным интегрированием ICL7135 имеет три фазы: автокоррекция нуля, интегрирование и разряд. В фазе автокоррекции нуля напряжения смещения измеряются и устраняются, выход BUSY принимает низкий уровень и ICL7135 -инте- грирует входной сигнал за 10 000 периодов синхрогенератора. Во время разряда опорное напряжение интегрируется до тех пор, пока интегратор не возвратит его в исходную точку (или произойдет пересечение нуля) и. в конце его сигнал BUSY принимает низкий уровень. Поэтому если N - цифровое показание, то разряд занимает последующие N + 1 периодов синхронизации (один дополнительный период связан с тем, что разряд фактически завершается следующим положительным фронтом синхроимпульса после пересечения нуля) и в конце его сигнал BUSY имеет высокий уровень для всех 10001 + N синхроимпульсов и, очевидно, показания можно определить, под- считав количество импульсов, пока BUSY имеет высокий уровень, и -вычтя 10001. В данном случае нам нужно отобразить на индикаторе N/4, так что цикл прео- бразования 20 000 периодов будет давать показания для всей шкалы 5000 единиц. Это осуществляется задержкой 10001 периода синхронизатора после принятия сигналом 5000 ЕДИНИЦ СЧЕТА 4 ЕДИНИЦЫ СЧЕТА BUSY' высокого уровня, разрешающего счет, на 1/4 периода синхрогенератора ИС 7135. Когда сигнал BUSY' примет низкий уровень, этот счетчик остановится, его содержимое передается на индикатор и после сброса он готов к следующему циклу. Небольшое усовершенствование состоит в том, что сче- тчик должен увеличивать значение на 2, 6, 10 и т. д., что предпочтительней, чем на 4, 8, 12 и т. д., так что кватование сим- метрично относительно отсчета. Целесообраз- но использовать один и тот же счетчик для начальных 10 000 синхроимпульсов и для окончательного счета. Пригодны . счетчики ICM7224, управляющий ЖК-индикатором, ICM7225, управляющий светодиодным ин- дикатором, или ICM7236, управляющий катодолюминесцентным индикатором фирмы Intersil. Это одинаковые 4 1/2-разрядные счетчики, за исключением каскадов управления индикаторами. Схема работает следующим образом: во время фазы автокоррекции нуля, когда сиг- нал BUSY' имеет низкий уровень, счетчик заперт его входным сигналом COUNT INHIBIT. Триггер 3 установлен так, что выход Q сбрасывает триггеры 1 и 2 и открывает вентиль 3, пропускающий синхро- импульсы на счетчик. В начале фазы интегрирования сигнал BUSY' принимает высокий уровень и счетчик начинает считать. Отметим, что счетчик и триггеры срабатывают на спадающий фронт синхроимпульса, тогда как ICL7135 срабатывает на нарастающий фронт во избежание гонки фронтов. После 10 000 импульсов спад сигнала CARRY' переводит триггер 3 в его нулевое состояние. Триггеры 1 и 2 сразу же делят частоту входного сигнала на 4, пока вентиль G3 . блокирует прохождение исходного входного синхросигнала на счетчик, который сразу же сбрасывает его в нуль. Исходное состояние триггеров I и 2 таково, что первый нарастающий фронт на выходе Q2 появляется тремя периодами
Синхронизация ICL7135 Состояние Внутренний счет 7135 Занято Внутренний счет 7224 Выход пере - носа 7224 Рис. 4.42 Весы с диапазоном 5000 единиц счета и разрешением 1/4 единицы счета (IN) синхронизатора позже, так что содержимое счетчика увеличивается на 1 как раз перед тем как показания ICL7135 увеличиваются на 2, и т. д. В конце фазы разряда сигнал BUSY принимает низкий уровень, останавливая счетчик. Выходной сигнал STROBE ICL7135 состоит из пяти импульсов, совпадающих с сигналом выбора каждой цифры во время первого цикла сканирования мультиплексированного выхода по завершении фазы разряда. Сигнал STROBE используется для установки триггера 3, восстановления исходного состояния готовности к следующему циклу преобразования. Сигнал STROBE, совпадающий с D5, записывает содержимое счетчика в режим индикатора, затем сигнал STROBE, совпадающий с D4, сбрасывает счетчик. Отметим, что стандартные двоично- десятичные выходы в 7135 не используются.
ИНТЕРФЕЙСНЫЕ СХЕМЫ 243 ЛОГАРИФМИЧЕСКИЙ АЦП Простой однокристальный цифровой вольтметр легко преобразовать для отображения логарифма отношения двух входных напряжений Vj и V2- Рабочее ограничение - V, > V2. На рис, 4.43а показана соответствующая схема. Отличия от типового включения состоят б том, что введен делитель напряжения (отношение К) на входе опорного напряжения, входной сигнал представляется как разность между Vx и V2 и добавлен резистор Rp параллельно интегрирующей емкости. Постоянная времени интегрирования определяется как Cjnt Rp. Обратившись к кривым на рис. 4.436, вычислим сначала конечное напряжение интегратора, подразумевая, что потенциал изменяется по экспоненте: Vas = Vin? <V1 ’ V2>- и поэтому конечное напряжение интегратора равно viM = <Rp^int) - v2> x x(l- exp (-T/t)), где T - заданный период интегрирования. Во время разряда полный размах экспоненты определяется как vtot = vint + vre£ VRiM, и учитывая, что Vre{ = К полный размах экспоненты будет Vtot = <Vi - V2) (1 - exp (-T/t)) + K v2- Интегратор пересекает нуль, когда экспонента равна VF.N = = Vin.) K V2‘ Поэтому время до пересечения нуля определяется как Т = t In Vtot/Vf = = *ln [<W (Vi - (1 - exp (-T/t)) +F(Rp/Rint) К V21/(R_/Rjnt) x К Va = t In [(Vx - V2I x R x (1 - exp (-T/t)) + К V2]/K V2. Теперь, приняв К = I - exp (-T/t), получим T = t In [K(Vt - v2) + К V2]/K V2 = = t In (Vj/ V2). Теперь примем t = TiM/2,3, где Tjnt - период интегрирования. Тогда T = (Т/2,3) In (Vj/V2) = Т Ig (У^з), так что цифровой вольтметр будет показывать 1000, когда Vj/V2 = 10, что правильно. Коэффициент деления К будет равен К = (1 - exp (-T/t)) = 1 - е'2’3 = = 1 - 0,1 = 0,9, что имеет смысл, если представить себе, что окончательное напряжение интегратора в фазе интегрирования почти достигает 0,9 от асимптотического уровня. Теоретически полная шкала устройства Vj/V2 = 100 (т. е. когда логарифм равен 2), однако шумы будут значительно снижать этот предел. Отметим также, что точность устройства несильно зависит от выбора пассивных элементов. Простейшая процедура настройки состоит в установлении К = 0,9 (предпочтительно использовать заранее настроенный делитель), затем при = = 10 V2 подстраивать Rp до тех пор, пока отсчет не станет равным 1000. Логарифмирующие устройства используются в фоторграфии, химической денситометрии и колориметрии и в звуковых шкалах децибел.
244 ГЛАВА 4 Рис. 4.43а ICL7I35 ВЫВОДОМ Модификации цепи для логарифмической операции ^Nj Формы выходных сигналов интегратора в сравнении с интегратором с неинвертирующим входом Рис. 4.436 (IN) - Wts.' ~ .та. иявя^-иииг-г.- —ям ЯИЯЙ-- •,. • де
ИНТЕРФЕЙСНЫЕ СХЕМЫ 245 А047 правильные показания при завышенные (или перегрузку) для положи- напряжениях, но сильно тельиых напряжений. Схема дает отрицательных Рис. 4.44 (IN) СХЕМЫ БЁЗ ОПОРНОГО КОНДЕНСАТОРА Во многих случаях полярность входного напряжения всегда известна, либо " при различной полярности требуются различные опорные напряжения. В других приложениях может бйть желательно изменение опорного напряжения во время преобразования, например, для получения какой-либо нелинейной функции преобразования. Во всех таких случаях опорный конденсатор нежелателен или не нужен. Ца рис. 4.44 показан способ, позволяющий устранить его и подать необходимое опорное напряжение непосредственно на вывод CREp. Отметим, что источник опорного напряжения в некоторых фазах преобразования может замыкаться накоротко, так что необходимо применять токоограничивающий резистор. Схема дает правильные показания при отрицательных напряжениях, но сильно завышенные (или перегрузку) для положи- тельных напряжений.
246 ГЛАВА 4 яшимгжннявпдавя^нивнжтж А047 Рис. 4.45 СХЕМА МАЛОШУМЯЩЕГО ПРЕДУСИЛИТЕЛЯ Шумовые характеристики ИС семейства ICL7106/7/9 определяются шумами, улавливаемыми конденсатором автокоррекции нуля в начале фазы интегрирования. Эти шумы зависят . в сложных системах от входных шумов буферного усилителя. Если встроенный буферный усилитель заменить малошумящим ОУ, шумовые характеристики можно улучшить, особенно если сосре- доточить все усиление в этом каскаде, что можно реализовать на двухкристальных уст- ройствах. На рис. 4.45 показан такой способ при повышенном входном токе и при отсут- ствии дифференциального входа. Первона- чальная цепочка ключей заменена на ICL8053, работающую синхронно с внут- ренним счетчиком благодаря использованию оригинальной комбинации ключи - буфер- ный усилитель, образованной резистивными делителями и четырьмя компараторами, обнаруживающими различные фазы преобра- зования, как показано на рисунке.
ИНТЕРФЕЙСНЫЕ СХЕМЫ 247 А047 Рис. 4.46 (IN) СХЕМА ТОКОВОГО ПРЕОБРАЗОВАТЕЛЯ Обычные схемы преобразования напря- жения преобразуют дифференциальное вход- ное и опорное напряжения в соответ- ствующие токи в интегрирующем конден- саторе. Когда входной сигнал представляет собой ток в соответствующем диапазоне, можно повысить чувствительность, интег- рируя его непосредственно, не преобразуя в напряжение, особенно если опорный сигнал также является токовым. В схеме на рис. 4.46 токи непос- редственно подаются на вход интегратора. Источники переключаются синхронно с внут- ренней фазой преобразования с помощью буферного усилителя и цепочки ключей напряжения комбинации с четырьмя компа- раторами, так же как на рис. 4.45. Обыч- ный цикл автокоррекции нуля повторяется по-прежнему, что обеспечивается заземлени- ем входа во время соответствующей фазы.
Для ICL7107/7117 подать на вход INVERT высокий уровень, использовать ши- ну питания О В в качестве логической зем- ли и исключить вентили “Исключающее ИЛИ". Рис. 4.47 (IN) ДВОИЧНО-ДЕСЯТИЧНЫЙ ВЫХОД ИЗ 7-СЕГМЕНТНОГО ВЫХОДА ЩИТОВОГО ПРИБОРА Часто кроме управления индикатором требуется обеспечить и двоично-десятичный выход для щитового прибора или для специального декодирования верх- него/нижнего предельных значений и т. п. Приведенная здесь схема использует стандартные КМОП ИС для преобразования 7-сегментного выхода от ЖК- или свето- диодных индикаторов в двоично-десятичный код.
5 ЦИФРОВЫЕ И МИКРОПРОЦЕССОРНЫЕ СХЕМЫ Аналоговый вход в микропроцессорном оборудовании Микропроцессор, включающийся по сигналу прерывания Схема управления шаговым двигателем 8-разрядная микропроцессорная система с аналоговым интерфейсом Цифровой преобразователь, управляемый ЭВМ Кодер - декодер Каскадирование кодирующих и декодирующих устройств Программируемый кодер данных Цифровой сигнальный процессор Монитор ИКМ-сигнала
ICM7231 - ICM7234 Микропроцессор Таймер МС6846 Микропроцессор МС6802 с 16-разрядным 16-сегментным ЖК-индикатором с Американским стандартным кодом для обмена информацией (ASCII) Рис. 5.1 (NI)
ICM7231 - ICM7234 20 пФ 6МГц 20 пФ ImkT МикроЭВМ 8048 V* у* vpp| | VCC 26 40 20 V-. РЮ 27 2 0^1 Температурная компенсация ---- 7ЕА V*— 5SS 3 0SC2 Р17 34 Р2021 22 4 Сброс 23 24 35 36 37 за 12 Р26 Р27 ПВО Входы - ---1 ТО --- 39 Т1 _-- 61NT Шина 'DD “ vss - VSS “ Л орт ввода- вывода 1 VDD ” 3-29 40 V* VDlsp ICM7233A 36Земля DO---------D6 АО A1CS2CS1 30---------36 37 за 39 1 2N2222 Шина внешней памяти и других "периферийных устройств 2~l Порт — - ввода* Z вывода 2 DB719 9 25 106 ALEl RD PROG WR 3-29 40 V* VDlsp ICM7233A 36 Земля DO---------D5A0 A1CS2CS1 30 36 3 7 36 39 1 200 К VDISP Регулировка МикроЭВМ 8048/IM80C48 с 8-разрядным 16-сегментным ЖК-индикатором с Американским стандартным кодом для обмена информацией (ASCII) Рис. 5.2 (IN)
252 ГЛАВА 5 ICM7231 - ICM7234 Рис.5.3 Система, выдающая сообщения, закодированные в СПЗУ (IN)
Вход А Вход В 10-МГц указатель частоты/периода с ЖК-индикатором Индикатор показывает режим работы и десятичные точки, указывающие диапазон текущего измерения. Система может эффек- тивно работать от аккумуляторов. Рис. 5.4
ICM7231 - ICM7234 Рекомендуемая ориентация выводов БИС и подключение к индикатору 5.5
ЦИФРОВЫЕ И МИКРОПРОЦЕССОРНЫЕ СХЕМЫ АНАЛОГОВЫЙ ВХОД В МИКРОПРОЦЕССОРНОМ ОБОРУДОВАНИИ ' Следующие схемы показывают подключе- Burr-Brown МР10 (PDS-363) к Intel 8080 ние БИС МР20 и БИС аналогового вывода zilog Z-80 и National SC/MP. для микропроцессорного оборудования фирмы МР20 Использование БИС МР10 и МР20 с МР8080 Рис. 5.6 (ВВ)
ГЛАВА 5 256 МР20 Использование БИС МР10 и МР20 с SC/MP Использование БИС МР10 и МР20 с Z-80 (ВВ) Рис. 5.7 шамаю резистор источника на частоту тока смещения 1 Гц Е = [Rj/(RC + R,)] (1 + Ra/Rb> х (kT/q) In 10, T в кельвинах, k/q = 8,67 х 10s. Рис. 5.8 Съем сигнала с термопары с помощью БИС МР20 (ВВ)
И МИКРОПРОЦЕССОРНЫЕ СХЕМЫ 257 МР20 Программирование и подключение БИС МР20 Функция Соединения Функция Соединения бднопроводной Адресная шина мультиплексор 4 - 2, 4 - 77, 79 - 64, 15 - 14, 13 свободен Дифференциальный мультиплексор 4 - 2, 77 - 79, 13 - 14, (АО - А15) Выбор адреса Соединить с выводами АО - А15 адресной шины МР8080 15 свободен Усилитель 1 и 3 свободны для усиления, равного 2. (А4 - А14) Соединить с шиной +5В* или заземлить для усиления, не рав- ного 2, Rext подключить между Шина управления Соединить 44 с выводом 24 МР8228 45 с выводом 17 МР8О8О выводами 1 и 3 Выходной диапазон +5 В ' 65 - 63, 66 свободен, 67 - 68 ±2,5 65 - 63, 66 - 68, 67 свободен Шина данных 46 заземлить 47 с входом 23 МР8224 для нормального режима 48 свободен для работы без останова ЦТ ±1,25 65 - 63, 66 - 68, 63-67 От 0 до +5 В 65 - 64, 66 - 68, 67 свободен От 0 до 2,5 В 65 - 64, 66 - 68, 63 - 67 Кодирование входа 52 - 51 - двоичный код (DO - D7) Соединить с шиной данных МР8080 52 - 50 - код с дополнением до 2 * Выводы 52 и 50 1 кОм. соединить через резистор Рис. 5.9 (ВВ)
258 ГЛАВА 5 ММНВОМНШ IM80C48, ICM7211M 40 26 20 РЮ 27]- 26k 2XTAL1 29 - 3XTALZ 7EA IM8OC48 30 31 32 33 Р17 54 Р20 21 NC - 5 SS 1Т0 Вход - - ЗОИ 61NT 8-разрядный ЖКИ ICM7211M, младшие pa з ря д ы е „ 2,3,< VDD 1 5 ВР 6—26 Сегменты Vgg 35 Данные 37 "40 OSC РОГРЗ DS1DS2 CS1 К? 27 28 2930 31 32 35 34 2,3»4 Сегменты 6—26 BP S 37-40 - Ввод-вывод 6I0R 910W 10RD 11 ALE ICM7211M старшие разряды Н +5^dle V®D *SS Даянью „, . = iSQ Pq~p3 031DS2C51CS2 <7 2g293&31 32 33 34 : -Buofc за o; _ вывод] 23 24 5з£ 37 Р27 38 РВО 12 13 15 16 16 DB7 19 При использовании устройства 80(035 следует подать на вывод ЕА напряжение 21 AS 40 S 20 22AS VCC VDD VSS 23 АЮ 2CE 4 Сброс NC -| 1 PROG 12 ADO 13AD1 14 AD 2 15AD3 16 AD 4 17AD5 18AD6 19AD7 RAO 24 -Л 25 - 26 - 27 - . 23 ~ 29 ’ 50 “ PA7 31 “J PBO 52 n 33 _ 34 - 35 - . 36 - 37 - 38 - РБ7 59 "J ПЗУ/РПЗУ с расширителем ввода-вывода 8355/8755 3 6 NC NC I/O I/O Для подключения к ICM7211 ИС 8355 и 8755 не требуются. Интерфейс микроЭВМ IM80C48 Рис. 5.10 (IN)
ЦИФРОВЫЕ И МИКРОПРОЦЕССОРНЫЕ СХЕМЫ 259 ICM721B VCC 'bDVSS Кварц 1 Кварц 2 Сброс ММ80С55 ММ80С48 ЕА 8048 8050 55 8748, И Т.П. ТО Т1 INT 9 n .11 -11 -ii j. АЦ FSEti nos iffl и |11 |9 |25 ho |B 140 VDD 120 VDD ICM7218A/B Режим IDO ID1 D2 E3 04 OS ED6 ID7 WR Цифровой индикатор для микро- . процессора --/--- 8сегментов —У------- 8 сегментов Рис. 5.11 (!N)
ГЛАВА 5 260 МИКРОПРОЦЕССОР, ВКЛЮЧАЮЩИЙСЯ ПО СИГНАЛУ ПРЕРЫВАНИЯ Включение питания посредством логиче- ских сигналов популярно в цифровой техни- ке. Преимущество управления логическими сигналами на первый взгляд состоит в огра- ничении потребляемой мощности. Дальней- шее рассмотрение показывает, что схемы с отключением питания быстро реагируют на входные сигналы и не генерируют неверных или ложных выходных сигналов, мешающих осуществлению критичных процессов, умень- шая вероятность ошибки. Схема иллюстрирует использование сиг- нала прерывания .для включения микропро- цессора вместе с его схемами обрамления. Для включения полевого транзистора TP0102N3 с пороговым напряжением 2,4 В вполне достаточно сигнала с ИС 4001. TP0102N3 TP0102N3 Вход прерывания Q- положительной полярности К устройствам памяти и ввода-вывода Источник тока 10 мА для входного преобразователя Рис. 5.12 (SU)
ЦИФРОВЫЕ И МИКРОПРОЦЕССОРНЫЕ СХЕМЫ 261 СХЕМА УПРАВЛЕНИЯ ШАГОВЫМ ДВИГАТЕЛЕМ Эта схема привода шагового двигателя, управляемая микропроцессором, работает с быстродействующим эффективным двигателем с постоянным магнитом как с нормальным шагом 1,8°, так и с микрошагом 0,028°. Ко- ды синусов и косинусов из таблицы, реали- зованной в ПЗУ, попеременно загружаются в регистр (показана только секция для сину- сов) и затем поступают в ЦАП DAC-08, вы- рабатывающий опорное напряжение для уп- равления током двигателя посредством им- пульсного стабилизатора. Этот стабилизатор состоит из ИС 3526 и мощного полевого транзистора VN1210. Длительность строб-им- пульса и напряжение питания определяют скорость двигателя. Знаковый разряд 7 уп- равляет возбуждением обмоток питания 1 и 3 посредством транзисторов Q2 и Q3. Сек- ция косинусного сигнала, являющаяся точ- ным зеркальным отражением этой, питает обмотки 2 и 4 двигателя. К преимуществам мощных МОП-транзи- сторов относятся превосходное использование возможностей выходного каскада ИС 3526 и простое непосредственное управление обмот- ками от ТТЛ-схем. Qj - Q6 - VN1201N5 Тр Т2 - 38 витков провода калибра 30 AWG в каждой обмотке, на броневом сер- дечнике из феррита 1408-L00-3B7. Двигатель Slo-Syn М051-Й)-02 с индуктивностью обмо- ток 10 мГн. ' Рис. 5.13 (SU)
262 ГЛАВА 5 МР10, МР11 8-РАЗРЯДНАЯ МИКРОПРОЦЕССОРНАЯ СИСТЕМА С АНАЛОГОВЫМ ИНТЕРФЕЙСОМ Аналоговый ввод-вывод с ИС МР10 Аналоговый ввод-вывод с ИС МР11 Рис. 5.14 (ВВ)
ОРАЮ1, ОРАбОО Цифровой преобразователь, управляемый ЭВМ
ГЛАВА 5 - - - /__________С; —Т 7 7‘. ED-11, DC-7 КОДЕР-ДЕКОДЕР К регистрам^ фиксаторам Для управления или индикации Система со стробируемым параллельным выводом данных (SU) Рис. 5.16 ED-11, DC-7 Система со стробируемым параллельным выводом данных на DC7 Рис. 5.17
ЦП l-ииыи и КГОПРОЦЕССОРНЫЕ СХЕМЫ 265 ED-11, DC-7 Приемник/передатчик дистанционного управления на ИК-лучах (SU) Рис. 5.18 Блок-схема основной конфигурации системы дистанционного управления на ИС ED-11 в качестве кодера/декодера для передачи данных от микропроцессора Рис. 5.19 (SU)
ED-11, DC-7 Система кодирования/декодирования, иллюстрирующая возможности квитирования установления связи Supertex ED-11 Рис. 5.20 (SU) ED-11, DC-7 +18 в Передатчик сигнала по электросети -1В в Рис. 5.21 (SU)
ЦИФРОВЫЕ И МИКРОПРОЦЕССОРНЫЕ СХЕМЫ 267 ED-11, DC-7 Трансформатор на броневом сердечнике без зазора из магнитного материала марки F размером 18x11 мм содержит 80,5 витка провода No 35 во вторичной обмотке и 5,5 витка в первичной обмотке. Это обеспечива- ет коэффициент трансформации 15:1- Приемник сигнала из электросети Рис. 5.22 (SU)
(SU) Разрешение (три состояния) Рис. 5.24 Передача данных в каскадной системе (SU)
ПРОГРАММИРУЕМЫЙ КОДЕР ДАННЫХ Простая монолитная ИС DC-7A, выпол- ненная по КМОП-технологии с металличе- ским затвором, недорога, потребляет неболь- шую мощность, имеет высокий выход дан- ных и высокую надежность. Эта схема двой- ного назначения может работать в качестве кодера или декодера с собственной линией связи в приложениях, где требуется кроме передачи 8-разрядных данных отличное рас- познавание адресных кодов. Она декодирует 1 из 128 адресных кодов. В режиме переда- чи эта схема может генерировать все допу- стимые коды при подключении входов адре- сов к VDD или к земле для получения логи- ческой единицы или логического нуля соот- ветственно. В режиме приема эта схема мо- жет декодировать переданные сигналы и од- новременно осуществлять сравнение с мест- ным адресным кодом для идентификации. шванмятвммппмвяижажмвмзмнФ DC-7A Г енератор Гене- Синхро- синхронизации ратор генератор данных Вход генератора Резистор генератора Конденсатор генератора Сброс , Запуск/вход1 данных Вход генератора Резистор генератора Конденсатор генератора В ремязадающий генератор манчестерского кода Декодиро- ванный выход данных Преобразователь параллельного кода в последовательный тшшштшг АО A6D0 D7 Управляю- щая логика Сброс Запуск/ вход данных Генератор Г ене- синхронизации ратор и стробирования Управляю- щая логика В рем я задающий генератор Данные Резера Р Компа- К ратор данных кода данных Сброс данных Выход декодированных данных Преобразователь параллельного кода в последовательный тптпг АО А6 Данные действительны Синхронизация данных Выход последо- ПЕРЕДАТЧИК Адресные Разряды разряды данных Адресные разряды ПРИЕМНИК 5,25. (SU)
DC-7A Адрес м- Земля Ст 25 SDO 23 Земля Р/DO ИЛИ DV V, Строб- импульс Синхро- низация Данные 16 з 2 ----- D7 5 6 Об ----- D5 ----- D4 Е 13 ----- D3 -----D2 12 ------D1 -----DO Приведенная схема демонстрирует ис- пользование ИС DC-7 там, где адреса и данные можно передавать от одной станции к другой и обратно. В устройствах, где воз- вращаются только данные и приемнику при- своен фиксированный адрес, на ИС 4094 следует подать сигнал D/DO и использовать только верхнюю ИС. В системах, где все полученные данные и адреса декодируются, нужно подать на обе ИС сигнал DV, как показано на схеме. Строб” импульс Синхро- низация, 2 3 1 {Разрешение “ ° вывода 3,емля или vDD DD 16 £ -----А6 5 -----А5 6 -----А4 АЗ 14 -----А2 13 ------А1 12 -----АО Рис. 5.26 (SU)
ЦИФРОВЫЕ И МИКРОПРОЦЕССОРНЫЕ СХЕМЫ ЦИФРОВОЙ СИГНАЛЬНЫЙ ПРОЦЕССОР БИС MS2014 представляет собой цифро- вой сигнальный процессор реального времени общего назначения, который легко запрог- раммировать для цифровой фильтрации и детектирования уровня сигнала. Архитектура цифрового фильтра включает в себя каска- дируемый рекурсивный фильтр второго по- рядка и детектор уровня сигнала, использу- ющий перемножители, сумматоры и элемен- ты задержки,. Данные, управляющие параметрами MS2014, сохраняются во внешнем ППЗУ или ПЗУ и содержат список коэффициентов фильтрации и уровней сравнения. Простой формат данных означает, что пользователю не требуется дорогостоящая система разра- ботки при создании устройства (в отличие от других цифровых сигнальных процессо- ров, которые используют структуры на осно- ве микропроцессоров и требуют- значитель- ных усилий при разработке программного обеспечения, реализующего их функции). Внешняя память данных позволяет при не- большой адаптации схем управления реали- зовать даже сложные алгоритмы. Фильтр и детектор рассчитаны так, что- бь! обеспечить максимальную гибкость в применении и возможность легко выполнять большинство функций, требуемых в тональ- ных детекторах, спектральном анализе, адап- тивных фильтрах и системах синтеза речи. Особенности Линейные 1б-битовые данные J3-битовый коэффициент Рабочая частота синхронизации 2 МГц Последовательное выполнение операций 448-битовый встроенный сдвиговый ре- гистр хранения данных для 8-уровневого мультиплексирования Мультиплексирование N-ro уровня (N<8) Совместимость с ТТЛ-логикой Один источник питания +5 В Области применения Недорогая цифровая фильтрация Обнаружение уровня сигнала Спектральный анализ Тональные детекторы (многочастотные приемники) Синтез и анализ речи Модемы Эквалайзеры с групповой задержкой MS2014 1 - Тактовая частота 2 - Выход детектора 2 3 - Коэффициент интегрирования 4 - Выход детектора 1 5 - Вход мультиплексера б - Выход R 7 - Коэффициент NR 8 - Коэффициент R 9 - Вход фильтра 10 - Выход мультиплексера 11 - Вход В tfi ^24 1 С 2 23 J [ 3 22 ] С 4 21 2 С 5 202 t 6 MS 19 ] [ 7201418 3 [ 8ZI17 2 19 16 2 £ 10 1S 2 [ -И 14 2 Г}12 13Ц 13 - Вход 1 задержки 14 - Выход 1 задержки 15 - Выход фильтра 16 - Вход компаратора 1 17 - Синхронизация 18 - Вход компаратора 2 19 - Вход детектора 2 20 - Выход двухполупериодного детектора 21 - Выход 2 задержки 22 - Вход 2 задержки 23 - Выбор задержки Назначение выводов ИС (вид сверху) Рис. 5.27 (PL)
«А - «В - °C ~ с0 ~ Счетчик Синхронизация *0 *1 ПЗ', *г 32x2 А3 Л4Р0Р1 Синхронизация 2,048 МГц Синхро- низация IСинхро- низация Вход фильтра MS2014 El;: Задержка Выход Выход Вход R №RVM - 1 Выход - г фильтра Выбор задержки Вход ое Вход Выход мультиплексора мультиплексооа Полосовой фильтр 2-го порядка на частоту 32 кГц Рис. 5.27 Синхронизация ввода и вывода
Синхронизация 2,048 МГц Синхронизация fsjp Синхронизация вход MS 2014 фильтра Выход R VDD Выбор задержки Вход Выход фильтра Вход Vgs Выход мультиплексора мультиплексора Полосовой фильтр 16-го порядка на частоту 4 кГц
циФкивыви миыошчнцлл (Ч'НЬШ схьмвг 273 SP1450, SP1455 МОНИТОР ИКМ-СИГНАЛА Параметр Вывод Значение Условия измерения мин. тип. макс. .Максимальная входная частота, МГц SP1450 ГЗ , 25,5 См. приме- чание 1 SP1455 13 105 Длительность расширенного выходного импульса, мкс 15 0,5 0,7 2,0 С1 = Длительность =390 пФ, R1 = 27 кОм (см.при - меча- импульса ошибки ние 2) SP1455, нс 13 4,25 5,25 Входная частота 105МГц Амплитуда импульса ошибки, мВ 13 300 Амплитуда импульсов помехи, мВ 13 50 На мак- сималь- ной входной частоте 1 Эти значения рассчитаны на макси- 2 гг - Приведены аосолютиые значения номи- мальную скорость передачи входных симво- налов резистора и конденсатора; по этой лов. Для кода 4ВЗТ эффективная скорость причине температурные коэффициенты и передачи равна 4/3 входной частоты. точность не приведены. (PL)
ГЛАВА S 274 Схема проверки функционирования « и —1,05 В относительно вывода 16 Схема ИС SP1450/SP1455 Рис. 5.28 (PL)
6 ОПТОЭЛЕКТРОННЫЕ УСТРОЙСТВА Оптронные устройства (типовые схемы) Вакуумный катодолюминесцентный индикатор, работающий на постоянном токе Изолированный источник питания от 0 до 300 В Управляемый прецизионный источник оптического сигнала
276 ГЛАВА 6 ОПТРОННЫЕ УСТРОЙСТВА (ОСНОВНЫЕ СХЕМЫ) В компенсированных оптронах для устра- нения нелинейности и повышения стабильно- сти используется отрицательная обратная связь на двух подобранных оптронах. Как видно на рис. 6.1, схемы компенсации пред- ставляют собой структуры ток - ток и на- пряжение - напряжение. Дифференциальные оптронные устройства обеспечивают превосходные характеристики и значительно улучшают линейность и ста- бильность тракта. На рис. 6.2 показана про- стая схема на основе дифференциального оптрона, использующая один светодиод, два фотодиода и два ОУ для однонаправленного и двунаправленного режимов работы. Одно- направленный режим обеспечивает усиление только положительных сигналов, тогда как двунаправленный работает как с положитель- ными, так и с отрицательными сигналами благодаря использованию генераторов тока смещения для фотодиодов. а Отрицательная обратная связь устраняет нелинейность и стабилизирует усиление двух согласованных оптронов. Схема а работает в б токовом режиме, однако добавление двух ре- зистров (6) позволит ей работать в режиме “напряжение - напряжение". Компенсированные схемы Нелинейность оптронов уменьшается, когда светодиод питается от источника тока. В этой схеме оба светодиода смещены от- дельными источниками тока и ОУ использу- ется в качестве преобразователя “напряжение - ток“. Рис. 6.1 Улучшение линейности (ВВ)
ОПТОЭЛЕКТРОННЫЕ УСТРОЙСТВА 277 и Однонаправленная схема Дополнительный фотодиод, согласован- жет фактически устранить нелинейность и ный с основным диодом (фотодатчиком), мо- температурный дрейф оптрона. Двунаправленная схема Рис. 6.2 (ВВ)
ГЛАВА 6 278 ааанмш ОРА2111 „ - Полоса пропускания 1 МГц Схему необходимо хорошо экранировать Выходной сигнал 2,2 В/(мВт-см2) Схема критична к паразитным емкостям Быстродействующий фотодетектор Схему необходимо хорошо экранировать. Чувствительный усилитель сигнала фотодиода рис. 6.3
ОПТОЭЛЕКТРОННЫЕ УСТРОЙСТВА 279 ICM7217/ICM7227 Управление ___ индикатором icM Dn Шина \*Г7217/В Шина цифры “ «И*РЫ Общий анод Общий катод _____VDD Управление индикатором ICM7217A/C Схемы подключения индикаторов VDD VSS Управление сильноточным индикатором Схема подключения ЖК-индикатора с барабанными переключателями Рис. 6.4 (IN)
280 ГЛАВА 6 ICM7217/ICM7227 Счетчик 300 мкс Управление Запись Сброс — 50 мкс Недорогой частотомер Рис. 6.5 (IN)
ICM7^17/ICM7227 Стоп Логическая схема, генерирующая сигналы управления записью Нуль Равно Рис. 6.6 Контактный датчик на замыкание е у Барабанные переключатели Ь -Ч9ВД- 0,0047мкФф Вперед ?—Q. Назад V,DD ।---------о о- Сброс VD0 — Перенос^ — Нуль IC: d Ь f с — Нуль ICM __ Равно 7217А Двоично- > “ десятичный — ввод/ __ вывод * Вх- счета * ♦* Запись —Прямой/обретный____ — Загрузке регистре — Загрузка счетчика 55 — Сканирование а е 9 03 D4 26 VDD Гашение п_____ Vr Установка позиции Светодиодный индикатор с общим катодом 7 сегментов I Q I JL Запрет^, -старших разрядов 74 цифры Счетчик метража ленты для магнитофона —OVDC “ (максимум 4В) 30 пФ 4,1943 мГц Rs< 750м Пуск мин/с. Q 100 к К логической схеме, генерирующей ____ сигналы для управления Нуль ] внешним устройством Равно 3 ICM 12 ~ 4 Л" 11 - Стоп — -------------О — Пуск час/мин. Барабанные переключатели 4 (Перенос 5 7213 10 9 В V Q Г* ЕЕ Установка SW2 —-о-Н позиции * ---------------------О г VDD7:--------------О Отключение с\д/ч——о- индикатора ’--------—-------f"OlO к Предустановка [ Р° Сброс SW5^ D2 D3 D4 VDD 9 .. Ь Равно 7217В Двоично- — десятичный — ввод/ __ вывод — Вход счета w “► Запись -VS5l -* Прямой/обратныи е -* Загрузка регистра 71 -► Загрузка счетчика — Сканирование I* Сброс Гашение 0—vdo >-Цифры 1-В-—-- | чаршпл р“1 разрядов /71 Сегменты Светодиодный индикатор с общим анодом Прецизионный таймер
282 ГЛАВА 6 ICM 7217/ICM7227 Светодиодные Рис. 6.7 (IN) ICM7217/ICM7227 Прецизионный частотоме] (максимальная частота 1 Ml Рис. 6.8 (IN)
ОПТОЭЛЕКТРОННЫЕ УСТРОЙСТВА 283 ICM7217/ICM7227 4-разрядный + 5В Назначение выводов ICL7109 1 - Земля 2 - Состояние 3 - Полярность 4 - Переполнение 5 - 16 - Разряды выходной шины В12 17 - Проверка__________________ 18 - Разрешение младшего разряда 19 - Разрешение старшего разряда 20 - Выбор кристалла/загрузка 21 - Режим 22 - Вход генератора 23 - Выход генератора 24 - Выбор генератора 25 - Выход буфера генератора 26 - Работа/хранение 27 - Передача 28 - vss 29 - Выход опорного напряжения 30 - Буфер 31 - Автоматическая коррекция нуля 32 - Интегрирующая цепь 33 - Общий 34 - Вход низкого уровня 35 - Вход высокого уровня 36 - Вход положительного опорного на- пряжения 37 - Положительный опорный конден- сатор 38 - Отрицательный опорный конден- сатор 39 - Вход отрицательного опорного на- пряжения 40 - VDD ICM7217 1 - Перенос/заем 2 - Нуль 3 - Равно 4 - 7 - 8, 4, 2, 1 соответственно 8 - Счет 9 - Запись 10 - Прямой/обратный 11 - Загрузка режима 12 - Загрузка счетчика 13 - Сканирование 14 - Сброс 23 - Управление индикатором Схема автоматического учета веса тары на электронных весах, использующих АЦП Рис. 6.9 (IN)
284 ГЛАВА 6 DRR RBR8 ГМ6403 RBR7 Универсальным асинхронный приемопередатчик " ICM7243 ICL7555 Задержка Выход V+ TR CS CS RBR.|-6 DR Q-рСимвол Сегмент CS SEN__ CS.WR ICM7243B Переполнение индикатора CLR CS SEN__ CS.WR Сегмент ICM7243B Переполнение индикатора 6-битовая шина SEN CS CS WR Переполнение индикатора ICM7243B CLR, Символ Сегмент CS BO-5 SEN CS WR CS Переполнение индикатора ICM7243B CLR„ Символ Сегмент Универсальный асинхронный приемопере- датчик преобразует поток данных в парал- лельные байты. 7-й разряд каждого слова определяет, какая строка будет вводиться. Низкий уровень 8-го разряда гасит и сбра- сывает весь индикатор. На каждый вывод MODE необходимо подать высокий уровень. Можно использовать ИС ICM7243A, инверти- ровав сигнал RBR7 для одной из строк. Схема управления двумя многосимвольными строчны и индикаторами с последовательным вводом данных Рис. 6.10 (IN)
ОПТОЭЛЕКТРОННЫЕ УСТРОЙСТВА 285 ICM7243 8-разрядный светодиодный индикатор 8-разрядный светодиодный индикатор 8-разрядный светодиодный индикатор 17 линий для ICM7243A, 15 линий для ICM7243B. Многосимвольный индикатор, использующий режим последовательного доступа к данным Рис. 6.11 ICM7236 (IN) Аноды, покрытые люминофором Сетка Катод с наклоном прямого подогрева Стеклянный баллон Типовая схема включения вакуумного катодолюминесцентного индикатора на постоянном токе Рис. 6.12 (IN)
286 ГЛАВА 6 ICM7211 D8 D7 В6 B5I В4 ВЗ 02 01 Объединение ИС ICM7211 для управления 8-разрядным ЖК-индикатором Z X Подключение пиний сегментов Общ. 3 Подключение общих линий Другие схемы ны в гл. 3. на ИС ICM7211 приведе- • Схема подключения типового 7-сегментного индикатора Рис. 6.13 (IN)
ОПТОЭЛЕКТРОННЫЕ УСТРОЙСТВА 287 ICM7243 Одна из линий порта управляет входом /и, две другие управляют линиями выборки CS. 8-битовая шина управляет 6 линиями данных и двумя адресными линиями. В каждой ИС вывод MODE необходимо зазем- лить. 32-символьный индикатор с произвольным доступом в системе на микроконтроллере IM80C48 Управление индикатором низкого быстродействия Рис. 6.14 (IN)
288 ГЛАВА 6 ВАКУУМНЫЙ КАТОДОЛЮМИНЕСЦЕНТНЫЙ ИНДИКАТОР, РАБОТАЮЩИЙ НА ПОСТОЯННОМ ТОКЕ Любое устройство из семейства ICM7235 генерирует сигналы для непосредственного управления четырехразрядным 7-сегментным немультиплексированным катодолюминесцент- ным индикатором. Выходные сигналы снима- ются с истоков высоковольтных полевых транзисторов с малыми утечками и каналом p-типа. Каждый из них выдерживает напря- жение -35 В по отношению к VDD- Кроме того, наличие входа ON/OFF позволяет ис- пользовать режим гашения всех сегментов, соединив вывод 5 с VDD; этот вывод можно также использовать для управления яркостью свечения индикатора, подавая на него им- пульсный сигнал с амплитудой VDD - Vss и изменяя его коэффициент заполнения. ИС ICM7235 можно также использовать для управления немультиплексированными светодиодными индикаторами с общим като- дом, подключив каждый выход сегмента к соответствующему- входу индикатора и соеди- нив общий выход катода с VgS- При исполь- зовании источника питания 5 В и светодио- дов с прямым падением напряжения 1,7 В во включенном состоянии через сегмент бу- дет протекать ток около 3 мА, обеспечиваю- щий достаточную яркость для индикаторов высотой 7,5 мм. Отметим, что эти устройства имеют два вывода VDD и каждый должен быть подклю- чен к источнику положительного напряже- ния. Такое двойное подключение необходимо для уменьшения влияния сопротивления сое- динительных проводов, которое сказывается при использовании сильноточных индикато- ров.
ICM7235 От 10 до 30 В в зависимости от типа индикатора । Типовая схема подключения катодолюминесцентного индикатора работающего на постоянном токе, к ИС ICM7235 Рис. 6.15 (IN)
Рис. 6.16 Шестнадцатиричный код/отключение (IN)
ОПТОЭЛЕКТРОННЫЕ УСТРОЙСТВА 291 ИЗОЛИРОВАННЫЙ ИСТОЧНИК ПИТАНИЯ ОТ О ДО 300 в Малая мощность, потребляемая затвором полевого транзистора VN03, позволяет ис- пользовать напряжение, генерируемое оптро- ном, показанным на этой схеме, для обеспе- чения управления затвором, высоковольтной изоляции и управления схемой ограничения тока с 33-омным резистором. Такой тип изо- лятора обеспечивает дополнительные удобства там, где изоляцию и МОП-транзисторы ис- пользуют для работы на постоянном токе и переменном токе низких частот. VN0340N1, VN0345N1 +325 В О- VN0340N1 О Выход 0—300 В 150мА Оптрон с фото- вольтаическим элементом 49,9 к 1% Регули- ровка напря- р жения / <г--—<5 о----О + 0до5 В Местная Дистан- ционная Рис. 6.17
292 ГЛАВА 6 УПРАВЛЯЕМЫЙ ПРЕЦИЗИОННЫЙ ИСТОЧНИК ОПТИЧЕСКОГО СИГНАЛА Эта схема подавляет влияние изменения напряжения питания и проводимости свето- диода, что обеспечивает стабильный оптиче- ский выходной сигнал. Два полевых транзи- стора VN02 служат модулятором и стабили- затором в этом прецизионном источнике оп- тического сигнала. Фототранзистор Q4 восп- ринимает оптический сигнал СИД (Q3) и генерирует напряжение рассогласования для управления источником тока. (Эмиттер фото- транзистора при подключении к усилителю образует дуплексную систему, пригодную для волоконно-оптической связи.) В лазерных пе- чатающих головках, где необходима стабили- зация оптического сигнала, можно сэконо- мить, использовав компактный вариант Quad VN02. (SU) VN0206N3, VN0206N5 Рис. 6.18 (SU)
7 АУДИО- И РАДИОТЕХНИЧЕСКИЕ СИСТЕМЫ УПЧ и детектор Однокристальный смеситель/ гетеродин УПЧ/ детектор Микромощная схема УПЧ/УНЧ для карманного ЧМ-радиоприемника, питающегося от солнечной батареи Модулятор/геиератор изменяемой частоты с ФАП
294 ГЛАВА 7 УПЧ И ДЕТЕКТОР ИС SL6700C представляет собой УПЧ с однократным или двойным преобразованием частоты и детектор для использования в АМ- радиоприемниках. Благодаря низкой потреб- ляемой мощности она идеальна для карман- ных устройств. Обычно SL6700C работает на первой ПЧ, равной 10,7 или 21,4 МГц; в ней есть смеситель для преобразования в первую или вторую ПЧ, детектор, схема АРУ с дополнительным задержанным входом и одновибратор, подавляющий шумы. ХАРАКТЕРИСТИКИ Малая потребляемая мощность, 8 мА при Высокая чувствительность, не хуже 10 6 В (типичное значение) мкВ Линейный детектор ОБЛАСТЬ ПРИМЕНЕНИЯ Маломощные AM/SSB приемники РСМ53 Burr-Brown ОРА102АМ Одна ИС РСМ52/53 используется для вогб каналов в типовой цифровой аудиоси- получения выходных сигналов левого и пра- стеме. Рис. 7.1 (ВВ)
АУДИО- И РАДИОТЕХНИЧЕСКИЕ СИСТЕМЫ 295 SL6700C 1 - Развязка АРУ 2 - Смещение АРУ 3 , 4 - Выводы промежуточных каскадов 5 - Выход задержанной АРУ 6 - Выход ПЧ 7 - Вход смесителя 8 - Выход смесителя 9 - Вход местного генератора [1 k J 18Й [2 17] [ 3 16] [4 15] [ 5 14] [6 131 [7 12 ] [8 11] [ 9 10] 10 ' vcc 11 - Выход шумоподавителя 12 - Времязадающий конденсатор шумоподавителя 13 - Вход детектора 14 - Подключение развязки 15 - Выход НЧ 16 - Развязка АРУ 17 - Земля 18 - Вход ПЧ Назначение выводов (вид сверху) ovcc ЮОнФ^Ч—, ЮО Зй Вход ПЧ-. 10,7 МГц Выход НЧ 10 22ми$Р 18 17 16 15 14 13 12. 11 Ю ) SL6700C 11 2 3-^5 6 7 8 9 ТрДО 470п9 Генератор 10,245 МГц отвод от 25% SfiQj мФ Оо~7Б Х22мкФ Задержанная АРУ АМ-радиоприемник с двойным преобразованием частоты и подавлением шумов
/ ГЛАВА 7 296 жаавч SL6700C Установка порога задержанной АРУ (20-70 мВ) 1 Эта RC-цепочка требуется, если необ- ходима задержанная АРУ. В противном слу- чае между выводами 1 и 2 следует подклю- чить резистор 220 Ом. Конденсатор не тре- буется. Rmin = 180 Ом. 2 Вывод 5 разрешено не подключать, ес- ли задержанная АРУ не требуется. 3 Узкополосные фильтры могут “зве- неть", так как мощность шумов велика. По- является эффект гетеродинного свиста при слабых сигналах. Для его устранения необ- ходимо использовать настраиваемую схему или уравновешенный фильтр с групповой за- держкой. I 4 ^ару изменяется с температурой. Приведены типичные значения амплитуд сигнала. Типовая схема подключения ИС SL7600C Рис. 7.3 (PL)
АУДИО- И РАДИОТЕХНИЧЕСКИЕ СИСТЕМЫ 297 ОДНОКРИСТАЛЬНЫЙ СМЕСИТЕЛЬ/ ГЕТЕРОДИН УПЧ/ ДЕТЕКТОР ИС SL6653 представляет собой полный однокристальный смеситель/гетеродин УПЧ и детектор для карманных радиоприемников, беспроволочных телефонов и маломощных радиоустройств. Потребляемый ток не превы- шает 2 мА при напряжении источника пита- ния в диапазоне от 2,5 до 7,5 В. SL6653 обладает максимальной гибкостью при разработке и эксплуатации. Она разме- щена в герметичном корпусе с двухрядным расположением выводов. ОСОБЕННОСТИ Малая потребляемая мощность (1,5 мА) Гарантирована работа на частоте Однокристальная реализация 100 МГц ТЕХНИЧЕСКИЕ ХАРАКТЕРИСТИКИ Напряжение питания от 2,5 до 7,5 В Чувствительность 3 мкВ ОБЛАСТИ ПРИМЕНЕНИЯ Автомобильные радиотелефоны Беспроволочные телефоны ПРЕДЕЛЬНО- ДОПУСТИМЫЕ ЗНАЧЕНИЯ ПАРАМЕТРОВ Напряжение питания Температура хранения Рабочая температура Входной сигнал от -55 до +150 °C смесителя 10 в от -55 до +125 °C ~ 1 В (средне- квадратичное значение)
298 ГЛАВА 7 SL6653 Вход генератора’ 200 мВ эфф. Дроссель Вход 10 нФ вч -HI- 3 мкВ Юк От 2,5 до 7,5 В 330 пФ 20 к "ФамкА ! 1к 14 200 пФ Тб Смещение 7 9 12 фильтр 7_____ 1,2 В SL6653 90 дБ (ограничение) Т ранзистор генератора '15 дБ (регулируется) нс 2к/ X/ Змеситель 8__ *1,5 к НЧ ”1(100 мВ эфф., девиация 3 кГц) 200к! =гЮнФ Рис. 7.4 ^200к ’ 1~5к :470нФ -т-470 нФ Функциональная схема (PL) aoiaavi =F10h9 SL6653 1 - Выход ограничителя 2 - Индуктивность квадратурного генератора 3 - Выход НЧ 4 Vcc 5 - Общий 6 - Вход ВЧ 7 - Вход опорного интервала 8 - Опорный вход смесителя [ 116 ] [2 15 ] [ 3 14 ] [ 4 SL6653 13 ] [5 12 ] [6 11 J [7 10 ] Ц8 Эр 16 - Входное смещение генератора смесителя 15 - Вход генератора смесителя 14 - Коллектор генератора 13 - База генератора 12 - Вход ограничителя 11 - Развязка входа ограничителя 10 - Развязка обратной связи ограничителя 9 - Выход смесителя Назначение выводов (вид сверху) Рис. 7.5 (PL)
АУДИО- И РАДИОТЕХНИЧЕСКИЕ СИСТЕМЫ 299 L1 - 150 витков провода 44SWG на кар- L2 - 11 витков провода 28SWG на кар- касе типа F касе диаметром 4 мм Рис. 7.6 Схема демонстрационной платы SL6653 (PL) SL6653 Шаблон демонстрационной платы (1:1) Расположение компонентов на демонстрационной плате (1:1) Рис. 7.7 (PL)
300 ГЛАВА 7 МИКРОМОЩНАЯ СХЕМА УПЧ/УНЧ ДЛЯ КАРМАННОГО ЧМ-РАДИОПРИЕМНИКА, ПИТАЮЩЕГОСЯ ОТ СОЛНЕЧНОЙ БАТАРЕИ ИС SL6652 представляет собой полный однокристальный смеситель/гетеродин, УПЧ и детектор для карманных ЧМ-радиоприем- ников, беспроволочных телефонов и мало- мощного радиооборудования. Ее особенностью является высокостабильный вход индикатора уровня принимаемого сигнала, использующий уникальную систему детектирования. Потреб- ляемый ток менее 2 мА в диапазоне питаю- щих напряжений от 2,5 до 7,5 В. ОСОБЕННОСТИ Малая потребляемая мощность (1,5 мА) Гарантирована работа на частоте 100 МГц Однокристальная реализация Стабильный индикатор уровня принимае- мого сигнала ОБЛАСТИ ПРИМЕНЕНИЯ Карманные радиотелефоны Беспроволочные радиотелефоны ТЕХНИЧЕСКИЕ ХАРАКТЕРИСТИКИ Напряжение питания от 2,5 до 7,5 В Чувствительность 3 мкВ Подавление сопутствующего канала 7 дБ SL6652 - микромощная высококачествен- ная ИС, предназначенная для усиления сиг- налов промежуточной частоты и детектиро- вания в ЧМ-радиоприемниках. Она содер- жит: Смесительный каскад для работы на час- тотах до 100 МГц Отдельный транзистор для использования в качестве гетеродина Токовую нагрузку для смещения этого транзистора Усилитель-ограничитель, работающий на частотах до 1,5 МГц Квадратурный детектор с дифференци- альным выходом НЧ Выход сигнала индикатора уровня при- нимаемого сигнала Смеситель сбалансирован при активной нагрузке (т.е. при ее подключении). Усиле- ние устанавливается внешним нагрузочным резистором, хотя обычно усиление определя- ется необходимостью согласования с керами- ческим фильтром. Его можно использовать в настраиваемых схемах, однако повышение усиления в смесителе приведет к соответст- вующему снижению входного порога смеси- теля. Входной каскад ВЧ представляет собой- транзистор, смещенный диодом, с током сме- щения' около 300 мкА. Вход генератора диф- ференциальный, хотя обычно он управляется по одному проводу. Для устранения случай- ных перегрузок приняты специальные меры. Гетеродин содержит отдельный транзи- стор и отдельную токовую нагрузку. Пользо- ватель должен обеспечить соответствие рас- чета гетеродина типу кварцевого резонатора и требуемой частоте, он не всшда соответст- вует расчетным. Усилитель-ограничитель УПЧ допускает работу на частотах по крайней мере до 1 МГц и его входной импеданс устанавливает- ся внешним резистором для согласования с керамическим фильтром. Так как усиление велико, выводы 12 и 13 необходимо соответ- ствующим образом шунтировать конденсато- рами. Детектор. Обычный квадратурный де- тектор соединен внутри ИС с УПЧ; на квадратурный вход подается внешний сигнал через соответствующий конденсатор и фазос- двигающую цепочку. Дифференциальный входной сигнал обеспечивает согласование с компараторами для цифровых систем, хотя его можно использовать и при реализации АПЧ. Выход сигнала индикатора уровня при- нимаемого сигнала представляет собой ис- точник тока, пропорционального логарифму амплитуды ПЧ-сигнала. Имеется небольшой остаточный ток, обусловленный шумами в
АУДИО- И РАДИОТЕХНИЧЕСКИЕ СИСТЕМЫ 301 усилителе (и смесителе), однако за предела- ми этого сигнала измеряется и гарантируется динамический диапазон 70 дБ. Типичный диапазон достигает 92 дБ независимо от ча- стоты и при исключительно высокой- темпе- ратурной стабильности и высокой стабильно- сти источника питания. Источник питания. ИС SL6652 надежно работает в диапазоне от 2,5 до 7,5 В. К шине питания с помощью коротких проводов подключить конденсатор емкостью 0,47 мкФ. Внутреннее напряжение смещения. Внутренний источник опорного напряжения необходимо зашунтировать конденсатором снаружи. Этот выход можно использовать и в качестве внешнего опорного напряжения, однако нагрузка не должна быть велика; вы- ходной импеданс обычно равен 14 Ом. SL6652 Г? 20] Е 2 19 ] [3 18] Е4 17] Е 5 SL6652 16 ] Е 6 15 ] Е 7 14] Ев 13 ] Е о 12 ] Ею и ] 1 - Выход ограничителе 2 - Контур квадратурного генератора 3 - Выход НЧ 4 - Выход НЧ 5 " ^сс 6 - Земля 7 - Вход ВЧ 8 - Выход опорного интервала 9 - Опорный выход смесителя 10 - Выход смесителя 11 - Выход индикатора величины принимаемого сигнала 12 - Развязка обратной связи ограничителя 13 - Развязка, входа ограничителя 14 - Вход ограничителя 15 - Источник тока генератора 16 - Эмиттер генератора 17 - База генератора 18 - Коллектор генератора 19 - Входное смещение генератора смесителя 20 - Вход генератора смесителя Назначение выводов Рис. 7.8 (PL)
302 ГЛАВА 7 SL6652 Рис. 7.9 (PL) SL6652 мкФ Уровень Сигнала FL1 CFW ----1 45SE rrhi Керамический фильтр L1 - от 330 до 480 мкГн, Q = 75 на частоте 455 кГц, содержит 150 витков провода 44SWG на каркасе Neosid F или аналогичном фирмы Toko. Neosid F эквивалентен Toko 7МС-81282. L2 - от 250 до 410 нГн, Q = 100 на частоте 50 МГц. Схема демонстрационной платы для SL6652 Рис. 7.10 (PL)
АУДИО- И РАДИОТЕХНИЧЕСКИЕ СИСТЕМЫ 303 SL6652 ВЧ вход JL НЧ H4-L Шаблон демонстрационной платы (1:1) Размещение компонентов на демонстрационной печатной плате (1:1) Рис. 7.11 (PL) SL652C Управление ГУН [ 1 Времязадающий I [ 2 конденсатор ц 3 т ft4 Токовые входы _ J Г 5 управления < L частотой С 6 4 7 й > Вход сигнала 15 ’ д 1 Управление петлевым а усилением 13 п Выход фазового компаратора и ]V“ Ю ] Земля ——Р~| ые___| Петлевое управления частотой Назначение выводов (вид сверху) Блок-схема ИС SL652C Модулятор/генератор изменяемой частоты с ФАП Рис. 7.12 (PL)
304 ГЛАВА 7 SL652C F1 или F2 8 Токовые ключи J с J±E 2 3 Логические < входы F или ГУН 9 4 & 5 6 7 к 1____ Управление гун (vp Выход ГУН к фазовому компаратору (внутренний) V питания (V—) ГУН и двоичный интерфейс Рис. 7.13 (PL) SL652C Усиление ГУН (вход VR) К = = 6,28/CRV] рад/В с, Vj = V- или управляющему напряжению V Типовая схема ГУН Рис. 7.14 (PL) SL652C Вход । сигнала. Перестраиваемый по частоте генератор Фазовый компаратор ±41 (размах) ВЫХОД -W- сигнала -"VW (типичное значение) Входной1" усилитель Вход управления о петлевым усилением Выходной усилитель тока (усиление 2,5) Усиление по току » 4 Выходное напряжение может изменяться в пределах 0,5 В от напряжения питания. Фазовый компаратор Рис. 7.15 (PL)
8 СХЕМЫ СИГНАЛИЗАЦИИ, ОХРАНЫ, ОБЕСПЕЧЕНИЯ БЕЗОПАСНОСТИ КМОП ИС фотоэлектрического детектора дыма Схема детектора дыма с ионизационной камерой
306 ГЛАВА 8 КМОП ИС ФОТОЭЛЕКТРИЧЕСКОГО ДЕТЕКТОРА ДЫМА Эта микромощная КМОП-схема предназ- начена для использования в системах обна- ружения задымленности с импульсным свето- диодом и кремниевым фотоприемником. Она рассчитана на использование в маломощных бытовых устройствах, питающихся от авто- номных источников с минимальным количе- ством внешних компонентов. Это устройство удовлетворяет требованиям UL217 и постав- ляется в 16-выводном пластмассовом корпусе с двухрядным расположением выводов. li t Ш ИМ1И1 I ЦП — I- SD2 Spectronics SEA5455-4, Clairex Type CLED-1. Пьезозумер CATT-101FB. Рис. 8.1 (SU)
СХЕМЫ СИПШАЛИЗАЦИИ, ОХРАНЫ ОБЕСПЕЧЕНИЯ БЕЗОПАСНОСТИ СХЕМА ДЕТЕКТОРА ДЫМА С ИОНИЗАЦИОННОЙ КАМЕРОЙ ИС SD3A представляет собой КМОП ИС, разработанную для детектора дыма с иониза- ционной камерой, которая непосредственно управляет пьезоэлектрическим зуммером. Она удовлетворяет требованиям UL217 и поставляется в 14-выводном пластмассовом корпусе с двухрядным расположением выво- дов. Сконструированная и предназначенная для эффективных систем детекторов дыма с небольшим количеством компонентов, ИС SD3A имеет много особенностей, которые позволяют повысить эффективность сигнали- зации и исключить ложные срабатывания. При наличии усовершенствованного источни- ка напряжения смещения и встроенной схе- мы гистерезиса это устройство требует менее интенсивного источника ионов и имеет по- вышенную чувствительность. Выход зуммера в этой схеме может да- вать непрерывный или прерывистый сигнал предупреждения. Дополнительный индикатор на светодиоде можно использовать для конт- роля напряжения батареи. ИС SD3A работа- ет от одной 9-В щелочной или угольно-цин- ковой батареи. Ее можно также использо- вать в системах с большим числом соеди- ненных вместе устройств. SD3A ИС SD3A с двойной ионизационной камерой и пьезозуммером со светодиодом в качестве индикатора включения оатареи Рис. 8.2 (SU)
308 ГЛАВА 8 SD3A К особенностям схемы относятся допол- нительная резистивная цепь R1/R2 для регу- лировки напряжения срабатывания компара- тора и встроенный тестовый электрод для внутрисхемной проверки сигнализации. ИС SD3A с концентрической ионизационной камерой Amersham DSCA3 и электромеханическим зуммером Рис. 8.3 <su) SD3A Пьезозуммер Сдвоенная ионизационная камера ИС SD3A с двойной ионизационной камерой, контролем внутреннего сопротивления батареи и прерывистым зуммером Рис. 8.4 (SU)
СХЕМЫ СИГННАЛИЗАЦИИ, ОХРАНЫ ОБЕСПЕЧЕНИЯ НЕЗОПАСНОСГИ 309 SD3A Устройство 1 Устройство 2 Устройство 20 Рис. 8.5 (SU)
310 ГЛАВА 8 TDE1767, TDE1787 ПРИМЕРЫ ПРИМЕНЕНИЯ ИС TDE1767, TDE1787 Контроль обрыва нагрузки Управление лампами, реле и т.п. Рис. 8.6 Общий сброс нескольких устройств (TH)
СХЕМЫ СИГННАЛИЗАЦИИ, ОХРАНЫ ОБЕСПЕЧЕНИЯ БЕЗОПАСНОСТИ 311 TDE1767, TDE1787 Параллельное управление нагрузкой до 1 А
312 ГЛАВА 8 ммноиаоа TDE1767, TDE1787 Связь между высоковольтным и низковольтным устройствами Увеличение выходного тока до 10 А Рис. 8.8 (ТН)
9 СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ Тональные генераторы Импульсный кнопочный автоматический номеронабиратель MV4325 Температурный датчик с двухпроводным токовым выходом ДМОП-транзисторы в телефонном аппарате Искусственная нервная клетка Схема электронного пропорционального контроллера Адаптивный заграждающий фильтр для дуплексных модемов Приемопередающий модем V21 Импульсный кнопочный автоматический номеронабиратель MV4320
314 ГЛАВА 9 MV5089 Двухтональный многочастотный генератор Рис- 9-1 Схема подключения (PL) MV8860 R, = 2,0 МОм R2 = 2,0 МОм Rj = 300 кОм R4 = 5 кОм Cj = 10 нФ С2 = 0,1 мкФ С3 = 680 пФ С4 = 680 пФ Xj = 3,579 МГц Двухтональный многочастотный декодер Рабочее напряжение 5 В. Динамический диапазон 30 дБ Искажения + 10 дБ Отношение сигнал/шум 14 дБ Время записи 30 мс Приемник с однопроводным на ИС MV8865 входом Рис. 9.2 (PL)
СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ 315 MV6860 Тетр = С (Ra + Rb) 1g [Ra/(Ra + Rb)J [(VDD - VEE)/(VDD - VTST)J TGTE = C (Ra + Rb) 1g [Ra/(Ra + Rb)J [<VDD - VEE)/VTST Для VOH (Est) и VOL (Est)’ расположенных симметрично относительно VT<;T = V„_/2 при R <0,1 R и R >(V - VEE) kOnT Rb<0.i [RaRa/(Ra + R >1 a)TOTP = TGTC » 0,69 RaC(l - V2Ra> u^^GTP M "^OTE 0*69 CIRaRa /(Ra a ^gte и ^gtp ~ 0,69 Ra C Регулировка защитного временного / интервала Рис. 9.3 (PL) MV8660 Верхняя группа частот, Гц Варианты подключения источника питания Клавиатурная матрица двухтонального многочастотного фильтра, индуцирующая ' соответствующие символу пары частот Рис. 9.4 (РЦ
316 ГЛАВА» Динамический диапазон 30 дБ Искажения +10 дБ Отношение сигнал/шум 14 дБ Время записи от 25 до 35 мс Максимальная длительность ошибочного тона 20 мс Время защиты 20 мс Минимальная пауза между цифрами 20 мс Максимально допустимое выпадение сиг- нала 20 мс При напряжении питания от 5 до 25 В способ подключения приведен в справочном листке на ИС MV8860. R] = 2,0 МОм 1?2 = 300 кОм Rj = 5 кОм R4 = 2,0 МОм С] = 10 нФ С_, = 0,1 мкФ сз = 680 пФ С4 = 680 пФ Xj = 3,57-9 МГц Приемник с однопроводным входом, использующий ИС MV8860 (РЦ Рис. 9.5 Дифференциальный входной усилитель С] = С2 = 10 нФ Rj = R4 = 200 кОм R2 = 50 кОм R3 = R2 R5/CR2 + R5) = 37,5 кОм R5 = 100 кОм Усиление по напряжению R5/R1 = = " 6дБ Входной импеданс 2[(R1)2 (l/wQ^p/^ = 400 кОм Рис. 9.6 Подключение к телефонной линии (PL)
СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ 317 MV8865 Размах выходного сигнала OSC2 в зависимости от емкости нагрузки Зависимость тока, потребляемого ИС MV8865, от емкости нагрузки генератора OSC2 Нагрузочные характеристики кварцевого генератора Рис. 9.7 SL8204 - ►-——-о Вывод 1 ZS29 В _ ----- Земля Следует подключить стабилитрон по ука- занной схеме для защиты от высокого на- пряжения Схема телефонного звонка Рис. 9.8 (PL)
318 ГЛАВА9 ^ЗМИММКМВЖВППВЕЯМВКВНВПВМПНЖЯ SL8204 МВШВааВШВВМИВВ Зависимость потребляемого тока от напряжения питания — без нагрузки Напряжение питания. В Характеристики звонка Напряжение питания, В Типичная выходная мощность Напряжение питания, В Типичный ток нагрузки Рис. 9.9 (PL)
СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ 319 ИМПУЛЬСНЫЙ АВТОМАТИЧЕСКИЙ КНОПОЧНЫЙ НОМЕРОНАБИРАТЕЛЬ НА ИС MV4325 ИС импульсного автоматического кнопоч- ного номеронабирателя MV4325 содержит всю логику, необходимую для подключения двух из семи линий от кнопочного номеро- набирателя и преобразования этой информа- ции о клавише для упрощения и бесшумной симуляции импульсов дискового телефонного номеронабирателя. В ИС MV4325 можно программировать длительность паузы доступа для обеспечения автоматического прерывания вызова, необходимого при доступе к между- городным сетям, линии Телефонной службы континентальной части США или государст- венной сети. Устройство реализовано на ос- нове изо-КМОП технологии фирмы Plessey Semiconductors, которая позволяет устройству функционировать при напряжении питания менее 2,0 В, что делает его идеальным для работы в длинных линиях связи. ИС MV4325 вводит до 20 цифр номера и время паузы доступа и обеспечивает по- вторный набор сохраненного номера нажати- ем кнопки #. Ток, потребляемый устройст- вом в дежурном режиме, менее 1,0 мкА при напряжении 1 В. х ИС MV4325 поставляется в керамиче- ском корпусе с двухрядным расположением выводов (температурный диапазон от -40 до +85 °C). Области применения Кнопочные телефоны с повторным вызо- вом последнего номера Номеронабиратели с памятью Преобразователи тональных сигналов в импульсные Особенности Повторный вызов последнего номера Программирование различных пауз до- ступа Прекращение паузы доступа любым ак- тивным сигналом с клавиатуры или со входа HOLD IN Запуск генератора управляется номерона- бирателем Снижение мощности, потребляемой гене- ратором, в отсутствие вызова Тон частотой 300 Гц означает, что кноп- ка нажата Диапазон напряжений питания от 2,0 до 7,0 В Запоминание до 20 цифр номера и дли- тельности паузы доступа Сохранение цифр в памяти при сниже- нии напряжения до 1 В при токе 1,0 мкА Выбираемое отношение длительности по- сылки к длительности паузы 66 2/3 : 33 1/3, или 60 : 40 Скорость вызова 10 Гц (быстрая провер- ка с частотой 932 Гц) MV4325 VDD [ DP [ 2 18 17 ] ]« М1 [ 3 16 ]« M/s[ 4 15 ]Y2 MV4325 ни Г 5 14 ]¥1 KT[J 6 13 ]X3 7 12 ]X2 XTAl IN [ 8 11 XTAl OUT f 9 10 ] Vss Расположение выводов (вид сверху) Рис. 9.10 (PL)
320 ГЛАВА 9 MV4325 FO1 Х1 Х2 X3Y1Y2Y3Y4 Функциональная схема ИС MV4325 (РЦ МЫ Рис. 9.11 НВ жваиамммамвана MV4325 Схема подключения Рис. 9.12 (PL)
СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ 321 XTR100 ПРЕЦИЗИОННЫЙ ДВУХПРОВОДНОЙ ПЕРЕДАТЧИК СИГНАЛА ОТ 4 ДО 20 мА С МАЛЫМ ДРЕЙФОМ МС XTR100 с изоляцией силовых цепей Мостовой вход, возбуждаемый напряжением Мостовой вход, возбуждаемый tojom \ Схема имеет индикацию нижнего пре- дельного значения Подключение термопары с компенсацией холодного спая термометром сопротивления Рис. 9.14 (88)
XTR100 Схема имеет индикацию нижнего пре- дельного значения Подключение термопары с компенсацией холодного слал диодом Схема имеет индикацию верхнего пре- дельного значения Подключение термопары с компенсацией холодного спая термометром сопротивления Рис, 9.15 XTR100 (ВВ) О Опорное* напряжение XTRIOO (4—20Г мА) Легко получить другие параметры преоб- R1 < 125 разования, ---- г отношение МС1403А VR=2.5B 100 пФ 500 0РА27 RZ I =’ out изменив опорное напряжение и сопротивлений R1/R2- | ^‘(о-аомА) ‘2 Преобразователь выходного сигнала от 0 до 20 мА Рис. 9.16 (ВВ)
СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ 323 ТЕМПЕРАТУРНЫЙ ДАТЧИК С ДВУХПРОВОДНЫМ ТОКОВЫМ выходом AD590 представляет собой ИС темпера- турного датчика, который создает выходной ток, пропорциональный абсолютной темпера- туре. Устройство работает как стабилизатор постоянного тока с высоким сопротивлением, генерирующий ток 1 мкА/K при напряже- нии питания от +4 до +30 В. Лазерная под- гонка тонкопленочных резисторов на кри- сталле ИС используется для установки вы- ходного сигнала устройства 298,2 мкА при температуре 298,2 К (+25 °C). AD590 используется в любых темпера- турно-чувствительных устройствах в диапазо- на от -55 до +150 ° С (от 0 до +70 ° С для корпуса ТО-92), в котором сейчас работают обычные электрические температурные дат- чики. Низкая стоимость монолитных ИС и отсутствие схем обрамления делает ИС AD590 привлекательной альтернативой в большинстве температурных измерений. Ли- неаризующие схемы, прецизионные усилите- ли напряжения, схемы измерения сопротив- ления и компенсации холодного спая не нужны при использовании AD590. В про- стейшем случае для измерения температуры можно использовать резистор, источник пи- тания и любой вольтметр. Кроме измерения температуры область применения AD590 включает температурную компенсацию или коррекцию характеристик дискретных компонентов и получение напря- жения смещения, пропорционального- абсо- лютной температуре. AD590 доступна в виде кристалла ИС, что делает ее пригодной для гибридных схем и быстрых измерений тем- пературы в защищенной среде. AD590 особенно удобна для дистанцион- ных датчиков. Устройство нечувствительно к падению напряжения на длинных проводах из-за высокого напряжения его токового вы- хода. Любая хорошо изолированная скручен- ная пара пригодна для работы в десятках метров от принимающих сигнал схем. Вы- ходные характеристики делают ИС AD590 легко мультиплексируемой:- можно переклю- чать ток КМОП-мультиплексором или ком- мутировать источник питания выходным сиг- налом логического вентиля. мвтямдатмаммшмиааанавяасаамвж№сяамвм1ипаашмм1 AD590 Простое подключение. Выходной сигнал пропорционален абсолютной температуре Рис. 9.17 (IN)
324 ГЛАВА 9 СЗ&ЯВЕЕШамм AD590 + -15В Ток определяется самым “холодным" датчиком. Сумма токов AD590 протекает через ре- зистор R, сопротивление которого можно рассчитать по формуле R = 10 кОм/п, Простейшая температурно-чувствительная схема I где п - число датчиков. Схема, чувствительная к средней температуре AD590 вырабатывает зависящее от темпе- ратуры напряжение на резисторе R (конден- сатор С служит для фильтрации шумов). Потенциометр R2 устанавливает напряжение нуля шкалы. Для шкалы Цельсия устанавли- вают R = 1 кОм и напряжение нуля шкалы 0,273 В, для шкалы Фаренгейта - 1,8 кОм и 0,46 В соответственно. Температурный контроллер с единственной регулировкой Рис. 9.18 (IN)
СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ 32У AD590 R (необяза- тельный) Выбор столбца Разрешение О 15 16 12 161 15 2 1 О 10 6 9 40590(64) Юк г? (необяза- тельный) 2IH6106 8-канальный мульти- 3 плексор V- D Земля I в 11 IH106 8-канальный мультиплексор При закорачивании датчиков резистор, включенный последовательно с линией D, будет ограничивать ток (обозначен буквой R, нужен только один резистор). Шестиразряд- ный цифровой код будет выбирать один из 64 датчиков. Выбор строки + 15В, Разрешение 2 3 Vout Мультиплексированные датчики Рис. 9.19 Сверхмалый ток смещения ICL7611 по- зволяет использовать для установки усиления резисторы с большим сопротивлением, сохра- няющие ошибку измерения тока менее 0,5 %, и вследствие этого сэкономить на внеш- нем усилителе измерителя. Термометр стоградусной шкалы от 0 до 100 ° С ON)
326 ГЛАВА 9 AD590 = (Тг- TjflOMB/’C) Потенциометр 50 кОм регулирует смеще- ние как во внутренних, так и во внешних устройствах, так что его можно использовать для установки интервала разности темпера- тур. Это также делает его пригодным для контроля уровня жидкости (где должна из- меряться разность температур). Дифференциальный термометр Опорный спай должен быть в тесном тепловом контакте с корпусом AD590. На- пряжение V+ должно быть по крайней мере 4 В, а ток ICL8069 устанавливается равным 1-2 мА. Для калибровки не требуется за- корачивать или удалять термопару - потен- циометром Rj устанавливается V2 = 10,98 мВ. Если необходимы особо точные измере- ния, установить резистором R2 точное значе- ние коэффициента Зеебека для используемой термопары (измеренное или из таблицы), записать Vj и установить резистором RL та- кое же противодействующее напряжение, т.е. V2 = Vr Для других типов термопар устано- вить напряжения, соответствующие их коэф- фициенту Зеебека. Т" V2 =*10,98 Компенсация холодного спая термопары типа К Выходной ток измерителя показывает не- посредственно температуру в кельвинах. При использовании AD590M погрешность выход- ного сигнала датчика менее +1,7° во всем диапазоне и не более ±1 ° в большей его части. термометр (IN)
СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ 327 Сопро- Шкала Шкала тивление Фаренгейта Цельсия Опорное высокое Опорное низкое Общий Вход низкий О V" ICL7106 Вход высокий R 9,00 5,00 R1 4,02 4,02 R2 2,0 2,0 R3 12,4 5,11 R4 10,0 5,0 R5 0 11,8 ИС ICL7106 имеет диапазон +2,0 В, а диапазон VCM от V+ = +0,5 В до V- = + 1 В; Резистором RL укладывают обе шкалы в пределах VIN. Опорное напряжение для обе- их шкал составляет 500 мВ. Максимальное показание по шкале Цельсия 199,9 ° С ог- раничено максимальной температурой датчи- ка. Максимальное показание по шкале Фа- ренгейта 199,9 °F (93,3 °C) ограничено числом отображаемых цифр. Основная схема цифрового термометра, шкалы Цельсия и Фаренгейта V V. Теоретически возможны отсчеты по шка- ле Кельвина от 0 до 1999 К, реально - от 223 до 473 К. Резистором 2,26 кОм входной сигнал устанавливается в пределах диапазона VCM для ICL7106; можно поставить также два кремниевых диода общего назначения, либо светодиод. ^Основная схема цифрового термометра, шкала Кельвина
ГЛАВА 9 328 AD590 Эта нуля и входной схема предусматривает регулировку диапазона. ICL8069 обеспечивает сигнал в пределах синфазного диа- пазона, тогда как регулировкой потенциомет- ром 5 кОм устанавливается любое смещение до 218 К (-55 ° С) и коэффициент шкалы. Шкала Диапазон Vin> В R. , кОм ш CAZ К От 0,223 до 0,473 220 0,47 С От -0,25 до +1,0 220 0,1 F От -0,29 до +0,996 220 0,1 Во всех случаях CREF = 0,1 мкФ, CINT = 0,22 мкФ, Так как все три шкалы имеют ограни- ченный интервал, такая оптимизация компо- нентов, обрамляющих ICL7106, может сни- Cosc = ЮО пФ, Rosc = ЮОкОм. зить шумы и сохранить ослабление синфаз- ного сигнала. Подобное масштабирование можно использовать и с ICL7126/36. Основная схема цифрового термометра, шкала Кельвина с регулировкой нуля (IN) Рис. 9.22
СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ 329 ДМ0П-ТРАНЗИСТ0РЫ В ТЕЛЕФОННОМ АППАРАТЕ В телефонном аппарате во время работы- встречается широкий диапазон напряжений. Напряжение постоянного тока, поступающее на устройство, может меняться от примерно 8 до 25 В, когда трубка снята с аппарата, а высоковольтные сигналы звонка переменного тока, возникающие кратковременно, перено- сятся без вреда. Кроме того, атмосферные возмущения (например, электрические атмос- ферные разряды) и ВЧ-излучение индуциру- ют в линиях связи высокие напряжения, ко- торые подавляются варисторами, газоразряд- ными лампами и т.п. (на схеме не показа- ны). Источник постоянного тока LD0104CNC ограничивает (стабилизирует) ток в ИС но- меронабирателя. Устройства серии TN05 с низким порогом, используемые для ключей вызова и паузы, удовлетворительно работают даже при напряжении 3 В, что более чем достаточно для этой цели. LD0104CNC, TN0524N3
330 ГЛАВА 9 ИСКУССТВЕННАЯ НЕРВНАЯ КЛЕТКА Большинство наших технологий (техни- ка логических датчиков) очень похожа на естественные процессы. Эта схема искусст- венной нервной клетки находит применение в робототехнике, протезировании и быстро- действующих логических процессорах, необ- ходимых для оптических и сенсорных датчи- ков андроидов. Возбуждение и другие нерв- ные импульсы или постоянный ток суммиру- ются нелинейным образом при совпадении входного сигнала с пороговым импульсом, определяющим порог стимуляции, при кото- ром на выходе достигается определенная ам- плитуда сигнала. Кроме того, напряжение питания непосредственно регулирует работу схемы и она изменяет характеристику “нер- ва". Особенно интересна способность этой нервной клетки различать во времени многие входные импульсы с помощью порогового импульса в функции временной области вме- сте с изменением его напряжения. Эта схе- ма полезна для изучения очень похожей ре- акции нерва. Счетверенные ДМОП-транзи- сторы фирмы Supertex позволяют легко изго- тавливать эту схему при многих устройствах в одном корпусе. VP0104N6 — Чувствительность ниже ~ Чувствительность выше Рис. 9.24 (SU)
СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ 331 ОРА2111 А СХЕМА ЭЛЕКТРОННОГО ПРОПОРЦИОНАЛЬНОГО КОНТРОЛЛЕРА Интернирующий усилитель ошибки фик- сирует ддательность импульса тока подогре- вателя, удерживая значение равновесия. Рис. 9.25 (ВВ)
SL9009 АДАПТИВНЫЙ ЗАГРАЖДАЮЩИЙ ФИЛЬТР ДЛЯ ДУПЛЕКСНЫХ МОДЕМОВ 510 Линия Т рансформатор (суммарное сопротивление первичной . и вторичной обмоток 100 Ом индуктивность 0,9 Гн) Переданный сигнал 10 к 20 к 5,1 К 20 К 20к 180 к Юк 20 к 47к 0,1 МКФТ 470пФ 0,22 -"L-МКФ 0,22 мкФ 0,22 — мкФ 0,22: мкФ Принятый сигнал £ 1 £ 2 10 SL90O9 5 -----С -5В 15 16 10 НФ 0,22 мкФ 33 нФ 0,1 МКФ + 5В юнф 1,5к Зк Зк ЗК Зк ООО £ з £ 4 £ £ S В 12 } 13 } 14 1 Рис. 9.26 Типичная линия для модема с частотой передачи 900 - 3000 Гц. (PL)
MV9009 ПРИЕМОПЕРЕДАЮЩИЙ МОДЕМ V21 Рис. 9.27 (PL)
334 ГЛАВА. 9 ИМПУЛЬСНЫЙ КНОПОЧНЫЙ АВТОМАТИЧЕСКИЙ НОМЕРОНАБИРАТЕЛЬ MV4320 Серия MV4320 выпускается по изо- КМОП-технологии с высокой плотностью упаковки. Устройство полностью заменяет номеронабиратель с разрывом цепи DF320 и имеет расширенный рабочий диапазон на- пряжений питания и меньшую потребляемую мощность. MV4320 вводит до 20 цифр по двум из семи линий стандартной клавиатуры и реализует режим повторного набора номе- ра нажатием кнопки #. Устройство обеспе- чивает посылку импульсов набора номера и бесшумный набор и имеет вывод HOLD для прерывания автоматического набора. Имеются выводы для выбора временного отношения “посылка - пауза" выходных импульсов и изменения скорости набора. Области применения Кнопочные телефоны Преобразователи тональных сигналов в импульсные Автомобильные телефоны Номеронабиратели с памятью Особенности Совместима с DF320 Диапазон рабочих напряжений питания от 2,5 до 5,5 В Потребляемая мощность в динамическом режиме 375 мкВт при 3 В Использование дешевого керамического или кварцевого резонатора на частоту 3,58 МГц Запоминание до 20 цифр Выбираемое отношение “посылка - пау- за" выходных импульсов Выбираемая скорость набора 10, 16, 20 и 932 Гц Низкая стоимость Первый символ, вводимый в любой на- бираемой последовательности, активизирует генератор, устанавливая внутренне высокий уровень на СЕ. Цифры могут вводиться в клавиатуру асинхронно. Выходные сигналы при автоматическом наборе номера и при- глушении показаны на рис. 9.32 и 9.33. На рис. 9.32 показано включение схемы с внешним управлением по выводу СЕ. Этот режим полезен, если бистабильное защелки- вающееся реле используется для приглуше- ния и включения схемы автоматического на- бора номера. В этом режиме импульсы, по- падающие на Ml, когда на входе СЕ уста- новлен высокий уровень при отсутствии вво- да с клавиатуры, можно использовать для активизации бистабильного защелкивающего- ся реле. На рис. 9.33 показаны временные диаграммы для режима внутреннего управле- ния по выводу СЕ. Первоначально СЕ имеет низкий уровень, высокий уровень появляется при опознании первого правильного ввода с клавиатуры. Данные с клавиатуры вводятся асинхронно. Предельно допустимые значения При превышении предельно допустимых значений срок службы устройства может со- кратиться или могут ухудшиться параметры, приведенные в спецификации.
335 СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ MV4320 Вход генератора Выход кеариК_ 9 генератора m/s<2 F01<Z F02C 1 2 3 5 6 7 6 16 ь—> Захват 17 Z> Y4 16 Z>Y3 15 Z>Y2 14 Z>Y1 13 Z>xs 12 Z>X2 11 Z>X1 10 =>vss Расположение выводов (вид сверху) Рис. 9.28 MV4320 F01 О F02 о M/S Q О Захват Вход кварцевого генератора о- Выход кйарцевого q_ генератора Выбор кристалла (СЕ)О- vss Гене* ратор Программируе- мый лредвери- тельный дел и те л ь Счетчик адреса записи Счетчик адреса чтения VDDO— Выбор адреса 20 х 4 бит В рем я задаю щи и счетчи к и дешифратор Логика управления и контроля состояния Выходной счетчик Дешифрирование клавиши и защита от доебезг 0666006 XI X2X3Y1Y2Y3Y4 Функциональная блок-схема ИС MV4320 ОМ1 OOP Рис. 9.29 (PL)
336 ГЛАВА 9 MV4320 Параметр Мин. Тип. Макс. Условия - измерения Диапазон рабочего напряжения питания, В 2,5 5,5 СЕ = VK Ток покоя, мкА Рабочий потребля- емый ток, мкА 1,0 10,0 транзистора 125 200 Кварцевый генератор при включении, мкА -0,5 -3,0 -12,0 V,-„ = Vin = VDD,Yr Y Y Y *2* 3’ 4 Входной ток утечки, нА 0,1 Входной ток утечки, нА Ток транзистора, -0,1 V = V in VDD’ M/S, IDP, F01 мкА 0,5 3,0 12,0 V. = V™, fd, hold Логический нуль, В Логическая 0,9 Для всех входов единица, В Низкий уровень 2,1 Для всех входов напряжения, В Высокий уровень 0 0,01 Без нагрузки напряжения, В Токи управления 2,99 3 Без нагрузки и-канал, мА p-канал, мА 0,8 -0,8 2,0 Vou, = 2,3 В, DP, М1/М2 -2,0 Vout = 0,7В Рис. 9.30 (PL)
СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ 337 MV4320 ЭЛЕКТРИЧЕСКИЕ ПАРАМЕТРЫ Условия проверки (если не указано иное) VDD = 3,0 В, температура окружающей среды + 25 °C, частота синхронизации 3,579545 4Гц. Все напряжения измеряются относительно Vss> Параметр Мин. Время нарастания выходного импульса, мкс Время спада выходного импульса, мкс Максимальная тактовая частота, МГц ’ 3,58 Отношение посылка/пауза Частота следования импульсов, Гц Время синхронизации включения, мс 1,5 Входная емкость, пФ 5,0 Типичные значения параметров приводят- ся как справочные для разработки, не гаран- тируются и не подлежат проверке при выпу- Тип. Макс. Условия измерения 1,0 DP, Mt- 1,0 С, = 50 пФ 2:1 См. рис. 9.35 3:2 10 См. рис. 9.35 16 20, 932 4 Синхронизация по 1 на СЕ Для любого входа ске. Временные характеристики проверяются при выполнении функционального теста. Рис. 9.31 (PL)
338 ГЛАВА MV4320 Управление СЕ внешнее. 1. td = 10 Р, Р - период системной синхронизации, Т - выбранный период генерации им-, пульсов. 2. Пауза перед последовательностью им- пульсов (PIP), 8Т + td. 3. Пауза после последовательности им пульсов (POIP), td, мс. 4. tf/t - отношение “разрыв линии/ра бота". т = (‘т + V> мс> t = 10 Р для M/S = 2:1, t” = 12 Р для M/S = 3:2. Временная диаграмма импульсного номеронабирателя Рис. 9.32 MV4320 (PL) Управление СЕ внутреннее. ‘i = ‘on = ‘d’ где t - время включения синхрониза- ции. Рис. 9.33 Временная диаграмма импульсного номеронабирателя (PL)
СХЕМЫ СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ 339 мвижвв1пвмнммшмнмнмямм1 MV4320 Минимум Максимум V DD-V SS -0,3 10 Напряжение на любом выводе, В Ток через любой vss ’ °’3 V 4 VDD 0,3 вывод, мА Рабочая 10 температура, ° С Температура -40 +85 хранения, ° С -65 +150 Рассеиваемая мощность, мВт 1000 Снижать мощность на 16 мВт/°C при температуре выше +75 °C! Все соединения выполнены на печатной плате. Схема подключения * Рис. 9.34 (PL)
340 ГЛАВА 9 MV432O V VDD Положительное напряжение пита - НИЯ VDD 3:2. Внутренний отключающий транзистор подсоединен к V^. DP Выходной буфер импульсов набора F01, Выбор скорости набора. Внутренний отключающий транзистор подсоеди- нен к Vss- Рабочая скорость набора измерена на частоте 3,579545 МГц. Ml M/S номера Выход сигнала приглушения Выбор отношения посылка/пауза: разомкнутая цепь 2:1, F02 Частота следования импульсов Гц Частота системной синхронизации,Гц F01 F02 Номинальная Рабочая Разомкнут Разомкнут 10 10,13 303,9 Разомкнут VDD 20 19,42 582,6 V VDD Разомкнут 932 932,17 27965,1 V v DD V DD 16 15,54 466,1 СЕ XTAL IN XTAL OUT XK X2, ХЗ. Y1,Y2,Y3,Y4 HOLD Сигнал, разрешающий доступ к информационной шине микропроцессора. Ак- тивный вход. Внутреннее управление через декодирование состояния клавиату- ры или внешний сигнал Вход кварцевого резонатора. Активен, фиксирован низкий уровень, если СЕ = = 0, высокое сопротивление, если СЕ = 1. Выходной буферный каскад для возбуждения кварцевого резонатора Системный общий провод Входы столбцов клавиатуры. Включающие транзисторы на кристалле подсое- динены к VDD- Активный низкий уровень Входы строк клавиатуры. Включающие транзисторы на кристалле подсоеди- нены к VDD. Активный низкий уровень Разомкнут - нормальный режим VDD- набор отсутствует, если активирован во время набора, захват происходит, когда набор текущей цифры завершен. Прекращает дальнейшую генерацию импульсов. Отключающий транзистор на кристалле подсоединен к Vss. Назначение выводов (PL) Рис. 9.3'5
10 РАЗНООБРАЗНЫЕ СХЕМЫ Автоматическое включение резервного аккумулятора Стабилизатор скорости вращения электродвигателя постоянного тока Стабилизация тока быстродействующей матричной печатающей головки Защитное устройство для электрокардиографа Температурный контроллер с потребляемой мощностью 50 мкВ Усовершенствованный ВЧ-пульсатор Мощный буферный каскад для дальномера Инвертор на частоту 50 - 240 Гц с прецизионным возбудителем Простой сенсорный индикатор ШИМ в усилителе мощности Быстродействующий импульсный генератор с током 10 А Пробник-индикатор с шестью состояниями Двухполупериодный усилитель переменного тока Миниатюрный стабилизатор с малым падением напряжения Возбудитель передатчика ретранслятора Высоковольтный генератор для воздухоочистителя Схема управления соленоидом пера печатающего устройства Схема управления напряжением на мишени передающей телевизионной трубки Схема предварительного возбудителя передатчика Защита аккумулятора от переполюсовки Улучшение характеристик преобразования с помощью недорогих компонентов
342 ГЛАВА 10 АВТОМАТИЧЕСКОЕ ВКЛЮЧЕНИЕ РЕЗЕРВНОГО АККУМУЛЯТОРА Устройство ICL7673 фирмы Intersil пред- ставляет собою монолитную КМОП-схему ре- зервирования аккумулятора, которая имеет уникальные преимущества перед обычным способом включения питания ИС ICL7673 - это недорогое устройство для переключения системы между двумя источниками питания: основным и резервным аккумуляторами. Эта схема характеризуется низким по- треблением тока, широким диапазоном рабо- чих напряжений и весьма малыми утечками между входами. Благодаря логическим вы- ходным сигналам ее можно использовать для индикации подключенного источника пита- ния, а также увеличить мощность, переклю- чаемую посредством внешнего рпр-транзисто- ра. ICL7673 поставляется в пластмассовом 8- выводном корпусе с двухрядным расположе- нием выводов, в металлическом корпусе ти- па ТО-99 или в виде кристалла. ОСОБЕННОСТИ Автоматическое подключение выхода к входному источнику питания с наибольшим напряжением. Если снизилась мощность основного ис- точника питания внешнего устройства, то схема автоматически подключает резервный аккумулятор. Переключение на основной источник пи- тания, когда восстановится его напряжение. Логический индикатор, сигнализирующий о состоянии основного источника питания. Низкое сопротивление коммутирующих ключей. Низкая потребляемая мощность. Диапазон напряжений источника питания от 2,5 до 15 В. Малые учечки между входами. Подключение внешних транзисторов при необходимости переключать очень большие токи. ОБЛАСТЬ ПРИМЕНЕНИЯ Резервные встроенные аккумуляторы для часов реального времени, таймеров или энергонезависимых ЗУПВ. Детекторы переиапряжения/снятия на- пряжения. Портативные приборы, установки, рабо- тающие в линию. ПОДРОБНОЕ ОПИСАНИЕ Как видно из функциональной схемы (рис. 10.1), ИС ICL7673 содержит компа- ратор, сравнивающий входные напряжения Vp и Vs- Выходной сигнал компаратора уп- равляет первым инвертором и первый ин- вертор управляет мощным р-канальным клю- чом Р1, вторым инвертором и другим дМОП-транзистором с открытым стоком Sbar. Второй инвертор управляет другим мощ- ным р-канальным ключом Р2. ИС ICL7673, подключенная к основному и ре- зервному источникам питания, соединит ис- точник питания с наибольшим напряжением с ее выходом. Перед переключением с ос- новного на резервный источник питания в случае выхода из строя основного источника питания' схема обеспечивает режим отключе- ния. Для правильной эксплуатации не разре- шается оставлять входы Vp и Vs плавающи- ми, и разность между напряжениями двух источников питания должна быть не менее 50 мВ. Токи утечки через обратно смещен- ные паразитные диоды ключа Р2 очень ма- лы. ВЫХОДНОЕ НАПРЯЖЕНИЕ , Диапазон выходных рабочих напряжений составляет от 2,5 до 15 В. Падение напря- жения между каждым входом и выходом за- висит от тока нагрузки, входного напряже- ния и температуры. Это связано с тем, что р-канальные ключи некоторое время работа- ют в своей триодной области и сопротивле- ние ключей во включенном состоянии зави- сит от выходного напряжения V . Сопро- тивление р-канальных ключей во включен- ном состоянии имеет положительный темпе- ратурный коэффициент и поэтому при по- вышении температуры , падение напряжения также возрастает. При малых токах нагрузки
РАЗНООБРАЗНЫЕ СХЕМЫ 343 выходное напряжение почти равно наиболь- шему из двух входных напряжений. Максимальное падение напряжения на ключах Р1 и Р2 составляет 0,5 В, так как при увеличении этого напряжения откроются паразитные диоды затвор - корпус. Полное время переключения входов и выходов с от- крытым стоком обычно составляет 50 мкс. ВХОДНОЕ НАПРЯЖЕНИЕ Рабочий диапазон входных напряжений Vp и Vs составляет от 2,5 до 15 В. Скоро- сть нарастания входного напряжения (Vp или Vs) должна быть ограничена 2 В/мкС во избежание повреждения схемы. В систе- мах с питанием от сети скорость изменения напряжения источника питания зависит от конструкции источника питания. В устройствах, питающихся от аккумуля- тора, может оказаться необходимым исполь- зование конденсатора, включенного между входным и общим выводами ИС, для огра- ничения скорости нарастания напряжения источника питания. Для снижения скорости нарастания напряжения можно использовать конденсаторы с низким внутренним сопро- тивлением, например дисковые керамические емкостью 0,047 мкФ. ВЫХОДЫ ИНДИКАТОРА СОСТОЯНИЯ Выходы иМОП-транзисторов с открытым истоком можно использовать для индикации подключенного источника питания или для управления внешним рир-транзистором для увеличения мощности, коммутируемой схе- мой. При использовании внешних мощных рир-транзисторов выходной ток ограничивает- ся усилением по току и тепловыми характе- ристиками мощных транзисторов. В следую- щем разделе рассматривается использование внешних ргар-транзисторов. ICL7673 / Когда включены Р1 и Pbar, Vp > Vg. Когда включены Р2 и Sbar, Vs > Vp. Функциональная диаграмма * (IN) Рис. 10.1
344 ГЛАВА 10 ПРИМЕНЕНИЕ Типовая схема резервирования аккумуля- тора на дискретных элементах приведена на рис. 10.6. Такой вариант требует нескольких компонентов, значительного места на печат- ной плате и дорог в изготовлении. Он также потребляет довольно значительный ток. Схе- ма резервирования аккумулятора на ИС ICL7673 (рис. 10.5) часто может заменить такие дискретные схемы и Позволит значи- тельно улучшить характеристики, повысить надежность и снизить стоимость разработки системы. Устройство подзаряда аккумулятора мож- но реализовать с помощью дополнительного резистора и диода (рис. 10.7). Маломощный сетевой стабилизированный блок питания можно создать на основе ЙС ICL7673 и ИС микромощного стабилизатора напряжения ИС ICL7673 (рис. 10.8). К схемам на основе ИС ICL7673 отно- сятся системы полупроводниковых энергоза- висимых ЗУ, часы реального времени, тай- меры, системы сигнализации и детекторы снижения/превышения напряжения. В других системах, требующих питания постоянным током при аварии основой сети переменного тока, также может использоваться ИС ICL7673. Типовая схема (рис. 10.9) обеспечит микропроцессорную систему необходимым напряжением питания 5 В. В случае выхода из строя основного источника питания пита- емая им система отключается и для поддер- жания системных часов или энергозависимой памяти данных используется 3-В аккумуля- тор. Основной и резервный источники пита- ния подключены к входам Vp и Vs, напря- жение с выхода схемы Vou( питает систем- ные часы или энергозависимую память. ИС ICL7673 определяет, когда включен основной источник питания с потенциалом, большим Vs, и через внутренние МОП-ключи соеди- няет Vp с выходом V . Вход резервного питания Vs будет внут- ри ИС отключен. В случае аварии основного источника питания схема определяет, что напряжение резервного источника питания в данный момент имеет более высокий потен- циал, отключает Vp от Vout и подключает VS’ Схема на рис. 10.10 иллюстрирует при- менение внешних мощных рпр-транзисторов для повышения мощности, коммутируемой схемой. В таких схемах входной ток ограни- чен коэффициентом усиления по току и тепловыми характеристиками мощных тран- зисторов. Если в специальных маломощных схемах необходим гистерезис, можно ввести положи- тельную обратную связь между входом Vp и выводом с открытым истоком Sbar через ре- зистор (рис. 10.11). В более мощных схемах можно ввести гистерезис, как показано на рис. 10.12. ИС ICL7673 можно также использовать в качестве ограничителя (рис. 10.13). При высокоомных нагрузках выходное напряже- ние схемы будет примерно равно большему из двух входных напряжений. Предельно допустимые значения Входные напряжения источников питания (Vp или Vs) Выходные напряжения От -0,3 до +18 В на выводах РЬаг и Star От -0,3 до +18 Пиковый ток вход Vp* (при Vp = 5 В) 38 мА вход Vs (при Vs = 3 В) 30 мА Р, или S, 150 мА bar bar Непрерывный ток * вход Vp (при Vp = 5 В) 38 мА вход Vs (при Vs = 3 В) 30 мА Р. или S. 50 мА bar bar В При температуре выше 25 °C снижается на 0,38 мА/° С. Рассеиваемая корпусом мощность 300 мВт Линейные коэффициенты снижения мощности ТО-99 пластмассовый при температуре выше 50 °C при температуре выше 36 °C Рабочий температурный диапазон ICL7673CPA/CBA TCL7673ITV Температура хранения Температура припоя (пайка в течение 10 с) 5,7 мВт/° С 6,1 мВт/° С От 0 до +70 °C От -25 до +85 °C От -65 до +150 ° С +300 °C
РАЗНООБРАЗНЫЕ СХЕМЫ Превышение приведенных здесь макси- мально допустимых значений может вызвать необратимое разрушение устройства. Превы- шение этих предельных значений и функци- онирование устройства в этих или других 345 условиях, приведенных в спецификации, не допускается. Эксплуатация в предельных ре- жимах в течение длительного времени может повлиять на надежность устройства. ICL7673 Малогабаритный 8-выводной корпус 8-выводной корпус ТО-99 voE vsd _EJ подключен s. d bar 1— 3pbar Земля [~4~ f]He подключен 8-выводной миниатюрный корпус с двухрядным расположением выводов Расположение выводов Рис. 10.2 (IN) ICL7673 Электрические параметры (при TA =25 °C, если не указано иное) Обозначение Параметр Условия контроля Мин Тип Макс. Vp vs 1+ p ds(on)PI Входное напряжение, В Потребляемый ток, мкА Сопротивление ключа Р1 , Ом Vs = 0 В, I = 0 мА Vp = 0 В, Ij = 0 мА Vp = 0 в, vs = ЗВ, I] = 0 мА Vp = 5 В, Vs = 3 В I] = 15 мА Т. = 85 °C Vp = 9 В, vs = ЗВ, Ij = 15 мА Vp = 12 В, Vs = ЗВ, 2,5 2,5 1,5 8 16 6 15 15 5 15 T AC(P1) ^ds(on)P2 ' Температурный коэффициент сопротивления ключа Р1, %/°С Сопротивление ключа Р2 ,Ом I. = 15 мА V = 5 В, Vs = ЗВ, I] = 15 мА Vp = 0 В, v<. = ЗВ, 1 мА 5 2,03 40 100 Рис. 10.3 При ТА = 85 °C Vp = 0 В, Vv = 5В, I. = 1 мА Vp = 0 В, V_ = 9 В, I, = 1 мА 60 26 16 (IN)
346 ГЛАВА 10 ICL7673 Обозначение Параметр Условия контроля Мин Тип Макс. Т С(Р2) rL(PS) TL(SP) V o(Pbar) v o(Sbar) ^(Pbar) Температурный коэффициент сопротивления ключа Р2, %/°С Ток утечки от от Vp к Vs, нА Ток утечки от от Vs к Vp, нА Напряжение насыщения выходных каскадов с открытым . стоком, мВ Выходные токи утечки РЬаг V. = 0 В, V. = ЗВ, ' 1/ = 1 мА Vp = 5 В, Vs = ЗВ, L = ЮмА . При Т = 85 °C Vp = 0 В, = ЗВ, I. = 1 мА При Т = 85 °C Vp = 5В, V = ЗВ, = 3’2 МА’ I, = 0 При Тд = 85 °C Vp = 9В, V = ЗВ, = 3-2 I, = 0 Vp = 12, vs = 3 В, = 3’2 мА- = °п V = 0 В, V . = ЗВ, ^nk = 3’2 мА- I, = 0 При Т. = 85 °C Vp = 0, Vs = 5 В, Ъпк = 3’2 мА- *> = 0 - Vp = 0 В, vs = 9 В, I р = 3,2 мА, sink I, = 0 Vp = 0, vs = 15 В, I, = 0 0,7 0,01 35 1,01 120 85 120 50 40 150 210 85 50 50 20 50 400 400 500 ^l(Sbar) и S. , нА bar При Т. = 85 °C Vp = 15 В, V- = 0, 1, = 0 900 50 500 V - V VP vs i Неопределенность переключения при коммутации входов и ВЫХОДОВ с открытым стоком, мВ При ТА = 85 °C vs = ЗВ, *Sink = 3’2 мА- I. = 0 900 5 50 Минимальное напряжение между входом и выходом можно определить, умножив ток на- грузки на сопротивление ключа. (IN) Рис. 10.3
РАЗНООБРАЗНЫЕ СХЕМЫ 347 Типичные кривые зависимостей сопротивлений от входных напряжений Рис. 10.4 GN) ICL7673 ICL7673 Схема резервирования аккумулятора на ИС ICL7673 Схема резервирования аккумулятора на дискретных элементах Рис, 10.5 (IN) Рис.10.6 <IN> ICL7673 Рис. 10.7 Схема резервирования с подзарядом аккумулятора (IN)
348 ГЛАВА 10 ICL7673 Блок питания для маломощных портативных приборов Рис. 10.8 (IN) ICL7673 Резервная батарея Типовая схема блока памяти микропроцессора Рис. 10.9 (IN) ICL7673 ICL7673 Сильноточная схема резервирования Рабочий питания Система резервирования с гистерезисом на малые токи Рис. 10.10 (IN) Рис. 10.11 (IN)
РАЗНООБРАЗНЫЕ СХЕМЫ ICL7673 Система резервирования с гистерезисом на большие токи Рис. 10.12 (IN) ICL7673 Схема ограничителя Рис. 10.13 (IN)
350 ГЛАВА 1U СТАБИЛИЗАТОР СКОРОСТИ ВРАЩЕНИЯ ЭЛЕКТРОДВИГАТЕЛЯ ПОСТОЯННОГО ТОКА Монолитная ИС TDA1154 предназначена для стабилизации скорости вращения двига- телей постоянного тока с постоянным магни- том и используется в проигрывателях, кату- шечных и кассетных магнитофонах и игруш- ках. Схема обеспечивает более высокую сте- пень стабилизации скорости вращения при больших изменениях напряжения питания, температуры и нагрузки, чем обычные схе- мы, выполненные на дискретных компонен- тах. ОСНОВНЫЕ ОСОБЕННОСТИ Гибкое согласование с электродвигателя- ми с различными характеристиками. Встроенное ограничение тока. Опорное напряжение 1,2 В непосредст- венно на кристалле ИС. Пусковой ток 0,5А при напряжении 2,5 В^ Коэффициент отражения К = 20. Напряжение питания <+20 В. TDA1154 МАКСИМАЛЬНЫЕ ЗНАЧЕНИЯ ПАРАМЕТРОВ Напряжение питания Vcc- В 20 Выходной ток U’ А !’2 Рассеиваемая мощность Р, „ Вт lot’ См. рис. 10.21 1 Температура перехода Т, ° С Диапазон температур при хранении, Т , °C +150 От -50 до +150 ТЕПЛОВЫЕ ХАРАКТЕРИСТИКИ Тепловое сопротивление, ° С/Вт переход - окружающая среда R,htj.a) И° Рис 1014 перехОД ’ КОрПус R‘hG-c) (TH) TDA1154 3 - Общий 5 - Опорное напряжение 8 - Выход Остальные выводы не подключены (TH) Рис. 10.15
РАЗНООБРАЗНЫЕ СХЕМЫ 351 Схема сохраняет постоянное опорное на- пряжение 1,2 В между выводами 5 и 8. Ток 15, попадающий в схему через вы- вод 5, является суммой двух токов. Один из них постоянен: 1о5 = 1,7 мА, а другой про- порционален току, протекающему через вы- вод 8: L = I _ + L. К; К = 20 (а) 5 о5 е Если Е ,- противоЭДС электродвигателя, Rm - его внутреннее сопротивление, то Eg + Rm I» = RtP/+ V(refAl + V(ref)- <б> Из рис. 10.17 следует, что I8 = k + Vfret/R,- (в) Подстановка выражений (а) и (в) в (б) дает Ед = L [К,/К - R } + + V(ref)t(RtA)(I +1/Ю + 1J + R, 1о5- (г) Скорость вращения электродвигателя не зависит от нагрузки на двигатель, если Е не зависит от I . Вследствие этого при оп- ределении Rt первый член в (г) должен быть равен нулю: R = KR . t m Если R, > KR. нестабильность может ~ t m быть следствием перекомпенсации. Величина Rs определяется суммой второ- го и третьего членов, так, чтобы добиться противоЭДС, соответствующей нужной ско- рости вращения электродвигателя: х (1 + / Rt V R = R, V, ,. х |/к>?&-Л”-л w (ret) ' ' g ’(ref) Kt W’ где V(re{) = 1,2 В и IoS = 1,7 мА. TDA1154 1, 2, 4, 6 и 7 не подключены 3 - общий 5 - опорный 8 - выход Рис. 10.16 Расположение выводов (вид сверху) Рис. 10.17 • Принцип работы (ТН)
ГЛАВА 10 352 TDA1154 Электрические характеристики +25 ° С, если не указано иное. Температура окружающей среды Мин. Тип. Макс. Опорное напряжение (Vcc = +6 В, 18 = 0,1 А), В 1,15 1,25 1,35 Температурный коэффициент опорного напряжения CVcc = +6 В, 18 = 0,1 А, ТА от -20 до +70 °C), %/°с Стабильность опорного 0,02 напряжения (V™ от 4 до 18 В, L = 0,1 А), %/°С 0,02 Стабильность опорного напряжений от нагрузки (Vcc = +6 В, т8 от 25 до 400 мА), %/мА 0,009 Минимальное напряжение питания «8 = ОД A, Av /V ) = -5%), В Пусковой ток iAV,„/V, „ = 2,5 = -50 %, А V = +5 в J 1,2 Vcr = 2,5 В 0,5 0,8 Ток, потребляемый через вывод 5 (Vcc = + 6 В, Ig = 100 мкА), мА Коэффициент отражения К 1,7 (Vcc = +6 В’ *8 = °’П Изменение К относительно Vcc. %/В 18 20 22 (Vcc от +6 до 18 В, Ig = 0,1 А) Изменение К относительно I , %/мА 0,45 (Vcc = +6 В, Ig от 25 до 400 мА) 0,005 Изменение К от температуры, %/°С (Vq, = +6 В, Ig = 0,1, ТА от +20 до +70 ° С) 0,02 Внутренняя система защиты снижает эти ТОКИ при возрастании температуры перехода 18 = 0,75 А при Т = +140 °C. Рис. 10.18 (TH)
РАЗНООБРАЗНЫЕ СХЕМЫ 353 Рис. 10.20 Схема проверки —----—---— ----- TDA1154 Рис. 10.21 Пайка на печатной плате площадью медной поверхности 5 кв. см. amb' °с Максимальная рассеиваемая мощность (TH)
354 ГЛАВА 10 Использованы компоненты фирмы Thomson. R = 47 кОм ± 20 %. В = 4150 ± 5%. То = +25 °C. Rt = Rto exp В (1/Т - 1/То). Т и То в кельвинах. Цикл стабилизации ~30 с. ° Температурный диапазон от +10 до +40 С. Пропорциональная ширина шкалы -1 С. Стабилизатор температуры с управляемым симистором Рис. Ю.23 Стабилизация тремпературы с управлением на реле (ТН) Эквивалент катушки индуктивности Регулируемый умножитель емкости Рис. 10.24 (ТН)
РАЗНООБРАЗНЫЕ СХЕМЫ 355 СТАБИЛИЗАЦИЯ ТОКА БЫСТРОДЕЙСТВУЮЩЕЙ МАТРИЧНОЙ ПЕЧАТАЮЩЕЙ ГОЛОВКИ Скоростные печатающие головки, исполь- зующие соленоиды, испытывают изменения выходного сигнала от температуры. Стабили- зация тока при работе значительно улучшает параметры, хотя для больших матриц необ- ходимое схемное обрамление возрастает. В этой схеме сборки полевых транзисторов VN01 фирмы 'Supertex используются для уп- равления столбцами и для стабилизации то- ка, что значительно снижает число элемен- тов и улучшает время отклика этого принте- ра. Схемы обрамления, управляющие 48 строками, также значительно уменьшены благодаря сборкам AN01, содержащим 8 кас- кадов управления в одном корпусе. Транзи- сторы VP220, питающие соединенные обмот- ки строк, обеспечивают быстрое переключе- ние и высокую надежность благодаря им- пульсному току 85 А и максимальному на- пряжению 200 В. Так как схема управляется ТТЛ-сигна- лом, а стабилизация тока осуществляется по цепи ID(ON) сборок VN0106, обязательно со- гласование VGS(TH). Диоды, подключенные к затворам, и источник изменяемого напряже- ния позволяют менять напряжение, прило- женное к этим затворам, управляя тем са- мым и максимальным током стока. Сборки VN0106, выдерживающие напряжение 60 В, требуют ограничительных диодов для ограни- чения индуктивных выбросов напряжения на стоках (показан только вывод 14). Другая важная особенность этой схемы - ограничение напряжения на затворе, прило- женного к транзисторам VP02, управляющим строками (на схеме показаны два транзисто- ра) . На первый взгляд напряжение сток - затвор достигает максимума, когда МОП- транзисторы сборки AN01 открыты; при за- землении затворов управляющих каскадов образуется сигнал перевозбуждения 28 В на затворе. Сопротивление AN01 во включенном состоянии Rds(on) = 200 - 300 Ом делит сигнал на затворе до безопасного уровня 16 В, исключая внешний транзистор.
356 ГЛАВА 10 ЗАЩИТНОЕ УСТРОЙСТВО ДЛЯ ЭЛЕКТРОКАРДИОГРАФА Усилитель электрокардиографа должен обрабатывать милливольтовые сигналы и в то же время выдерживать импульсы дефиб- риллятора амплитудой от 1000 до 8000 В и токами в несколько ампер. Схема обеспечи- вает необходимую защиту от синфазного сигнала транзисторами А и В и дифферен- циальное ограничение транзисторами С и D. Защита усилителя при укороченном времени восстановления после перегрузки значительно сложнее, чем обычных искровых разрядников и диодов. Защитное ограничение включается, когда схема управления защитой, обнаружив пере- грузку, вклюиает 2-МГц генератор, который управляет затвором через специально разра- ботанный медицинский изолирующий транс- форматор. Режим ограничения по перемен- ному току создается низким сопротивлением ®DsrONl тРанзистоР°в VN1220 в прямом на- правлении. Проводимость в обратном направ- лении из-за внутренних диодов возрастает при сигнале на затворе, обеспечивающем VSD около 10 мВ при ISD = 100 мА. Трехкаскадный аттенюатор в этой схеме обеспечивает снижение напряжения и вход- ной погрешности, вызванной высоковольтны- ми помехами, более чем в 10 000 раз; сиг- нал ошибки на входе усилителя обычно со- ставляет 1 мВ. Эта схема пригодна полно- стью или частично для устройств обработки сигналов, подвергающихся повторяющимся перегрузкам по току и напряжению. VN1220N2 Защищенный Электрод 2 Рис. 10.26 Схема управления защитой (SU)
ТЕМПЕРАТУРНЫЙ КОНТРОЛЛЕР С ПОТРЕБЛЯЕМОЙ МОЩНОСТЬЮ 50 МКВТ К достоинствам этой схемы управления нагревательным или холодильным оборудова- нием относятся потребляемая мощность всего 50 мкВт и линейная шкала. Способности этой схемы управлять токами в несколько ампер, не сокращая срок службы батарей, выдающиеся. На рис. 10.27 показаны три из многих возможных вариантов выходных каскадов на ДМОП-транзисторах с каналом p-типа. Тре- бования к Rds,qN-) и Vd„ удовлетворяются надлежащим выбором ДМОП -транзистора. VP0535N3, VP1106N5, VP1204N5 МОП-вы ход 1 М при 25°С I Управление МБ В Рис. 10.27 (SU)
358 ГЛАВА 1U УСОВЕРШЕНСТВОВАННЫЙ ВЧ-ПУЛЬСАТОР Эта информация интересна всем, кто ра- ботает со схемами генерации коротких мощ- ных импульсов. ТТЛ-импульс, поступающий на IC1, сдвинут по уровню на -15 В отно- сительно импульса на коллекторах транзи- сторов Q2 и Q3. Транзистор Q4 выключает транзисторы Q5 и Q6. Диоды Шотки обес- печивают малое сопротивление разряда для емкости затвора ДМОЙ-транзистора через коллектор Q4 и является ограничителем для транзистора Q5. Применение ДМОП-устройств в схемо- технике быстродействующих буферных кас- кадов позволяет заменить этой схемой обыч- но используемые в этих целях дорогостоя- щие гибридные схемы. Стоимость можно уменьшить почти .в четыре раза. В выходном каскаде этой схемы можно использовать большинство ДМОП-транзисторов, особенно когда требуются очень малые ' времена нара- стания и спада импульсов, например в науч- но-исследовательском оборудовании, высоко- частотных импульсных источниках питания, высокочастотных схемах связи и т.п. VN10KN3 Время нарастания и спада ВЧ-импульса 30 нс. Рис. 10.28 (SU)
РАЗНООБРАЗНЫЕ СХЕМЫ 359 МОЩНЫЙ БУФЕРНЫЙ КАСКАД ДЛЯ ДАЛЬНОМЕРА Хорошо известная ИС дальномера LM1812 дает на порядок больший ток управ- ления и лучшее демпфирование обмотки трансформатора при использовании ДМОП- транзистора семейства VP12 в выходном каскаде. Необходимый при передаче разде-. лительный конденсатор на выводе 13 исклю- чается, поскольку диод в ДМОП-транзисторе быстро подавляет паразитные колебания на передающей обмотке, даже когда они пре- восходят по величине напряжение питания. Области применения: сонары, управление процессами, контроль температуры воздуха и газа в химических реакторах и ультразвуко- вая связь. VP1204N2 Рис. 10.29 (SU)
ГЛАВА 10 ИНВЕРТОР НА ЧАСТОТУ 50 - 240 ГЦ С ПРЕЦИЗИОННЫМ ВОЗБУДИТЕЛЕМ Большинство импульсных силовых преоб- разователей, использующих более одного си- лового транзистора, требует мертвое время перед включением очередного транзистора. Это позволяет гасить переходный процесс трансформаторов в соответствующих пассив- ных элементах, а не в переключающих транзисторах. Мертвое время генерируется вместе с сигналами управления ДМОП-транзисторами на ИС Ul - U4, позволяющих изменять час- тоту инвертора и сохранять мертвое время 11,25 °. ИС U5 (74С908) представляет осо- бый интерес, так как она выдает ток более 0,25 А и вместе, с резисторами R3 и R4 обеспечивает оптимальное переключение ДМОП-транзисторов. При большей выходной мощности рекомендуется соединять транзи- сторы VN1335N1 параллельно. VN0335N1 Выходная частота F/16 = 1/(35,2 Cl R2). Ток питания > 250 мА. Частота импульсов 4060 равна 1/(2,2 Cl R2). Рис. 10.30 (SU)
РАЗНООБРАЗНЫЕ СХЕМЫ 361 ПРОСТОЙ СЕНСОРНЫЙ ИНДИКАТОР До сих пор многочисленные виды изде- лий продолжают миниатюризироваться. Этот пример иллюстрирует одно из таких изде- лий, 1де сигналы логического уровня должны управлять 120 отдельными 180-В пьезоэлект- рическими исполнительными механизмами. Оно требует размещения на одной плате 120 резисторов и 120 высоковольтных транзисто- ров. Более совершенный вариант содержит всего 15 матриц ДМОП-транзисторов и 14 резисторных цепочек, в результате чего число компонентов на печатной плате сокра- тилось на 331. Дополнительный выигрыш - в значитель- ном повышении надежности. Биполярные ус- тройства, управляющие высоковольтными ем- костными нагрузками, например пьезоэлект- рические устройства, часто выходят из строя. ДМОП-транзисторы из-за их особенностей токораспределения и отсутствия необратимого вторичного пробоя подходят для этого гораз- до лучше. Высоковольтные устройства AN01 хорошо подходят для этой схемы, так как пьезоэлектрические устройства прекрасно ге- нерируют высокое напряжение при механи- ческом возбуждении. При случайном резком нажатии на активированный штифт Брайля возникает выброс напряжения на индикато- ре; возможно, потребуется удвоение VCEO- Матрицы ДМОП-транзисторов AN0130NA на 300 В должны надежно работать в этой схе- ме. AN0130NA Набор резисторов 2,2 МОм Рис. 10.31 (SU)
зга ГЛАВА 10 ШИМ, В УСИЛИТЕЛЕ МОЩНОСТИ Эта схема представляет собой импульс- ный преобразователь ширины импульса в напряжение, управляемый ТТЛ-сигналами. Предлагается, используя входы цифровых слов, представляющих уровни НЧ-сигнала, очень быстро преобразовать их в импульсы изменяемой длительности, которые затем по- давать на входной каскад. Ключевая схема осуществляет преобразование временного ин- тервала в напряжение, затем переключаемые токи интегрируются в LC-контуре. ДМОП- транзисторы обеспечивают простоту схемы управления и надежность. Другие применения: управление скоро- стью и направлением вращения электродви- гателя, импульсные биполярные источники питания и мощные генераторы колебаний, все с непосредственным цифровым управле- нием. Маломощные варианты можно исполь- зовать в выходных каскадах синтезаторов речи. VN1306N3, VP1306N3, VN111ON1, VP1210N1 Рис. 10.32 (SU)
РАЗНООБРАЗНЫЕ СХЕМЫ 363 БЫСТРОДЕЙСТВУЮЩИЙ ИМПУЛЬСНЫЙ ГЕНЕРАТОР С ТОКОМ 10 А Эта схема использует преимущества ДМОП-транзисторов: малое время нарастания и минимальное необходимое число компо- нентов. При использовании транзисторов VN1304 время нарастания выходного сигнала менее 5 нс. Важной особенностью этой схе- VN1304N3, VP1204N2 *126 9 L, < 2 мкА Фронт < 10 нс 5 мы является то, что при входных импульсах короче 100 нс генерируются короткие им- пульсы с периодом повторения, определяе- мым цепочкой Rrep С(. Благодаря малой по- требляемой мощности в режиме покоя схема может работать от аккумуляторов. при любой > (диско-5 шнрине Т-j вый) RTw 1 / |\ 001 мкф Вход (k^)vN 1304N3 —1— U —Тг^10нс""| 10А| Tw=100HC Рис. 10.33 ^0,01 мкФ 1VP1204N2 I 'pulse | = Ю А в заземленной нагрузка 0,5 Ом I — [..г—О Высокое | | " I L I 5 * к напряжение} 3 ¥ Р- I ?») Ш Лазерный 21,; Высокое 5, 1 диод 1IK—рнапряжение| 1 1 Импульсный Соленоид Пьезо- Импульсный трансформатор печатающей керамика ВЧ-маяк головки (SU)
364 ГЛАВА 10 ПРОБНИК-ИНДИКАТОР С ШЕСТЬЮ СОСТОЯНИЯМИ Пробник с шестью состояниями исполь- зует простой двухцветный светодиод для об- наружения и индикации шести из восьми возможных входных состояний. Входы В и С управляют индуцируемым цветом, а вход А - режимом мигания в соответствии с таб- лицей. Частота мигания определяется вели- чинами R1 и С1, когда на входе А низкий уровень. Когда на всех входах низкий уро- вень, индикатор попеременно светится зеле- ным и красным светом. Двухцветный свето- диод управляется непосредственно ДМОП- транзистором VN0104. Сопротивление R2 вы- бирается минимальным, обеспечивающим большой ток в зеленый светодиод, чтобы до- биться одинаковой яркости свечения обоих светодиодов. Есть несколько преимуществ использова- ния ДМОП-транзисторов в этом устройстве. Это совместимость с КМОП-логикой и низ- кая стоимость. Схема пригодна для работы от батарей. Напряжение питания может быть любым в диапазоне от 3 до 18 В в за- висимости от выбора R2 и R3. VN0104N6 Логические выходы Результат А в с 0 0 0 Красный и зеленый попеременно 0 0 1 Мигающий красный 0 1 0 Мигающий зеленый 0 1 1 Выключен 1 0 0 Красный 1 0 1 Красный 1 1 0 Зеленый 1 1 1 Выключен В схеме используется светодиод Dialight 559-3001-001 или аналогичный. Рис. 10.34 (SU)
РАЗНООБРАЗНЫЕ СХЕМЫ 365 ДВУХПОЛУПЕРИОДНЫЙ УСИЛИТЕЛЬ ПЕРЕМЕННОГО ТОКА Полный размах выходного колебания ±15 В достигается без усложнения схемы и ухудшения нестабильности, присущей каска- ду с общим истоком, благодаря питанию ОУ от выходного сигнала. Диоды D1 и D2 огра- ничивают возможный ЗО-В сигнал, исключая превышение допустимых параметров ОУ НА2525. Высокочастотные характеристики, завися- щие от тока ОУ и скорости нарастания его выходного напряжения, улучшены дополни- тельной цепью смещения. Нижняя частота ограничена зарядом в конденсаторах С1 и С2. ДМОП-транзисторы обеспечивают про- стоту управления каскада и более высокую надежность при комплексных нагрузках. Схема используется в передвижных аудиоси- стемах и портативных радиоприемниках средней мощности. VN1106N5, VP1206N5 Рис. 10.35 (SU)
ГЛАВАМ МИНИАТЮРНЫЙ СТАБИЛИЗАТОР С , Четыре компонента и р-канальный ДМОП-транзистор - все, что требуется для изготовления этого прецизионного регулируе- мого стабилизатора на выходной ток до 1 А. Линейный стабилизатор на ДМОП-транзисто- ре выгоден из-за несущественных токов уп- равления (обычно 1 нА) и обеспечивает ста- МАЛЫМ ПАДЕНИЕМ НАПРЯЖЕНИЯ бильную малую потребляемую мощность (4,5 мВт при входном напряжении 9 В). Малое падение напряжения и малые размеры по- зволяют использовать схему в большинстве видов портативной аппаратуры при питании от батарей.. Нагрузка 5 В 50 Ом 8 Ом 4 Ом Падение напряжения, В 0,1 0,4 0,8 Рис. 10.36
гАЗНЬГАЫЕСХ МЫ 367 ВОЗБУДИТЕЛЬ ПЕРЕДАТЧИКА РЕТРАНСЛЯТОРА Компьютеры в промышленном оборудова- нии часто требуют оптической связи для ус- транения влияния электрических шумов. Это обязательно для портативных индивидуаль- ных терминалов, в настоящее время приме- няемых на большинстве производств для уп- равления оборудованием и модернизации складского хозяйства, где об электрической связи не может быть и речи. Срок службы батареи диктуется зачастую схемами управления матрицами инфракрас- ных светодиодов, которые часто требуют 10-А импульсов, генерируемых с высокой временной точностью. Это приводит к появ- лению дополнительного тока покоя, текущего через каскады на биполярных транзисторах, и к сокращению срока службы батарей. Типичное значение потребляемой мощно- сти в состоянии покоя - 1 мкВт (без учета ИС 4098В). Выходной каскад и ДМОП-тран- зистор потребляют мощность только во время выходного импульса. Задержка выходного сигнала в выходном каскаде и ДМОП-тран- зисторе не превышает 200 нс, что вполне достаточно для большинства потоков данных. VN1206N5 Уга+9В Рис. 10.37
368 ГЛАВА 10 ВЫСОКОВОЛЬТНЫЙ ГЕНЕРАТОР ДЛЯ ВОЗДУХООЧИСТИТЕЛЯ Этот компактный высоковольтный генера- тор для воздухоочистителя пригоден для ра- боты при различных входных и выходных напряжениях. Генератор на ИС LM555 пита- ется от того же резистивного делителя ста- билитрона, подающего 10 В непосредственно на затвор транзистора Q3. Затвор Q3 часто переключается при работе транзисторов Q1 и Q2, тогда как выход ИС LM555 открывает транзистор Q4 с запазданием, обеспечивая безопасность режима переключения. VN0340N5, VP0340N5 Рис. 10.38 (SU)
РАЗНООБРАЗНЫЕ СХЕМЫ 369 СХЕМА УПРАВЛЕНИЯ СОЛЕНОИДОМ Хотя в данном устройстве используется два транзистора для сдвига уровней ТТЛ- МОН, непосредственное управление схемой от КМОП-логики уменьшит количество ком- ПЕРА ПЕЧАТАЮЩЕГО УСТРОЙСТВА понентов. Низкопороговые МОП-транзисторы TN0204 можно использовать при непосредст- венном управлении от ТТЛ-логики, но при меньших токах соленоида. VN1204N2, TN0204N3 Рис. 10.39 (SU)
370 ГЛАВА 10 СХЕМА УПРАВЛЕНИЯ НАПРЯЖЕНИЕМ НА МИШЕНИ ПЕРЕДАЮЩЕЙ ТЕЛЕВИЗИОННОЙ ТРУБКИ В видеокамерах с видиконами напряже- ние мишени часто регулируется для получе- ния максимального разрешения и чувстви- тельности при имеющейся на месте работы освещенности. При работе с видиконом 7735 при освещенности 1 лк требуется подать на мишень 50 В при освещенности 10 лк - напряжение 10 В. Это означает, что управ- ляющий элемент схемы подстройки должен быть линейным. Как видно из таблицы, ли- нейность управляющего напряжения лежит в пределах ±0,11 В. Эта схема обладает повышенной линей- ностью, малым числом компонентов, обеспе- чивает температурную коррекцию первого порядка и вероятность отказов, меньшую, чем в обычно применяемых схемах управле- ния напряжением мишени на основе бипо- лярных транзисторов. VN0545N3 VG, В VT, В 4,40 10 4,14 20 3,89 30 3,63 40 3,38 50 3,12 60 2,87 70 2,61 80 Рис. 10.40 (SU)
РАЗНООБРАЗНЫЕ СХЕМЫ 371 СХЕМА ПРЕДВАРИТЕЛЬНОГО КАСКАДА ПЕРЕДАТЧИКА Основное назначение этой схемы состоит в преобразовании ТТЛ-импульса на выхоДе в импульс амплитудой -100 В в коаксиальном кабеле. Транзистор VP1310N3 обеспечивает ин- версию сигнала, сдвиг уровня и усиление по напряжению. Цепочка R2 С2 определяет время спада и совместно с R3 определяет все временные параметры. Мощные высоковольтные импульсы при- меняются в акустических дальномерах и уст- ройствах ультразвуковой очистки на пьезо- электрических преобразователях. Приведенная разработка предназначена для использования в .схеме управления маг- нетроном радиолокатора. VP1310N3, VN1116N2 Рис. 10.41 (SU)
372 ГЛАВА 10 ЗАЩИТА ОТ НЕПРАВИЛЬНОГО ВКЛЮЧЕНИЯ БАТАРЕИ Транзистор VN13 заменяет диод Шотки, работающий для защиты от неправильного включения батареи. Встроенный диод тран- зистора VN13 (с параметрами VSD = 1 В при токе 1 A, VGS = 0) обеспечивает паде- ние напряжения 30 мВ при токе 10 мА, по- скольку при VGS = + 6 В VSD существенно снижается, так как диод шунтируется сопро- тивлением RpsfoNy Если принять, что конденсатор в системе первоначально разряжен, встроенный диод исток-сток обеспечивает начальный ток в си- стеме, когда батарея вставляется впервые. Так как падение напряжения на нагрузке возрастает, увеличивается напряжение на за- творе и включается ДМОП- транзистор, сни- жающий падение на транзисторе до мини- мума. Если батарея' включена в схему в обрат- ной полярности, транзистор остается запер- тым, потому что напряжение затвор-исток отсутствует. Так система защищена от про- текания тока в обратном направлении. Неправильное включение батареи, когда на системном конденсаторе остается положи- тельный заряд, приведет к тому, что транзи- стор остается открытым до тех пор, пока конденсатор не разрядится. VN1304N3 Рис. 10.42 (SU)
РАЗНООБРАЗНЫЕ СХЕМЫ 373 УЛУЧШЕНИЕ ХАРАКТЕРИСТИК ПРЕОБРАЗОВАТЕЛЯ ДАННЫХ С ПОМОЩЬЮ НЕДОРОГИХ КОМПОНЕНТОВ Высокая точность при низкой стоимости главная особенность этой схемы источника опорного напряжения (рис. 10.43). Несмотря на то что она имеет некоторые недостатки, характеристики схемы оказались удовлетво- рительными для большинства приложений. Можно снизить чувствительность к ха- рактеристикам источника питания на поря- док величины с помощью простой схемы ОУ (рис. 10.44). Использование более дешевых источников питания может значительно сни- зить стоимость системы. Несмотря на простоту, схема компенса- ции на резисторе и диоде (рис. 10.45) уменьшает температурный дрейф преобразо- вателя почти в 10 раз. Добавление такого же, ио противоположно направленного дрей- фа диода эффективно устраняет чистый дрейф преобразователя. Удвоить скорость преобразования без ухудшения точности позволяет простая диод- ная мостовая схема фиксации уровня на рис. 10.46. Эта схема может также улуч- шить характеристики ее сложных и дорого- стоящих преобразователей. Рис. 10.44 (ВВ)
Рис. 10.46 -15 В
Вход компаратора без схемы фиксации уровня (ВВ)
ПРИЛОЖЕНИЕ ПОСТАВЩИКИ ЭЛЕКТРОННЫХ СХЕМ Albia Electronics 24 Albia Street PO Box 1833 New Haven, CT 06508 All Electronics Corp. 905 S. Vermont Ave. PO Box 20406 Los Angeles, CA 90006 Arrow Electronics, Inc. 25 Hub Drive Melville, NY 11747 Digi-Key Corporation PO Box 677 Thief River Falls, MN 56701 Fair Radio Sales Co., Inc. 1016 E. Eureka St. PO Box 1105 Lima, OH 45802 Heathkit Heath Company Benton Harbor, Ml 49022 H&R Corporation 401 E. Erie Ave. Philadelphia, PA 19134 Jameco Electronics 1355 Shoreway Road Belmont CA 94002 Kelvin Electronics Inc. PO Box 8 1900 New Highway Farmingdale, NY 11735 Nuts & Volts PO Box 1111 Placentia, CA 92670 Marlin P. Jones & Assoc. PO Box 12685 Lake Park, FL 33403-0685 Mouser Electronics PO Box 9003 Lakeside, CA 92040 R&D Electronics 1202H Pine Island Road Cape Coral, FL 33909
УКАЗАТЕЛЬ (приведены номера рисунков) 3553 1.44 3554 2.1, 23, 233 3572 238, 3656 245 4084/25 1.45 - 1.48, 4302 345 - 358 4340 L49 4423 3.12 - 3.15 555 (таймер) 3-18 556 (двойной таймер) 319 7411043 - 10.45 А047 4.22-4.47 AD590 9.17 - 922 ADC10HT 43 AN0120NA 1035 AN0130NA 1031 DAC63 4.6 - 4.8,430, 4.21 DAC812 4.18 DC-7 5.16 - 5.22 DC-7A 5.25 - 5.26 ED11 5.16 ICL7663/7664 1.17 - 1.18 1CL7673 10.71 - 10.13 ICL8013 3.42,3.43 ICL8038 3.1 - 3.8 ICM7208 330 - 332 ICM7211 6.13 ICM7211M 5.10 ICM7215 3.40 ICM7216A/B/C/D 335 - 338 ICM7217 65 - 6.9 ICM72118 6.16 JCM7224 3.34 ICM7225 334 ICM7226A/B 327 - 3.29 ICM7227 65 - 6.9 ICM7231 5.1 - 55 ICM7234 5.1 - 55 “ICM7235 6.15 ICM7236 6.12 1СМ7240 3.25 - 3.26 ICM7242 ЗЛО - 3.23 ICM7243 6.10, 6.11, 6.14 ICM7245 3.41 ICM7249 333 ICM7250 335 - 336 ICM7260 335 - 3.26 IM80C 5.10. INA101 242 - 2.44 INA102 246 - 2.64 1NA104 1.61, 2.26 INA105 1.62, 227 INA110 237 - 2.41, LD014CNC 9.23 LM101A 139, 2.41, 3.17,10.24 LM105 1.10 LM109 133 LM11119, 2.16, 3.10, 3.16, 4.17 LM117 131 LM118 138, 2.4, 4.4 LM123 136,137 LM124 L43,1.68, 2.6, 2.8, 2.9, 2.13 LM137 1.19,130 LM138 1.1- 1.16 LM139 2.16,3.10 LM1458 1.69 LM158 25, 2.8 - 2.10 LM193 2.11, 2.12 LM200 134,135 LM201A 139, 2.41,3.17,10.24 LM2Q5 1.10 LM209 133 LM217 131 LM218 139, 2.4, 4.4 LM223 136,137 LM224 2.7, 2.8 LM237 1.19, 130 LM238 1.11 - 1.16 LM258 25,3.9 LM2902 2.7, 2.8 LM2904 25, 2.9, 2.10 LM301A 139, 2.41,3.17,1034 LM305 1.10 LM309 123 LM317 131 LM318 138, 24,4.4 LM323 136,137 LM324 2.7, 2.8 LM337 1.19, 1,20 LM338 1.11 - 1.16 LM358 25, 2.9, 2.10 MF10 5.14 МРИ 5.14 МР20 5.6 - 5.8, MS2014 537 MV4320 9.28 - 935 MV4325 9.10 - 9.12 MV5089 9.1 MV8860 93 - 9.4 MV8865 95 - 9.7 MV9009 927 NE555 3.18 NE556 3J9 ОРАЮ1 5.15 ОРА111 231 - 2.23 ОРА11НТ 159 ОРА128 234 ОРА156А 1.41, 230, 236 OFA201 23, 2J4, 231 ОРА2111 1.63, 232, 63, 9.25 ОРА27 2.17 - 230 ОРА37 2.17 - 230 OFA404 136, 137 ОРА600 1.44, 5.15 ОРА606 63 РСМ53 7.1 SD2 8.1 . SD3A 8.2 - 85 SE555 3.18 SE556 ЗД9 SL652C 7.12 - 7.14 SL6652 7.8 - 731 SL6653 7.4 - 7.. 7 , SL6700C 73, 73 SL8204 93, 9.9 SL9009 936 SP1450 538 SP1455 538 ТАА761С 1.60, 2.24,3.9 TDA1154 10.14, 1031 TDE17.67 8.6 - 83 TDE1787 8.6 - 83 ТЕА1510 1032 - 1033 ТЕА2018А 1.1 - 1.4 ТЕА7031 2.65, 2.66 TL071 1.69, 234, 3.9 TL072 3.9 TL074 L74, 2.24 TN0204N3 1039 TN0524N3 933 TPQ102N3 5.12 UA723 138, 139 UAF11 1.65 -1.67 UAF211.65 - 1.67 VC0106N6 3.11 VFC32 1.42, 4.15, 4.16 VN0104N3 3.11 VN0104N6 1034 VN0206N3 6.18 VN0206N6 6.18 VN0335N1 10.20 VN0340N1 6.17 VN0545N5 1038 VN0345N1 134, 617 VN0345N3 10.40 VN10KN3 1038 VN1106N1 2.68 VN1110N11032 VN1116N2 15, 10.41 VN116N2(SU) 15 VN1204N1 131 VN1204N2 1039 VN1206N5 1037 VN1210N1 2.67 VN1210N5 158, 5.13 VN1220N2 10.26 VN1304N3 1033 VN1306N3 1032 VNO104N5 132 VNO345N1 13 VNO545N3 1.6 VPO104N3 130 VP0104N6 934 VP0220N3 10.25 VP0340N5 1G.48 VP0535N3 1037 VP1106N5 1037 VP1204N1 131 VP1204N2 131,1033 VP1204N5 1037 VP1206N1 2.68 VP1210N1 2.67, 1032 VP1220N1 334 VP1306N3 1032 VP1310N3 10.41 VPO104N3 1.6, 130 VPO345N1 1.6 XTR100 9.13,9.16