Text
                    
В.Д.Разевиг
'Ла

fipmfl лиш-Телеком
Схемотехническое моделирование с помощью Micro-Cap 1
ББК 32.84
Р 17
Разевиг В. Д.
Р17 Схемотехническое моделирование с помощью Micro-Cap 7. -М.: Горячая линия-Телеком, 2003. - 368 с.: ил.
ISBN 5-93517-127-9.
Книга содержит сведения по применению популярной системы схемотехнического моделирования с помощью которой выполняется графический ввод проектируемой схемы, анализ характеристик аналоговых, цифровых и смешанных аналого-цифровых устройств. Рассмотрены методика анализа нелинейных схем по постоянному току, расчет переходных процессов и частотных характеристик, средства синтеза пассивных и активных аналоговых фильтров, средства моделирования функциональных схем аналоговых и цифровых устройств, возможности анимации цифровых устройств и построение 3-мерных графиков результатов моделирования. Обсуждается взаимодействие с программой схемотехнического моделирования PSPICE и программами для разработки печатных плат P-CAD 2001, OrCAD 9.2 и Protel DXP.
Для инженерно-технических работников, занимающихся схемотехническим проектированием аналоговых и цифровых устройств, студентов электро- и радиотехнических специальностей технических университетов, может быть полезна радиолюбителям.
ББК 32.84
Адрес издательства в Интернет www.techbook.ru e-mail: radios_hl@mtu-net.ru.
Справочное издание
Разевиг Всеволод Данилович
СХЕМОТЕХНИЧЕСКОЕ МОДЕЛИРОВАНИЕ С ПОМОЩЬЮ MICRO-CAP 7
Редактор М. А. Петкин Верстка В. Д. Рыськова Обложка художника В. Г. Ситникова
ЛР № 071825 от 16 марта 1999 г.
Подписано в печать 03.03.03. Формат 60x84/16. Печать офсетная
Уч.-изд. л. 23,25.Тираж 3 000 экз. Изд. № 127
Отпечатано с готовых диапозитивов в ООО ПФ «Полиграфист»,
160001, г. Вологда, ул. Челюскинцев, 3. Тел.: (8172) 72-55-31, 72-61-75. E-mail: pfpv@vologda.ru
ISBN 5-93517-127-9
© Разевиг В. Д., 2003
© Оформление издательства «Горячая линия-Телеком», 2003
ОГЛАВЛЕНИЕ
Введение.....................................................6
Глава 1. Основные сведения о системе Micro-Cap 7............14
1.1.	Установка системы....................................14
1.2.	Интерфейс программы Micro-Cap 7......................17
1.3.	Демонстрация основных возможностей...................21
Глава 2. Создание принципиальных схем........................36
2.1.	Режимы работы редактора схем, система меню...........36
2.1.1.	Меню File......................................38
2.1.2.	Меню Edit......................................41
2.1.3.	Меню Component.................................45
2.1.4.	Меню Windows...................................48
2.1.5.	Меню Options...................................50
2.1.6.	Меню Analysis..................................66
2.1.7.	Меню Design....................................66
2.1.8.	Меню Help......................................71
2.1.9.	Назначение функциональных клавиш...............71
2.2.	Создание чертежа схемы...............................72
2.3.	Редактирование компонентов...........................85
2.3.1.	Структура экрана редактора компонентов Component Editor......................................85
2.3.2.	Добавление в библиотеку компонентов............90
2.3.3.	Добавление в библиотеку макромодепей...........91
2.4.	Редактирование графических символов компонентов......94
2.4.1.	Структура экрана редактора графических символов Shape Editor..........................................95
2.4.2.	Редактор графических объектов Object Editor....100
2.4.3.	Библиотека символов............................102
2.5.	Редактор упаковки компонентов Package Editor.........102
2.6.	Представления чисел, переменных и математических выражений................................105
2.7.	Текстовые директивы.................................117
Глава 3. Выполнение моделирования............................132
3.1.	Анализ переходных процессов (Тransient Analysis).....132
3.1.1.	Задание параметров моделирования Transient Analysis Limits.............................133
3.1.2.	Использование клавиши Р........................137
3.1.3.	Меню режимов расчета переходных процессов Transient........................138
3.1.4.	Задание начальных значений и редактирование переменных состояния.................140
3.1.5.	Вывод численных данных.........................142
3
3.2.	Расчет частотных характеристик (AC Analysis).......143
3.2.1.	Задание параметров моделирования AC Analysis Limits...................................144
3.2.2.	Использование клавиши Р.......................148
3.2.3.	Меню режимов расчета частотных характеристик АС.149
3.2.4.	Вывод численных данных........................150
3.2.5.	Расчет уровня внутреннего шума................151
3.3.	Расчет передаточных функций по постоянному току (DC Analysis).......................152
3.3.1.	Задание параметров моделирования DC Analysis Limits...................................152
3.3.2.	Использование клавиши Р......................155
3.3.3.	Меню режимов расчета передаточных функций DC....155
3.4	Многовариантный анализ..............................158
3.5.	Параметрическая оптимизация........................160
3.6.	Статистический анализ по методу Монте-Карло........164
3.7.	Расчет режима по постоянному току (Dynamic DC).......169
3.8.	Расчет малосигнальных передаточных функций (Transfer Function).....................................170
3.9.	Расчетчувствительностей по постоянному току (Sensitivity).... 171
3.10.	Просмотр и обработка результатов моделирования....172
3.10.1.	Окно отображения результатов моделирования...173
3.10.2.	Панорамирование окна результатов моделирования............................174
3.10.3.	Масштабирование окна результатов моделирования............................174
3.10.4.	Режим электронной лупы ЗсореАнимация........175
3.11.	Вывод графиков характеристик в режиме Probe.........180
3.12	Анимация и трехмерные графики......................185
Глава 4. Модели аналоговых компонентов.....................187
4.1.	Общие сведения о моделях компонентов ..............187
4.2.	Пассивные компоненты (Passive components)..........188
4.3.	Активные компоненты (Active components)............200
4.4.	Источники сигналов (Waveform Sources)..............221
4.5.	Линейные и нелинейные зависимые источники (Dependent Sources).....................................227
4.6.	Линейные управляемые источники, задаваемые преобразованиями Лапласа (Laplace Sources)
и Z-преобразованиями (Z Transform Sources)..............229
4.7.	Функциональные источники сигналов (Function Sources).231
4.8.	Смесь (Miscellaneous)..............................232
4.9.	Макромодели, заданные схемами замещения (Macros)...235
4.10.	Макромодели, заданные текстовым описанием	(Subckts).236
4.11.	Соединители (Connectors)..........................237
Глава 5. Программа расчета параметров моделей аналоговых компонентов MODEL...............................239
5.1.	Общие сведения о программе MODEL...................239
5.2.	Интерфейс программы MODEL..........................240
5.2.1.	Меню File....................................241
4
5.2.2.	Меню Edit....................................242
5.2.3.	Меню Windows.................................243
5.2.4.	Меню Options.................................244
5.2.5.	Меню View....................................245
5.2.6.	Меню Run.....................................246
5.3.	Работа с программой MODEL..........................246
5.4.	Параметры моделей аналоговых компонентов...........249
Глава 6. Моделирование цифровых устройств..................258
6.1.	Основные понятия...................................258
6.2.	Устройства интерфейса..............................259
6.2.1.	Аналого-цифровой интерфейс...................261
6.2.2.	Цифро-аналоговый интерфейс...................264
6.2.3.	Модель вход/выход............................267
6.2.4.	Устройства питания ..........................271
6.3.	Генераторы цифровых сигналов.......................272
6.4.	Цифровые компоненты................................277
6.4.1.	Многоразрядные АЦП и ЦАП ....................280
6.4.2.	Вентили и триггеры...........................283
6.4.3.	Программируемые логические матрицы...........293
6.4.4.	Прочие устройства............................297
6.5.	Функциональное описание цифровых устройств.........298
6.5.1.	Логические выражения.........................299
6.5.2.	Задание задержек распространения.............300
6.5.3.	Контроль временных соотношений...............308
Глава 7. Сервисные возможности программы ...................314
7.1.	Средства помощи.....................................314
7.2.	Обмен данными с программой PSpice и ранними версиями Micro-Cap............................317
7.3.	Вывод на принтеры и плоттеры.......................319
Приложение 1. Каталог типовых аналоговых и цифровых компонентов.....................................325
Приложение 2. Типовые схемы................................344
Приложение 3. Упражнения...................................348
Список литературы..........................................366
5
ВВЕДЕНИЕ
Изучение схемотехнического моделирования электронных устройств рекомендуется начинать с освоения одной из программ семейства Micro-Cap (Microcomputer Circuit Analysis Program) фирмы Spectrum Software (http://www.spectrum-soft.com), ориентированных на ПК, совместимые с IBM PC [4—5].
Летом 2001 г. фирма Spectrum Software выпустила программу схемотехнического моделирования электронных цепей Micro-Cap 7. Первый вариант Micro-Cap появился в 1981 г. и быстро завоевал популярность, потому что не предъявлял высоких требований к компьютерам. Сделаем краткий экскурс в историю развития этой программы.
Circuit Designer and Simulator (август 1981 г.). Текстовый редактор описания простых линейных цепей и программа их моделирования.
Micro-Cap I (сентябрь 1982 г.). Реализован графический ввод схем линейных и нелинейных аналоговых устройств, их моделирование и динамическое отображение графиков характеристик в процессе моделирования.
Micro-Cap II (ноябрь 1984 г.). Широко известная версия MicroCap II [4] предназначена для моделирования аналоговых линейных и нелинейных электронных цепей. Она имеет существенные ограничения на максимальный размер схемы (50-150 узлов), графический редактор старомоден и неудобен, программа написана на Бэйсике, но тем не менее Micro-Cap II (имеются его выпуски 1, 2, 3 и 4) обеспечивает достаточную точность моделирования (в рамках принятых упрощенных моделей полупроводниковых приборов). Поддерживаются мониторы с высоким разрешением, сопроцессоры и вывод на плоттеры (ранее вывод данных осуществлялся только на принтеры).
Micro-Cap III (декабрь 1988 г.). Программа следующего поколения переписана на Си, имеет великолепную управляющую оболочку, удобный графический редактор, но точность моделирования не выдерживает критики: погрешность расчета амплитудно-частотных характеристик изменяется от десятых долей децибела для простых схем до единиц децибел для сложных, групповое время запаздывания рассчитывается неправильно, метод статистических испытаний дает непрогнозируемые результаты, а при анализе воздейст
6
вия импульсных сигналов переходные процессы приобретают сильно осциллирующий характер, связанный с потерей устойчивости вычислительных алгоритмов. Поэтому результаты моделирования, полученные с помощью Micro-Cap III, следует подвергать доскональным проверкам.
Micro-Cap IV (февраль 1992 г.). В Micro-Cap IV [7] применены надежные вычислительные алгоритмы SPICE 2G.6 и исправлены замеченные в Micro-Cap III ошибки, при этом расширены .функциональные возможности программы. Характерная особенность MicroCap IV — полная совместимость с пакетом PSpice как по принятым моделям компонентов, так и по текстовому описанию схемы. Однако управляющая оболочка Micro-Cap IV не очень удобна, принятая последовательность выполнения команд нелогична; это последняя версия Micro-Cap для DOS.
Micro-Cap V (август 1995 г.). Программа Micro-Cap V претерпела радикальные изменения. Во-первых, она переведена на платформу Windows, имеет удобный интерфейс, в текстовых надписях на схемах и графиках поддерживает кириллицу. Во-вторых, она теперь позволяет моделировать не только аналоговые, но и цифровые и аналого-цифровые электронные устройства. В-третьих, как для аналоговых, так и для цифровых компонентов используются математические модели, принятые в известной программе PSpice [6, 12—16]. Для моделирования аналоговых компонентов оставлен алгоритм SPICE 2G.6, а для моделирования цифровых компонентов разработан собственный.
По своим функциональным возможностям Micro-Cap V находится между профессиональной программой моделирования аналоговоцифровых устройств PSpice А/D, входящей в состав пакета DesignLab 8.0 [6], и более дешевым базовым вариантом с ограниченными возможностями PSpice A/D Basics. Основное ее отличие от DesignLab (ныне OrCAD [8]) — работа под управлением одной управляющей оболочки, в которой при смене режима лишь частично изменяется состав меню команд. В системе же DesignLab при смене режима один экран полностью заменяется другим, выполненным в ином стиле, что затрудняет ее освоение начинающими пользователями.
Основные изменения связаны с развитием многовариантного анализа. Допускается одновременно варьировать до 10 переменных и строить графики зависимостей характеристик схемы от варьируемых параметров. Введен режим построения 3-мерных графиков. Значительно расширена библиотека компонентов, включающая модели более 10 тыс. аналоговых и цифровых электрорадиоэлементов ведущих фирм.
Micro-Cap 6 (июль 1999 г.) [5]. В этой версии введены следующие нововведения:
7
•	добавлена возможность параметрической оптимизации при анализе переходных процессов и в режимах АС и DC, в режиме АС добавлена возможность построения круговых диаграмм и S-параметров;
•	введен отдельный режим Dynamic DC для анализа нелинейных схем по постоянному току и визуализации непосредственно на схеме значений узловых потенциалов, токов ветвей и рассеиваемой мощности; кроме того, предусмотрена оперативная настройка параметров в режиме по постоянному току с помощью движковых регуляторов без проведения повторного моделирования;
•	созданы средства синтеза пассивных и активных аналоговых фильтров;
•	обеспечен интерфейс с программами разработки печатных плат OrCAD, P-CAD, Protel и др.;
•	усовершенствованы алгоритмы моделирования, повышена их точность и быстродействие;
•	введен анализ чувствительности и передаточных функций по постоянному току;
•	расширен перечень варьируемых параметров;
•	расширен перечень макрокоманд и математических функций, введены функции комплексных переменных, в частности, помимо преобразования Лапласа введено z-преобразование, введен встроенный датчик случайных чисел, расширен состав библиотек компонентов;
•	введен режим анимации при анализе цифровых устройств.
M	icro-Cap 7 (сентябрь 2001 г.). Введена параметрическая оптимизация во всех основных видах анализа и сделаны другие нововведения [17, 18];
•	исправлен ряд ошибок, имевшихся в версии 6, в частности, исправлена основная модель операционного усилителя LEVEL 3, исправлены ошибки синтеза фильтров и др.;
•	введены S-параметры линейных 4-полюсников и круговые диаграммы (диаграммы Смита) для моделирования высокочастотных устройств;
•	в файл схем включена вся информация, необходимая для переноса моделируемого проекта на другой ПК;
•	обеспечено размещение файлов схем и библиотек математических моделей компонентов в разные каталоги;
•	введен редактор воздействий Stimulus Editor;
•	усовершенствован редактор компонентов Component Editor;
•	в схемотехническом редакторе введен откат назад и вперед на один или несколько шагов;
•	предусмотрено составление списков соединений схемы в форматах программ Cadence OrCAD, PADS, Protel, P-CAD и др. для разработки печатных плат.
8
Из других достоинств Micro-Cap 7 отметим исчерпывающую встроенную помощь и возможность задания функциональных зависимостей параметров схемы (как функций времени, токов ветвей и узловых потенциалов), причем эти возможности даже несколько шире, чем в последних версиях OrCAD 9.2 — в них можно сделать параметры пассивных компонентов зависящими только от токов или напряжений других ветвей схемы, в этих целях не нужно больше применять управляемые источники токов или напряжений. Приведем перечень основных характеристик Micro-Cap 7:
•	многостраничный графический редактор принципиальных схем, поддерживающий иерархические структуры;
•	поведенческое моделирование аналоговых и цифровых компонентов, возможность описания цифровых компонентов с помощью логических выражений. В сочетании с библиотекой графических символов типовых операций (суммирование, вычитание, умножение, интегрирование, применение преобразования Лапласа, Z- преобразования и т. п.). Это позволяет моделировать динамические системы, заданные не только принципиальными, но и функциональными схемами;
•	большая библиотека компонентов, включающая в себя наиболее популярные цифровые интегральные схемы дискретной логики и PLD и аналоговые компоненты типа диодов, биполярных, полевых и МОП-транзисторов, магнитных сердечников, линий передачи с потерями, макромодели операционных усилителей, кварцевых резонаторов, датчиков Холла и т. п. Все эти модели написаны в стандартном формате SPICE и могут быть использованы с программами моделирования фирм Altium, Cadence, IntoSoft и др.;
•	макромодели компонентов могут быть представлены в виде принципиальных электрических схем или в текстовом виде;
•	графики результатов выводятся в процессе моделирования или после его окончания по выбору пользователя, имеются сервисные возможности обработки графиков;
•	многовариантный анализ при вариации параметров и статистический анализ по методу Монте-Карло;
•	имеется специальная программа MODEL для расчета параметров математических моделей аналоговых компонентов по справочным или экспериментальным данным;
•	при наличии ошибок информация о них мгновенно появляется на экране (в PSpice большинство ошибок нужно отыскивать в текстовом файле); имеются встроенные средства помощи;
•	имеется электронная документация и контекстно-ориентированные средства помощи.
Если ранее фирма Spectrum Software выпускала две отдельные программы (в российской интерпретации BOSS): Micro-Cap для мо-
9
делирования аналоговых устройств и Micro-Logic для моделирования цифровых устройств (последняя развивается с июня 1980 г.), то Micro-Cap 5 и его более старшие версии позволяют моделировать и аналоговые, и цифровые устройства и более того, смешанные аналого-цифровые устройства. Однако при этом нужно учитывать, что программа Micro-Cap 7 поддерживает формат PSpice старых версий пакета Design Center/OrCAD . В последних же версиях ряд ключевых слов текстовых описаний PSpice претерпел незначительные изменения и появились новые возможности. Поэтому перед загрузкой в современные версии PSpice текстовых описаний, схем, полученных с помощью программы, может потребоваться их коррекция.
Для Micro-Cap 7 имеется студенческая или демонстрационная версия, которая предназначена для моделирования простейших схем содержащих не более 50 компонентов или 100 связей ( количество связей равно числу узлов + число индуктивностей + число источников сигналов), что вполне достаточно для студентов младших курсов и проверки несложных проектов. Кроме того, в ней отсутствует программа составления математических моделей компонентов по экспериментальным данным MODEL, недоступна команда составления списка соединений схемы для их передачи в системы разработки печатных плат и ряд других, ограничены возможности средств синтеза аналоговых фильтров, построения трехмерных графиков и ряда др. В профессиональной версии Micro-Cap 7 максимальный объем схемы увеличен до 10 тыс. узлов, но ее стоимость гораздо выше. Моделирование в студенческой версии выполняется в несколько раз медленнее, чем в профессиональной. Кроме того, встроенные в студенческую версию текстовые редакторы обрабатывают файлы объемом не более 30 000 байт и к ней прикладываются сокращенные библиотеки математических моделей компонентов и их символов, которые можно самостоятельно расширять. Эту версии можно бесплатно получить по Internet, обратившись на сайт http: //www.spectrum-soft.com (дистрибутив демоверсии занимает 3,9 Мб).
Micro-Cap 7 выпускается для платформ IBM, NEC и Macintosh. Версии для IBM PC требуют:
•	процессор не хуже Pentium II;
•	ОЗУ объемом не менее 64 Мб;
•	Microsoft или совместимая с ней двухкнопочная мышь;
•	операционная система
Windows 95/98/МЕ или
Windows 2000/NT 4/ХР.
Программа Micro-Cap 7 очень удобна для первоначального освоения схемотехнического моделирования электронных схем. Наибольшее распространение она может получить при обучении студентов. Однако однозначно выбрать одну единственную программу 10
для применения в различных условиях невозможно. Поэтому приведем краткую характеристику других аналогичных программ, располагая их в алфавитном порядке.
ALPAC (http://www.aplac.com) — предназначена для проектирования и моделирования электрических схем и систем во временной и частотной областях [7]. В их состав могут входить как цифровые, так и аналоговые компоненты, в том числе устройства диапазона СВЧ. Выполняются следующие виды расчетов: режим по постоянному току, частотные характеристики, спектральная плотность и коэффициент шума, чувствительность и параметрическая оптимизация, переходные процессы, спектры сигналов, анализ периодических режимов, статистический анализ по методу Монте-Карло. В основном этот набор довольно стандартен. Однако аналогичные расчеты проводятся, с помощью нескольких разных программ, а здесь они доступны в одной. Другая важная особенность APLAC -наличие большого набора библиотек элементов принципиальных схем и отдельных блоков, применяемых в аналоговых и цифровых системах связи. По своему функциональному составу эти библиотеки превосходят библиотеки других систем. Кроме того, в состав APLAC входит подпрограмма расчета трехмерных электромагнитных полей микрополосковых конструкций и других устройств диапазона СВЧ. Последнее, на что следует обратить внимание, это возможность ввода результатов измерений и вывода управляющих сигналов с помощью интерфейсных плат стандарта IEEE-488 (GPIB, HP-IB), как в системе LabView.
CircuitMaker 2000 (http://www.altium.com, http://www. circuit-maker.com) — простейшая система моделирования фирмы MicroCode (ныне принадлежит компании Protel), в которой приняты модели компонентов стандарта SPICE [1].
OrCAD 9.2 (http://www.orcad.com) — интегрированный программный комплекс корпорации Cadence Design Systems (бывш. DesignLab) для сквозного проектирования аналоговых, цифровых и смешанных аналого-цифровых устройств, синтеза устройств программируемой логики и аналоговых фильтров [8]. Прекратил свое развитие после слияния в начале 1999 г. с компаний MicroSim и OrCAD, которая, в свою очередь, поглощена корпорацией Cadence Design Systems. Проектирование начинается с ввода принципиальной схемы, ее моделирования и оптимизации и заканчивается созданием управляющих файлов в формате JEDEC для программаторов, разработкой печатной платы и выводом управляющих файлов для фотоплоттеров и сверлильных станков. Система DesignLab является развитием системы предыдущего поколения Design Center. Во всех этих системах используется широко известная программа моделирования PSpice. Если пакет Design Center обеспе-
11
чивал синтез устройств программируемой логики только типа PLD фирм Altera, AMD, Atmel, Cypress, ICT, Lattice, Motorola, PLX, Philips, Texas Instruments, Toshiba и TriQuint, то в DesignLab включен интерфейс co средствами проектирования перепрограммируемых логических устройств FPGA фирмы Xilinx (в качестве средства проектирования используется программа ХАСТ Step 6.0). В результате получена система, позволяющая разрабатывать PLD и FPGA, моделировать их на ПК совместно с другими аналоговыми и цифровыми компонентами, проектировать печатные платы и затем повторять моделирование с учетом паразитных эффектов, присущим реальным конструкциям.
Electronics Workbench 5 (http://www.interactiv.com) — в отличие от других программ схемотехнического моделирования на экране изображаются измерительные приборы с органами управления, максимально приближенными к реальности [2, 11]. Пользователь освобождается от изучения довольно абстрактных (хотя и не очень сложных) правил составления заданий на моделирование. Достаточно на схему поместить двухканальный осциллограф и генератор сигналов - и программа сама сообразит, что нужно анализировать переходные процессы. Если же на схеме разместить анализатор частотных характеристик, то будет рассчитан режим по постоянному току, выполнена линеаризация нелинейных компонентов и затем проведен расчет характеристик схемы в частотной области. Диапазон анализируемых частот, коэффициент усиления и характер оцифровки данных (в линейном или логарифмическом масштабе) устанавливается на лицевой панели, манипулируя мышкой. Чтобы начать моделирование, достаточно щелкнуть выключателем. После этого на устройствах индикации цифровых вольтметров и амперметров будет зафиксирован режим по постоянному току, на экране измерителя нарисованы частотные характеристики (ампли-тудно- или фазочастотные), а на экране осциллографа будут непрерывно изображаться эпюры напряжений до тех пор, пока не заполнится буферная память, а затем можно прекратить моделирование или обнулить память и продолжить наблюдения. В конце 1998 г. программа Electronics Workbench 5.3 дополнена простейшей программой разработки печатных плат EWB Layout (Multiboard) (причем в отличие от Micro-Cap 7 интерфейс с другими известными САПР печатных плат не предусмотрен), и затем серий программ multisim 2001, ultiboard 2001, ultiroute и commsim 2001.
Protel DXP (http://www.protel.com) — интенсивно развивающаяся система сквозного проектирования аналоговых и цифровых электронных устройств фирмы Protel.
System View 5.0 (http://www.elanix.com) — программа SystemView представляет собой конструктор, с помощью которого из стандарт-12
ных “кубиков” строится функциональная схема исследуемой электронной системы [9]. Из каталога библиотек выбирается нужный функциональный модуль, который переносится на схему, и затем производится синтез его параметров или задание их значений вручную. После соединения всех функциональных модулей и подключения измерительных устройств задаются системные параметры (длительность интервала наблюдения, частота дискретизации, параметры быстрого преобразования Фурье) и выполняется моделирование. В отдельном окне просматриваются и обрабатываются результаты анализа. Рассчитываются преобразования Фурье графиков, корреляционные и взаимно корреляционные функции, выполняются арифметические и тригонометрические операции, статистическая обработка данных и многое другое.
Microwave Office 2002. (http://www.mwoffice.com) — программы Microwave Office (МСО) и VSS (Visual System Simulator) фирмы Applied Wave Research (AWR) позволяют моделировать принципиальные СВЧ-устройства, заданные как в виде принципиальных, так и функциональных [10] схем.
При написании данной книги использовано описание предыдущей версии Micro-Cap 6 [5].
Рекомендуется следующая последовательность чтения книги, которое должно сопровождаться практической работой на компьютере с демонстрационной или профессиональной версией программы Micro-Cap 7. Сначала рекомендуется ознакомиться с основными возможностями программы в разд. 1.3. Далее следует просмотреть в Приложении 1 описания стандартных компонентов, имеющихся в программе. После этого целесообразно промоделировать поставляемые вместе с программой типовые схемы, перечень которых приведен в Приложении 2. Далее можно приступить к моделированию собственных схем, начиная, быть может, с выполнения простейших упражнений из Приложения 3, обращаясь к основному содержанию книги по мере надобности. Для уверенного овладения средствами моделирования программы PSpice и описания задания на моделирование с помощью графических средств полезно ознакомиться со средствами текстового ввода.
К автору книги можно обратиться по электронной почте: razevig @ rodnik.ги и razev/o @pcweek. ru.
13
Глава 1
ОСНОВНЫЕ СВЕДЕНИЯ О СИСТЕМЕ MICRO-CAP 7
1.1.	Установка системы
Система Micro-Cap 7 состоит из двух программ:
 Micro-Cap 7 — основная программа;
 MODEL — программа расчета параметров математических моделей компонентов по паспортным данным.
При запуске программы Micro-Cap 7 на IBM PC должны быть выполнены следующие требования:
процессор Pentium II и старше;
операционная система Windows старше, чем 3.11, т. е. Windows
95/98/МЕ или Windows NT 4/2000/ХР;
системная память не менее 64 Мб;
монитор SVGA и выше.
После установки дистрибутива Micro-Cap 7 (в дальнейшем МС7) программа располагаются в четырех каталогах, в которых размещаются следующие файлы.
Корневой каталог МС7:
MCAP.DAT — файл конфигурации программы МС7 (создается автоматически после первого запуска программы, в частности, в нем указываются полные имена подкаталогов, аналогичных подкаталогам \DATA и \LIBRARY);
MODEL.DAT — файл конфигурации программы MODEL (отсутствует в студенческой версии);
МС7.ЕХЕ (или MC7DEMO.EXE для студенческой версии) — программа МС7;
MC7.HLP — встроенная помощь для программы МС7;
MODEL.EXE — программа MODEL (отсутствует в студенческой версии, может быть загружена с сайта http://www.spectrum-soft.com, но без библиотек компонентов);
MODEL.HLP — встроенная помощь для программы MODEL (отсутствует в студенческой версии);
STANDARD.CMP — простейшая библиотека компонентов (поставляется вместе с демонстрационной версией);
14
STANDARD.SHP — простейшая библиотека условных графических обозначений (символов) компонентов, УГО (поставляется вместе с демонстрационной версией);
STANDARD.PKG — простейшая библиотека упаковки компонентов (необходима для создания списков соединений схем, передаваемых в программы разработки печатных плат; поставляется вместе с демонстрационной версией);
FILTER.BIN — бинарный файл, необходимый для синтеза фильтров (этот файл можно взять из версии МС6).
В подкаталог MC7\DATA заносятся файлы схем и результатов моделирования. По умолчанию принято следующее соглашение о расширениях имен файлов:
АМС — текстовые файлы статистической обработки расчетов частотных характеристик при разбросе параметров по методу Монте-Карло;
.ANO — текстовый файл результатов расчета частотных характеристик, в который занесена информация о параметрах компонентов, режиме по постоянному току и таблице выходных переменных, отмеченных в меню AC Analysis Limits;
.ASA — бинарный файл результатов расчетов частотных характеристик;
.САР — значения емкостей конденсаторов, назначенных при проведении расчетов по методу Монте-Карло с учетом заданной величины разброса tolertance (формируется программой);
.CIR — файлы описания схем в формате Micro-Cap 7;
.СКТ — файлы описания схем и заданий на моделирование на языке SPICE;
DMC — текстовые файлы статистической обработки расчетов передаточных функций по постоянному току при разбросе параметров по методу Монте-Карло;
.DNO — текстовый файл результатов расчета передаточных характеристик, в который занесена информация о параметрах компонентов, режиме по постоянному току и таблице выходных переменных, отмеченных в меню DC Analysis Limits;
.DSA — бинарный файл результатов расчетов передаточных характеристик;
.ERR — перечень ошибок упаковки компонентов;
.JED — файл программирования логических матриц в формате JEDEC;
.NET — текстовые файлы списков соединений для переноса схем на печатные платы;
RES —значения сопротивлений резисторов, назначенных при проведении расчетов по методу Монте-Карло с учетом заданной величины разброса tolertance (формируется программой);
15
.SEN — текстовый файл результатов расчета чувствительно-стей по постоянному току;
.STM — текстовые файлы цифровых сигналов;
.SVV — текстовые файлы значений переменных состояния, создаваемые редактором State Variables Editor;
.S2P — текстовые файлы S-параметров линейных четырехполюсников;
TNO — текстовые файлы результатов расчета переходных процессов, в который занесена информация о параметрах компонентов, режиме по постоянному току и таблице выходных переменных, отмеченных в меню Transient Analysis Limits;
ТМС — текстовые файлы статистической обработки расчетов переходных процессов при разбросе параметров по методу Монте-Карло;
TSA — бинарные файлы результатов расчетов переходных процессов;
.USR — текстовые файлы дискретных отсчетов выборок сигналов.
В подкаталог MC7\LIBRARY заносятся файлы библиотек математических моделей компонентов. По умолчанию принято следующее соглашение о расширениях имен файлов;
.INX — индексный файл библиотек математических моделей компонентов;
.LBR — сокращенные бинарные файлы библиотек математических моделей компонентов, созданных программой MODEL, без сохранения экспериментальных данных; просматриваются и редактируются с помощью программы МС7;
.LIB — текстовые файлы библиотек математических моделей компонентов в формате SPICE; просматриваются и редактируются программой МС7 и любым текстовым редактором;
.МАС — описание макромоделей;
.MDL — полные бинарные файлы библиотек математических моделей компонентов, созданных программой MODEL, с сохранением экспериментальных данных;
.MOD — текстовые файлы математических моделей отдельных компонентов в формате SPICE;
.INC — содержание этого текстового файла включается в задание на моделирование;
NOM.LIB — перечень загружаемых библиотек математических моделей компонентов (текстовый файл, по умолчанию создается при инсталляции программы и редактируется пользователем);
NOMJJB.INX — индексный файл библиотек математических моделей компонентов (создается программой);
.USR — текстовые файлы дискретных отсчетов выборок сигналов.
16
В подкаталог MC7\PICTURE помещаются рисунки *.bmp, *wmf и *.emf, создаваемые в МС7 или вставляемые на схему. Этот подкаталог обычно совмещается с подкаталогом \DATA.
Путь к каталогу данных и библиотекам можно изменить в программе МС7, указывая его в явном виде по команде File>Paths или редактируя следующие строки в файле mcap.dat:
MCAPData=C:\MC7\DATA\
ModelLibPath=C:\MC7\LIBRARY\
PicturePath=C:\MC7\DATA\
Запуск программы MC7.exe производится щелчком мыши на пиктограмме 53, программы Model.exe — на пиктограмме или обычным образом из командной строки Windows.
Хотя программа Micro-Cap 7 обычно выполняется в интерактивном режиме, возможен ее запуск в пакетном режиме из командной строки в двух вариантах.
По первому варианту указываются имена одного или нескольких загружаемых файлов схем, и программа ожидает дальнейших команд Если расширение имени файла не указано, по умолчанию принимается расширение имени .01R — файл схемы в формате Micro-Cap 7:
МС7
[имя_файла_схемы_1[.<расширение>]]...[имя_файла_схемы_^.< расширение?-]]
МС7 [[/S | /R]|[[/P]|[/PC|/PA]]] [@имя_командного_файла.ВАТ]
Здесь в квадратных скобках [] заключены необязательные параметры, символ | означает “ИЛИ”.
По второму варианту загружаются файлы схем и в пакетном режиме выполняется моделирование, указывая тип анализа после имени файла схемы:
[имя_файла_схемы] [[/T/A/D][/S|/R] [/Р] [/РС|/РА]]]
Здесь приняты обозначения:
/Т — анализ переходных процессов,
/А — анализ частотных характеристик,
/D — расчет режима по постоянному току,
/S — сохранение результатов выполнения анализа на диске для загрузки впоследствии;
/R — восстановление с диска процесса моделирования и печать его результатов, заданных в диалоговом окне Analysis Limits;
/PC — печать схемы,
/РА — печать графиков результатов моделирования,
/Р — печать схемы и графиков результатов моделирования.
Добавление /S в конце командной строки вызывает выполнение всех трех видов анализа и сохранение полученных результатов на диске для дальнейшей обработки; /R означает запрет на выполне
17
ние моделирования и загрузку результатов выполненного ранее моделирования и отображения графиков их результатов. Имя командного файла указывается после символа @. Пусть, например, командный файл TEST.BAT содержит три строки:
CARLO /А/Т
SENSOR. СКТ /А
LOGIC/Т
Тогда запуск Micro-Cap 7 с помощью командной строки МС7 @TEST.BAT приведет к следующему:
загрузка схемы из файла CARLO.CIR и выполнение расчета частотных характеристик и переходных процессов;
загрузка SPICE-файла SENSOR.СКТ и выполнение расчета частотных характеристик;
загрузка схемы из файла LOGIC.CIR и выполнение расчета переходных процессов.
1.2. Интерфейс программы Micro-Cap 7
В системе МС7 используется многооконный интерфейс с ниспадающими и разворачивающимися меню, который уже стал стандартным. Объясним назначение отдельных элементов интерфейса программы, основное окно которой изображено на рис. 1.1.
Кнопка системного меню fcd. Расположена в верхнем левом углу окна и является стандартной кнопкой управления приложениями Windows. С ее помощью изменяется и восстанавливается размер окон, они перемещаются, сворачиваются и закрываются.
Кнопка меню схемы 1Й. Аналогична кнопке системного меню, но применяется только при работе с окнами схем. В окне анализа
1*5
Меню команд. Меню выбранной курсором команды разворачивается вниз. Некоторые команды, например File>Save (Файл/Сохранить), выполняются немедленно после их выбора. Другие команды, отмеченные многоточием например File>Save As... (Файл>Сохранить как...), требуют ввода дополнительной информации (в данном примере ввода имени файла). Команды, отмеченные треугольником ► , имеют дополнительное меню, разворачивающиеся вправо, например Options>Mode ►.
Строка заголовка. В этой строке указывается имя окна. Если открыто окно схем, то указывается имя файла схемы и каталога, в котором он расположен. Если же открыто окно анализа характеристик Analysis — указывается вид анализа.
18
19
Строка инструментов. На этой строке размещены пиктограммы наиболее употребительных команд, их полный список приведен в разд. 2.1. Пиктограммы команд немедленного действия остаются
нажатыми непродолжительное время и затем восстанавливают свое первоначальное положение. Пиктограммы команд, переключающие режимы, остаются в положение “включено” до выполнения следующей команды.
Кнопки изменения размеров окна. Стандартные кнопки ин-терфейса Windows позволяют распахнуть окно на весь экран _d,

х
уменьшить его размер l±£J и свернуть окно, разместив его пикто-грамму в нижней части экрана ~ Нажатие на кнопку закрывает текущее окно или завершает работу с программой МС7.
Линейки прокрутки. Две линейки прокрутки позволяют панора
мировать окно схем или текста по горизонтали или вертикали.
Закладки переключения окна схем, окна текста. Нажатие на закладки Text, Page 1, Page 2, ... выводит в рабочее окно содер
жание текстового окна, в котором можно размещать описание математических моделей компонентов текущей схемы, директивы и другую текстовую информацию, или содержание окна схем. Переключение между текущим окном схемы и текстовым окном производится также нажатием горячих клавиш Ctrl+G. Новая страница схемы создается по команде всплывающей панели Add+Page, открываемой щелчком правой кнопки мыши, когда курсор находится на строке названий страниц, когда имеется не менее двух страниц схем.
Управление программой осуществляется с помощью мыши. Нажатие левой кнопки мыши в дальнейшем для краткости называется просто нажатием кнопки мыши. При ее нажатии используются следующие термины:
щелчок— быстро нажать и отпустить кнопку мыши;
двойной щелчок — дважды нажать кнопку мыши в быстром темпе;
перетаскивание — нажать и удерживать кнопку мыши при ее перемещении;
указание — передвинуть мышь так, чтобы ее курсор располагался в нужной точке окна.
При нажатии правой кнопки мыши в окне схем курсор приобретает форму руки и его перемещение при нажатой кнопке позволяет перемещать (панорамировать) схему. Нажатие правой кнопки мыши в окне текста позволяет средствами Windows выделить весь текст, вырезать его копировать, вставлять и удалять.
20
При работе с МС7 используется понятие выбора объекта (компонента схемы, его позиционного обозначения, значения параметра, электрической цепи, блока схемы или строки текста). Выбор отдельного объекта выполняется щелчком мыши, выбор блока — заключением его в прямоугольную рамку (для этого нужно щелкнуть кнопкой мыши, поместив курсор в один из углов прямоугольной области и, не отпуская ее, растянуть рамку до необходимых размеров, после чего отпустить кнопку). Выбранный объект изменяет цвет; его можно перетаскивать с помощью мыши и редактировать по командам меню Edit.
Для ускорения работы с программой используется не только мышь, но и клавиатура. Если команда меню имеет подчеркнутый символ, то эта команда вызывается одновременным нажатием клавиш Alt+подчеркнутый символ. Например, меню Edit открывается нажатием клавиш Alt+E.
Команды' ниспадающего подменю, например Select All, вызываются нажатием подчеркнутого символа, в данном примере символа А. Другой способ — нажатием клавиш ?, выбрать нужную строку и затем нажать Enter.
Многие команды помимо пиктограмм вызываются нажатием горячих клавиш и комбинаций клавиш. Например, команда удаления с копированием в буфер обмена Edit>Cut вызывается нажатием пиктограммы i. или комбинации клавиш Ctrl+X, команды вызова оглавления помощи Help>Contents — клавишей F1. Список горячих клавиш и комбинаций клавиш приведен в разд. 2.1 8, а перечень пиктограмм — в табл. 2.1 — 2.13 и др.
Закрытие меню выполняется щелчком мыши где-нибудь вне меню или нажатием клавиши Esc.
1.3. Демонстрация основных возможностей
Прежде чем перейти к систематическому описанию методики работы с программой МС7, продемонстрируем ее основные возможности на простейшем примере. После вызова программы МС7 двойным щелчком на ее пиктограмме на экране появится основное окно программы, сверху которого помещена строка системного меню, в которой размещены имена режимов File, Edit, Component, Windows, Options, Analysis, Design, Help (рис. 1.1).
1. Загрузка схемы. Вначале курсором выбирается режим File. По команде New... предлагается сделать выбор (рис. 1.2);
Schematic — создание нового чертежа схемы, который заносится в файл с расширением *.CIR;
21
Рис. 1.2. Создание новой схемы, текстового файла или библиотеки моделей
SPICE/Text — создание нового текстового файла с описанием схемы или текстового файла библиотеки математической модели компонента в формате SPICE (расширение имени *.СКТ);
Library — создание нового бинарного файла библиотек (расширение имени *.LIB). В этом файле помещаются модели биполярных транзисторов (BJT), полевых транзисторов (JFET), МОП-транзисторов (MOSFET), арсенид-галлиевых полевых транзисторов (GaAsFET), биполярных статически индуцированных транзисторов (IBGT), диодов (Diode), источников синусоидальных сигналов (Sinusoidal), источников импульсных сигналов (Pulse), операционных усилителей (Opamp), линий передачи с потерями (TRN), магнитных сердечников (Core), конденсаторов (Capacitor), индуктивностей (Inductor), резисторов (Resistor), ключей, управляемых напряжением (S) и током (W).
Демонстрацию возможностей МС7 проведем на подготовленной заранее схеме, загрузив ее по команде File>Open... В открывшемся окне (рис. 1.3) выбираем каталог E:\MC7\DATA, в котором находятся файлы схем.
На строке “Тип файлов” (Files of Туре) указывается тип просматриваемых файлов:
Schematic (*.CIR) — схемы в формате МС7 (устанавливаются по умолчанию);
Macro (*.МАС) — макромодели в формате МС7;
SPICE (*.СКТ; *.STM) — текстовое описание схем и сигналов в формате SPICE;
SPICE LIBRARY (*.L1B) — текстовое описание библиотек в формате SPICE;
Model Library (*.LBR) — библиотеки математических моделей в формате МС7;
22
X
Data
Папка:
Открытие Файла
*S]A_FLYBACK cir	l«g|Ad16.cir	Carlo cir
w]A_F0RWARD cir	£?]anim.cir	S>f|Carlo2.cir
*%]A nep, cir	X??]Anim3.cir	tw]Carlo4.cir
w]A_RES0_DC cir	1^] В ax. cir	Choke cir
§?]A_RESO_OLcir	^Bpfilt.cir	rW|Cmos.cir
££]A_SEPIC.cir	IralButtern.cir'	>w]Colpitts.cir
Имя Файла: |Carlo.cir
Тип Файлов:
Schematic (“.CIR)
.SchematicJ“CiR]
Macro (“.MAC)
SPICE (“СКТ “STM) SPICE library (“LIB) Model Library (“.LBFl) Model Data (“.MDL) Filter (“.RES;* CAP “IND) All Files (“.“]
Рис. 1.3. Выбор имени открываемого файла
Model Data (*.MDL) — параметры математических моделей отдельных компонентов в формате МС7 (эти файлы создаются с помощью программы MODEL);
Filter (*.RES, *.САР, *.IND) — результаты синтеза фильтров;
All Files (*.*) — все файлы.
Выбираем тип файлов Schematic и затем имя файла Ample-Det.CIR. В результате загружается схема, показанная на рис. 1.4.
Схема состоит из резонансного усилителя на биполярном транзисторе КТ315А, настроенного на частоту 10 кГц, и последовательного амплитудного детектора. На вход усилителя подается гармонический сигнал с частотой 10 кГц и амплитудой 100 мВ (источник сигнала V1). В качестве источника питания включена батарея V2 с напряжением 9 В.
Обратим внимание, что на схеме нанесена нумерация узлов, что необходимо для спецификации переменных, выводимых на графики. Это производится автоматически в процессе создания схемы или вручную нажатием на пиктограмму 5Х (показать номера узлов).
23
Рис. 1.4. Экран программы МС с загруженной схемой усилителя и амплитудного детектора
Экран на рис. 1.4 разделен на две части выбором в меню Windows команды Split Horizontal, чтобы в нижнем окне просмотреть (и при необходимости отредактировать) тексты математических моделей компонентов схемы: в данном примере источника синусоидального сигнала.
Как рисовать и редактировать схемы, объясним позднее, а сейчас продемонстрируем основные возможности их моделирования.
Анализ переходных процессов. Вид анализа характеристик схемы указывается в меню Analysis:
Transient — анализ переходных процессов;
АС — анализ частотных характеристик;
DC — анализ передаточных функций по постоянному току;
Dynamic DC — расчет режима по постоянному току и отображение на схеме узловых потенциалов, токов ветвей и рассеиваемой мощности;
Transfer Function — расчет малосигнальных передаточных функций по постоянному току;
Sensitivity — расчет чувствительностей по постоянному току выходных переменных к изменению параметров схемы;
Probe Transient, AC, DC — оперативное построение графиков результатов расчетов.
24
За исключением режимов Dynamic DC и Probe этот перечень такой же, как и для известной программы PSpice [6, 8].
Выбрав команду Analysls>Transient, переходим в меню задания параметров моделирования переходных процессов (рис. 1.5).
Рис. 1.5. Окно задания параметров для анализа переходных процессов (Transient Analysis)
В строке Time Range указывается длительность интервала времени, в графе Operating Point указывается на необходимость
перед расчетом переходных процессов выполнить расчет режима по постоянному току, в нижней части окна указываются имена переменных, графики которых нужно построить. Имена аналоговых и цифровых переменных, откладываемых по оси Y графиков, указы-
ваются в графе Y Expression, при этом допускается применение математических выражений и функций. Приведем ряд примеров:
V(5) — потенциал узла 5;
V(7,4) — разность потенциалов между узлами 7 и 4;
VBE(VT 1) — напряжение база-эмиттер транзистора VT7;
i(V1) — ток через источник сигнала V1;
l(V1)*V(V1) — мгновенная мощность источника сигнала V1;
CBC(Q1) — емкость перехода база-коллектор транзистора ОГ,
Q(C1) — заряд конденсатора Ct,
FFT(V(7)) — спектр напряжения в узле 7 (при этом по оси X нужно откладывать частоту F);
D(QA) — логический уровень сигнала в цифровом узле QA
Моделирование начинается после нажатия на панель Run, на или нажатия F2. Моделирование может быть ос-
пиктограмму
тановлено в любой момент нажатием на пиктограмму
или кла-
25
вишу Esc Пример изображения результатов моделирования приведен на рис. 1.6. Графики различаются цветом, который назнача-ется в меню на рис. 1.5 после нажатия на пиктограмму I ' В этом примере изображены два графика: на одном размещаются напряжения V(7) и V(7,4), на другом — ток через диод l(D1) (на одном графике их нельзя строить из-за различия в масштабах).

q^MtciD Сар 7 0 3 [Transient Analysts]
/• и««у«^i°af.”.iat.Fi1J,
Рис. 1 6. Графики переходных процессов
Номера графиков отмечаются на рис. 1.5 для каждой переменной в графе Р. Масштаб графиков по осям X, Y указываются в явном виде в графах X Range, Y Range или выбирается автоматически, если пометить курсором панель Auto Scale Ranges.
Щелчок на пиктограмме ЕЕ1 или нажатие F8 активизирует электронный курсор для считывания координат графика, имя переменной которой подчеркнуто, например У(7) (см. рис. 1.7; выбор анализируемой переменной производится щелчком курсора). На рис. 1.7 показано, как с помощью двух курсоров измеряется амплитуда гармонического сигнала и его период повторения. Один маркер устанавливается щелчком левой кнопки мыши, второй — щелчком правой. Ниже графиков выводится таблица с графами:
26
Left — значение переменной, отмеченной щелчком левой кнопки мыши;
Right — значение переменной, отмеченной щелчком правой кнопки мыши;
Delta — разность двух отсчетов;
Slope — производная функции, рассчитанная по двум отсчетам.
10.00 
|1 348m 6 953 i  „
^ллж WVWWVffifi
° 00 0.00m	0 40m	0 80m	1.20m	160m
Left	Right	Delta
v(1)	6 959	2 770	-4 189
7(1,5)	6 746	6.Э17	0.171
T	1 348m	1 802m	0 454m
Рис. 1 7. Электронный курсор
Каждая строка этой таблицы соответствует одной функции, а последняя строка — независимой переменной, в данном примере — времени Т.
Возвращение в обычный режим отображения графиков осуществляется нажатием F2.
При моделировании нелинейных схем первоначально интересно определить режим по постоянному току. В меню на рис. 1.5 для этого предоставлены две панели;
Operating Point — расчет режима по постоянному току (включение источников питания) перед началом расчета переходных процессов, вызванных включением источников сигналов;
Operating Point Only — только расчет режима по постоянному току (расчет переходных процессов не производится).
Если выбран один из этих режимов, то нужно выполнить декларированные расчеты по команде Run и затем выполнить команду завершения Transient>Exit Analysis или просто нажать клавишу F3. После этого откроется окно схем и в режиме Options нужно выбрать команду View>Node Voltages/States для отображения в
27
узлах схемы потенциалов по постоянному току, как показано на рис. 1.8 (или просто нажать на пиктограмму ^2), Отсюда, например, видно, что смещение база-эмиттер транзистора VT1 равно 2,44-1,75 = 0,69 В.
Рис. 1.8. Изображение потенциалов по постоянному току в узлах схемы, токов ветвей и рассеиваемой мощности
Расчет частотных характеристик. Выбрав в меню Analysis команду АС, переходим в режим расчета частотных характеристик. Задание на расчет формируется в окне, приведенном на рис. 1.9.
На строке Frequency Range указываются границы диапазона частот, на строке Frequency Step — тип шага по частоте (в данном примере используется Linear — линейный шаг), количество точек — на строке Number of Points. При необходимости расчета спектральной плотности внутреннего шума на строке Noise Input указывается имя источника входного сигнала, на строке Noise Output — номер выходного узла, для которого рассчитывается спектральная плотность напряжения шума.
Примечание. Для расчета частотных характеристик ко входу схемы должен быть подключен источник синусоидального, импульсного сигнала или источника пользователя (Sine source, Pulse source, User source). При расчете частотных характеристик амплитуда этого сигнала назначается программой равной 1 В, а частота меняться в заданных пределах. Поэтому если имеется один источник сигнала, то выходные напряжения будут совпадать с частотными характеристиками устройства. Если же источников сигнала несколько, то отклики от всех сигналов будут складываться с учетом фазовых соотношений.
28
Run | Add |
I Stepping.. Properties... I Help
Y Expression
pm
|ph(V(1)
Run Options Stale Variables Frequency Step [7 Opetalrrg Porn 17 Auto Scale Rar
X Range Y Range
115000.5000	|400
(15000.5000	|0.200
jle+008.1000	]б0 40
Determines the method for calculating the spot of the next data point.
Рис. 1.9. Окно задания параметров для анализа частотных характеристик (AC Analysis)
В графе Y expression указываются имена переменных для построения графиков частотных характеристик. Переменные при расчете частотных характеристик являются комплексными. Приведем
несколько примеров их записи:
V(1) — модуль напряжения в узле 7;
db(V(1)) — модуль напряжения в узле 7 в децибелах;
re(V(1)) — действительная часть напряжения в узле 7;
im(V(1)) — мнимая часть напряжения в узле 7;
ph(V(1)) — фаза напряжения в узле 7 в градусах;
gd(V(1)) — групповое время запаздывания напряжения в узле 7;
INOISE — корень квадратный из спектральной плотности на
пряжения шума, приведенного ко входу;
ONOISE — корень квадратный из спектральной плотности выходного напряжения шума (графики INOISE и ONOISE нельзя строить одновременно с графиками других переменных).
Пример построения амплитудно-частотной и фазо-частотной характеристики резонансного усилителя приведен на рис. 1.10.
Очень полезна возможность проведения многовариантного ана
лиза при вариации любого параметра компонента схемы или его модели. Для этого в окне задания параметров (см. рис. 1.9) нажа-I ЛЛ>
тием на панель Stepping или на пиктограмму |/УЧ открывают окно
для задания от 1 до 10 варьируемых параметров, показанное на рис. 1.11. Сначала в графе Parameter Туре выбирают тип варьи
руемого параметра:
Component — значение параметра компонента схемы;
Model — параметр математической модели компонента;
29
Рис. 1.10. Частотные характеристики усилителя
Symbolic — значение параметра, определенного по директиве define.
Затем в строке Step What указывают имя варьируемого параметра, на последующих строках пределы его изменений и в графе Step It включают кнопку Yes. Результаты расчета частотных характеристик усилителя на рис. 1.4 при вариации емкости контура С2 приведены на рис. 1.12.
Рис. 1.11. Вариация емкости конденсатора С2
30
Рис. 1.12. Семейство частотных характеристик при вариации емкости С2
На рис. 1.12 текстовые надписи типа С2 = 15 нФ выполнены по команде ввода текста Options>Mode>Text (Ctrl+T), активизируемой также нажатием пиктограммы IJTJ. Нажатием на пиктограмму 1.0:
на график наносят значения координат точки, указанной курсором; так на рис. 1.12 отмечена точка экстремума с координатами (10.02 кГц, 272.08). Расстояние по вертикали между двумя выбранными точками наносятся на график после нажатия пиктограммы
Увеличение выбранной области производится нажатием на пиктограмму Ir/N (Mode>Scale (F7)). Другие возможности обработки графиков описаны ниже. Нажатие клавиши F9 открывает окно задания параметров.
Трехмерные графики. После вариации параметров схемы или вариации температуры при выполнении любых видов анализа можно по команде 3D Windows построить цветные графики функции двух переменных, пример которых показан на рис. 1.13.
Расчет передаточных характеристик. Рассмотрим схему измерения статических выходных характеристик биполярных транзисторов, приведенную на рис. 1.14. К базе транзистора подключается источник постоянного тока IB, к коллектору — источник постоянного напряжения VCC.
Выбрав в меню Analysis команду DC, перейдем в режим расчета передаточных характеристик по постоянному току. Задание на расчет формируется в окне, показанном на’рис. 1.15.
31
•2ОО1Ю5к	-	*-' -- -
Wl F
1UK
15K
Рис, 1.10. Частотные характеристики усилителя
Symbolic — значение параметра, определенного по директиве define
Затем в строке Step What указывают имя варьируемого параметра, на последующих строках пределы его изменений и в графе Step It включают кнопку Yes. Результаты расчета частотных характеристик усилителя на рис. 1.4 при вариации емкости контура С2 приведены на рис. 1.12.
Рис. 1.11. Вариация емкости конденсатора С2
30
Рис. 1.12. Семейство частотных характеристик при вариации емкости С2
На рис. 1.12 текстовые надписи типа С2 = 15 нФ выполнены по команде ввода текста Options>Mode>Text (Ctrl+T), активизируемой также нажатием пиктограммы Нажатием на пиктограмму 1.0
^~1 на график наносят значения координат точки, указанной курсором; так на рис. 1.12 отмечена точка экстремума с координатами (10.02 кГц, 272.08). Расстояние по вертикали между двумя выбранными точками наносятся на график после нажатия пиктограммы
. Увеличение выбранной области производится нажатием на = “7К'
5х" (Mode>Scale (F7)). Другие возможности обработ-

пиктограмму
ки графиков описаны ниже. Нажатие клавиши F9 открывает окно
задания параметров.
Трехмерные графики. После вариации параметров схемы или вариации температуры при выполнении любых видов анализа можно по команде 3D Windows построить цветные графики функции двух переменных, пример которых показан на рис. 1.13.
Расчет передаточных характеристик. Рассмотрим схему измерения статических выходных характеристик биполярных транзисторов, приведенную на рис. 1.14. К базе транзистора подключается источник постоянного тока IB, к коллектору— источник постоянного напряжения VCC.
Выбрав в меню Analysis команду DC, перейдем в режим расчета передаточных характеристик по постоянному току. Задание на расчет формируется в окне, показанном на рис. 1.15.
31
Затем в режиме Analysis выберем команду Probe Transient. После этого экран разделяется на две части: в одной изображена схема, другая отведена для графиков характеристик (рис. 1.17). Схема перемещается на экране с помощью линеек прокрутки или нажатием правой кнопки мыши. При этом в соответствии с заданием на моделирование, составленном в окне Transient Analysis Limits (см. пример на рис. 1.5) выполняется анализ характеристик, результаты которого заносятся во временный файл данных. На экране они пока не видны. Нажатие левой кнопки мыши приводит к немедленному построению графика переходных процессов в узле схемы, помеченного курсором, как показано на рис. 1.17. Если же отметить курсором компонент схемы, будет построен график падения напряжения на двухполюсном компоненте или разность напряжений между ближайшими выводами многополюсного компонента. В данном примере графики аналоговых напряжений и временные диаграммы логических состояний цифровых узлов изображены па одном графике, при необходимости их можно разместить и раздельно.
File Etta	Options Probe Vertical Honcontd Ч'оое
Select Mode
Enable Horizontal Measure mode Click and drag to measure horizontal delta
Рис. 1.17. Режим оперативного построения графиков Probe
Синтез фильтров По командам меню Design синтезируются аналоговые пассивные и активные фильтры. Задание на синтез (рис. 1.18) предусматривает выбор типа фильтра, ввод харектер-
34
ных частот и коэффициента усиления фильтра. В результате синтеза рассчитывается порядок фильтра при аппроксимации его передаточной функции полиномами различного типа, и таблица нулей и полюсов отдельные звеньев фильтра. В заключение рассчитываются стандартные реализации схем этих звеньев, которые записываются в отдельные файлы для дальнейшего использования.
Рис. 1.18. Синтез аналоговых фильтров
Завершение моделирования. Завершение моделирования и возвращение в окно схем производится по командам Exit Analysis или нажатием функциональной клавиши F3, завершение работы с МС7 производится по команде File>Exit (AH+F4).
Перейдем теперь к последовательному изложению основных правил работы с программой Micro-Cap 7.
35
Глава 2
СОЗДАНИЕ ПРИНЦИПИАЛЬНЫХ СХЕМ
2.1. Режимы работы редактора схем, система меню
После вызова программы МС7 на экран выводится окно редактора схем, показанное на рис. 1.1. Схемы создаются и редактируются с помощью набора команд, сгруппированных в системе ниспадающих меню. Наиболее употребительные команды вызываются нажатием на пиктограммы или комбинации “горячих” клавиш. Имеется несколько основных режимов редактора схем, в каждом из которых доступны определенные команды. Доступные команды и соответствующие им пиктограммы ярко высвечиваются, недоступные затенены. Список этих режимов приведен в табл. 2.1.
Таблица 2.1
Список пиктограмм
Режим			Назначение
Редактирование и опрос			
	ч	Select mode (Выбор) (Ctrl+E)	Выбор объектов для выполнения следующих операций: редактирование, очистка (без копирования в буфер обмена), удаление (с копированием в буфер обмена), перемещение, вращение, мультиплицирование, зеркальное отражение. Отдельный объект выбирается щелчком мыши. Для добавления объекта в группу предварительно нажимается клавиша Ctrl
		Component mode  (Компоненты) (Ctrl+D)	Добавление компонентов в схему
	т	Text mode (Текст) (Ctrl+T)	Нанесение на схему текстовых надписей: имен цепей, описаний моделей компонентов, комментариев
36
Режим			Назначение
*ъ	Wire mode (Цепи) (Ctrl+W)		Ввод ортогональных проводников (цепей)
\	i Diagonal wire Mode J (Диагональные цепи)		Ввод цепей под произвольным углом
1^8	Graphics Mode (Графика)		Рисование графических объектов: линий, эллипсов, прямоугольников, ромбов, дуг, секторов круга, рисунков
I	Info Mode (Информация) (Ctrl+I)		Вывод информации о параметрах выбранного щелчком мыши компонента с возможностью редактирования
	Help Mode (Помощь) (Ctrl+H)		Вызов текстовой информации о модели компонента, выбранного щелчком курсора. Нажатие Alt+F1 выводит описание синтаксиса модели компонента, указанного курсором (без щелчка) формате SPICE или схемного ввода
Отображение информации на схемах			
		Undo (Ctrl+Num+)	Откат назад на один или несколько шагов
	Сл	Redo (Ctrl+Num-)	Откат вперед на один или несколько шагов
		Zoom In (Ctrl+Num+)	Увеличение масштаба изображения
		Zoom Out (Ctrl+Num-)	Уменьшение масштаба изображения
	TEXT	Grid Text (Текст)	Высвечивание всех текстовых надписей
		Attribute Text (Позиционные обозначения)	Высвечивание позиционных обозначений всех компонентов
		Node numbers (Номера узлов)	Вывод номеров узлов схемы
		Node Volt-ages/states (Узловые потенциалы/ логические состояния)	Отображение на схеме значений узловых потенциалов аналоговых узлов и логических состояний цифровых узлов в режиме по постоянному току
37
Режим			Назначение
	—*	Currents	Отображение на схеме значений токов ветвей
	-н-	Power	Отображение на схеме значений мощностей, рассеиваемых в ветвях
	он	Conditions	Отображение на схеме состояний р-п переходов (LIN — линейный режим, Оп — переход открыт, Off — переход закрыт, Sat — находится в режиме насыщения)
		Pin Connections (Выводы компонентов)	Обозначение выводов всех компонентов
	• ••	Grid (Сетка)	Высвечивание сетки
	[~Д	Title Box (Угловой штамп)	Нанесение изображения углового штампа (основной надписи)
	ц А	Rubberbanding (Ctrl+Shift+R)	Режим перемещения компонентов вместе с присоединенными к ним проводниками
		Properties (F10)	Просмотр и редактирование свойств выбранного объекта
	ft	Find (Ctrl+F)	Поиск объектов
		Include	Добавление описания моделей и макромоделей
	$	CirCkt	Создание текстовых файлов в формате SPICE
Режимы редактирования и опроса включатся по очереди. Одновременно может быть включен только один режим. Глядя на их пиктограммы, легко определить, какой режим включен. В состоянии “включено" кнопка пиктограммы утоплена и она окрашена в зеленый цвет. В состоянии “выключено” цвет пиктограммы черный.
Приведем далее описание меню, доступных при редактировании принципиальных схем.
2.1.1. Меню File
Меню File содержит команды для работы с файлами схем, текстовыми заданиями в формате SPICE, файлами библиотек математических моделей и текстовыми документами. Список команд этого меню приведен в табл. 2.2.
38
Список команд меню File
Таблица 2.2
Команда			Назначение	
	D	New... (Ctrl+N)	Создание нового файла схемы, текстового файла в формате SPICE или библиотеки	
		Open... (Ctrl+O)	Открытие существующего файла (по умолчанию открывается каталог, из которого загрузка производилась последний раз):	
			Shematic (*.CiR, ‘.MAC)	Загрузка файлов схем в формате МС7, МС5
			SPICE (‘.СКТ, ‘.LIB, *.STM)	Загрузка текстовых файлов в формате SPICE
			Model Library (*.LBR)	Загрузка бинарных файлов библиотек моделей (с возможностями редактирования)
			Model Data (*.MDL)	Загрузка бинарных файлов библиотек моделей и справочных данных и вызов программы MODEL
			Filter (‘.RES, ‘.CAP, *.IND)	Загрузка тестовых файлов допустимых значений параметров пассивных элементов синтезированных аналоговых фильтров
Q	Save (Ctrl+S)			Сохранения схемы из активного окна, используя имя и путь, указанный на строке заголовка	
Save As...			Сохранения схемы из активного окна в новом файле, имя которого указывается по дополнительному запросу	
Paths...			Указание расположения данных (Data), библиотек (Model library and include files) и рисунков (Pictures)	
39
Команда	Назначение	
Translate рфп 1 CRT 1	Преобразование файлов:	
	Binary Library to SPICE Text File	Преобразование бинарного файла библиотеки * LBR в текстовый файл *.LIB формата SPICE, содержащий описания моделей
	SPICE Text File to Binary Library	Преобразование текстового файла описания моделей в формате SPICE в бинарный файл библиотеки
	Schematic to SPICE Text File	Преобразование файла схемы в текстовый формат SPICE
	Schematic to Printed Circuit Board	Составление файла списка соединений текущей схемы в формате САПР Protel, ACCEL, OrCAD, PADS
	MC7 Schematic to MC5 Version 1 Schematic File	Преобразование файлов схем МС7 в формат схем МС5 Version 1 для последующей загрузки в старые версии МС5
	MC7 Schematic to MC5 Version 2 Schematic File	Преобразование файлов схем МС7 в формат схем МС5 Version 2 для последующей загрузки в МС5
	MC7 Schematic to MC6 Schematic File	Преобразование файлов схем МС7 в формат МС6
	Bill of Materials...	Составление отчета
Load MC File...	Загрузка файлов результатов расчета по методу Монте-Карло (*.ANO, *.DNO *.TNO)	
40
Команда			Назначение
		Revert	Восстановление содержания файла текущего окна с диска Если команда Undo позволяет восстановить только последнее изменение, то данная команда восстанавливает все изменения
		Delete	Удаление с диска выбранного файла схемы
Close (Ctrl+F4)			Закрытие текущего окна схемы
		Print Preview	Предварительный просмотр изображения схемы перед печатью на бумагу выбранного формата
	О	Print (Ctrl+P)	Вывод на печать схемы, просмотренной в режиме Print Preview в соответствии с параметрами, заданными в окне Print Setup
		Print Setup...	Выбор принтера, размера и ориентации бумаги
Файл 1,.... Файл 8			Список последних восьми загруженных файлов
Exit (Alt+F4)			Завершение работы с программой МС5
2.1.2. Меню Edit
Меню Edit содержит команды редактирования. Список его команд приведен в табл. 2.3.
Таблица 2.3
Список команд меню Edit
Команда			Назначение
		Undo (Ctrl+Z, CTRL+Num+)	Отмена последней команды редактирования. Повторное выполнение команды восстанавливает первоначальный вариант (Откат «вперед»)
	ю	Redo (Ctrl+Num-)	Отмена последней команды Undo (Откат «назад»)
	&	Cut (Ctrl+X)	Удаление выбранного объекта и размещение его в буфер обмена Windows
41
Команда			Назначение	
		Copy (Ctrl+C)	Копирование выбранного объекта в буфер обмена	
		Paste (Ctrl+V)	Копирование содержания буфера обмена в текущее окно, точка привязки указывается курсором и отмечается щелчком мыши	
	x	Clear (Del, Ctrl+X)	Удаление выбранного объекта без копирования в буфер обмена	
	All	Select All (Ctrl+A)	Выбор всех объектов текущего окна	
Copy to Clipboard			Копирование содержания текущего окна в буфер обмена:	
			Copy the Visible Portion of Window in BMP Format	Копирование видимой части активного окна в стандартном графическом формате BMP
			Copy the Select Box Part in BMP Format	Копирование выбранной части окна в формат BMP
			Copy the Entire Window in WMF Format	Копирование активного окна в графический формат WMF
			Copy the Entire Window in EMF Format	Копирование активного окна в графический формат EMF
		Add Page	Добавление к схеме новой страницы	
	“fl	Delete Page...	Удаление одной или нескольких страниц схемы	
	1^1	Refresh Models	Размещение в окне текста описания моделей компонентов, которые еще не были помещены в него. Модели просматриваются во всех библиотеках, указанных по командам .LIB, в том числе указанные в файле NOM.LIB. Если модель компонента не найдена, помещается модель с параметрами,назначаемыми по умолчанию	
42
Команда
Назначение
Box			Редактирование объектов, заключенных в прямоугольную рамку (“ящик"):	
	(I	с? 0	Ц		Step Box...	Копирование фрагмента схемы указанное количество раз. Копирование производится по горизонтали, по вертикали или в обоих направлениях
			Mirror Box...	Создание зеркального отражения фрагмента схемы По дополнительному запросу выбирается направление отражения по горизонтали или по вертикали, а также необходимость копирования текста
			Rotate (Ctrl+R)	Вращение фрагмента схемы на угол 90° против часовой стрелки
			Flip X (Ctrl+F)	Зеркальное отображение относительно оси X, расположенной посредине выбранной области
			Flip Y	Зеркальное отображение относительно оси Y, расположенной посредине выбранной области
			Make Macro (Ctrl+M)	Запись выделенного фрагмента схемы в макрофайл *.МАС
Change			Изменение ряда параметров схемы:	
			Properties (F10)	Загрузка диалогового окна Schematic Properties для изменения цвета любого объекта схемы, изменения надписей в Title Box
43
Команда
Назначение
			IK*		Attributes	Изменение видимости пяти основных атрибу тов всех компонентов схемы: PART, VALUE, MODEL, TIMING MODEL, I/O MODEL
					Color...	Изменение цвета текста выбранного фрагмента в окне текста или в окне схем
			F		Font...	Изменение названия шрифта выбранного текста, его размера, стиля и цвета
					Rename Components	Переименование всех компонентов, используя стандартные соглашения, и изменение нумерации узлов в порядке их расположения слева направо и сверху вниз
					Rename Defines	Изменение символьных имен, определенных по директивам .define, если они конфликтуют с именами узлов
					Reset Node Position	Возвращение номеров узлов в первоначальное расположение
	^2]	Bring to Front			Щелчок мыши на выбранных перекрывающихся объектах перемещает нижний объект наверх	
		Send to Back			Щелчок мыши на выбранных перекрывающихся объектах перемещает верхний объект вниз	
	ft	Find...	(Ctrl+F)		Поиск в текущем окне схем или текста разнообразных объектов: компонентов, атрибутов, фрагментов текста, имен узлов	
		Repeate Last Find (F3)			Поиск следующего объекта, удовлетворяющего заданному критерию	
Replace					Замена фрагмента текста	
44
2.1.3. Меню Component
Меню Component содержит каталог библиотек аналоговых и цифровых компонентов. Каталог имеет иерархическое меню, пример которого приведен на рис. 2.1. Библиотека создается с помощью редактора компонентов, вызываемого из меню Windows по команде Component Editor. В частности, на рис. 2 1 видно, что в библиотеку Diode внесен раздел Russian, куда занесены модели отечественных диодов. Библиотеки Analog Primitives и Digital Primitives содержат модели типовых компонентов, не указывая значения их параметров; их перечень приведен в Приложении 1. Библиотеки Analog Library и Digital Library содержат модели примерно 7700 коммерческих аналоговых и цифровых компонентов Параметры моделей компонентов содержатся в текстовых файлах с расширением имени .LIB (их полный список помещается в файле NOM.LIB, расположенном в подкаталоге /DATA). Список команд меню Component приведен в табл. 2.4.
Analog Primitives	> |	
1 Analog Library	Q вл	►
Digital Primitives	► BJT Pwr	►
Digital Library	► Comparator ►
Animation	► Crystal	►
—	Miscellaneous	► j
	
	Rectifier	►)	1 NO ООО- ►
1 REF01A_AD	IGBT	► Schottky	► mOOOO- ►	1N3903
2C106A	JFET	► Varactor	► EOOOO- ►	1N4933- ►	1N3909
3LED	Misc.	► Zener	► FOOOO- ►	1N5186- ►
4 Digital Switch	MO5FET ► Dual Rectifier	►	G0000- ►	1NS400- ►	1N3911
5 Resistor	Opamp	► Current Regulators ►	M0000- ►	1N555Q- ►	1N3912
6NPN	Passive	> Europe	► ROOOQ- ►	1N5802- ►	1N3913
7 Diode	Reference ► Japan	►	50000-	►	1N6304- ►	1N4001
8 Capacitor	RF	> Russian	►	U0000- ►	6A05-	►	1N4001GP
9 Battery	Sensor	►	X0000- ► |
10 Xor_T	Switch/Mux ►
Thyristor
Trans Line
Varistor
Vendor
1N4007
1N4007GP
Рис. 2.1. Структура меню Component
45
Таблица 2.4
Список команд меню Component
Команда	Назначение		
Analog Primitives	Типовые аналоговые компоненты		
	Passive Components ►	Пассивные компоненты (резисторы, конденсаторы, диоды и т. п.)	
	Active Devices ►	Активные устройства (транзисторы, операционные усилители)	
	Waveform Sources ►	Источники сигналов	
	Function Sources ►	Функциональные источники сигналов	
	Laplace Sources ►	Линейные управляемые источники, задаваемые преобразованием Лапласа	
	Z Transform Sources ►	Линейные управляемые источники, задаваемые Z-преобразованием	
	Dependent Sources k	Линейные и нелинейные зависимые источники	
	Macros k	Макромодели, заданные схемами замещения	
	Subckts ►	Макромодели,заданные текстовым описанием на языке PSpice	
	Connectors ►	Соединители	
	Miscellaneous ►	Смесь	
Analog Library ►	Библиотеки типовых моделей и моделей аналоговых компонентов разных фирм		
Digital Primitives	Типовые цифровые компоненты		
	Standard Gates ►		Стандартные вентили
	Tri-State Gates ►		Вентили с тремя состояниями
	Edge-Triggered Flip-Flops ►		Триггеры с динамическим управлением
46
Команда	Назначение		
	Gated Flip-Flops/Latches ►		Триггеры с потенциальным управлением
	Pullups/Pulldowns ►		Источники постоянных логических сигналов
	Delay Line ►		Цифровые линии задержки
	Programmable Logic Arrays ►		Программируемые логические матрицы
	Logic Expression ►		Логические выражения
	Pin Delay ►		Задание задержек распространения сигналов
	Constraints ►		Контроль временных соотношений
	AtoD Converters ►		Аналого-цифровые преобразователи
	DtoA Converters ►		Цифро-аналоговые преобразоватенли
	Stimulus Generators ►		Генераторы цифровых сигналов
Digital Library k	Библиотеки моделей цифровых компонентов разных фирм		
Animation	Цифровые устройства с анимацией;		
	Digital Switch	Ключ, управляемый курсором	
	LED	Индикатор состояния	
	Seven Segment	Семисегментный индикатор	
Find Component (Ctrl+Shift+F)	Поиск во всех библиотеках компонента по имени и размещение его на схему		
1...10	Список 10 последних загруженных компонентов		
После выбора компонента программа МС7 переходит в режим Component. Размещение выбранного компонента на схему производится щелчком мыши в окне схем. Перемещение мыши, не отпуская левой кнопки, приводит к перемещению компонента на схеме. Одновременное нажатие правой кнопки мыши поворачивает компонент на 90° против часовой стрелки. Отпускание левой кнопки
47
мыши фиксирует компонент на схеме. После этого открывается окно для задания позиционного обозначения, параметров компонента или имени его модели, показанное на рис. 2.2. При выборе в окне одного из параметров компонента на строке сообщений приводится формат его ввода.
Рис. 2.2. Задание атрибутов компонента на примере резистора
2.1.4. Меню Windows
Меню Windows содержит команды работы с окнами. Список его команд приведен в табл. 2.5.
Таблица 2.5
Список команд меню Windows
Команда				Назначение
	—-		Cascade (Shlft+F5)	Каскадное расположение открытых окон
48
Команда				Назначение
			Title Vertical (Shift+F4)	Последовательное расположение открытых окон по вертикали
		1	Title Horizontal	Последовательное расположение открытых окон по горизонтали
			Overlap	Разрешение накладывать окно результатов анализа поверх окна схем
			Maximize	Максимизация выбранного окна схем или его иконки
			Arrange Icons	Упорядочивание размещения иконок свернутых окон в нижней части экрана
	в.		Zoom-In (Ctrl + “серый’Ч)	Увеличение масштаба изображения
			Zoom-Out (Ctrl + “серый”-)	Уменьшение масштаба изображения
			Toggle Draw-ing/T ext (Ctrl+G)	Переключение между окном схем и соответствующим ему окном текста
			Split Horizontal	Разделение рабочего окна на окно схем и окно текста, протяженных по горизонтали
			Split Vertical	Разделение рабочего окна на окно схем и окно текста, протяженных по вертикали
			Remove Splits	Распахивание окна схем на весь экран, закрывая окно текста. Левые верхние точки форматки схемы и окна схем совмещаются, при этом масштаб изображения схемы не изменяется
			Component Editor...	Переход в режим редактирования библиотеки компонентов
			Shape Editor...	Переход в режим редактирования графики символов компонентов
			Package Editor...	Вызов редактора упаковки компонентов (информация о типе корпуса компонента необходима для составления списков соединений, передаваемых редакторам печатных плат)
49
Команда		Назначение
	Model Program...	Вызов программы идентификации параметров моделей аналоговых компонентов MODEL по паспортным и экспериментальным данным (моделей DIODE, NPN, PNP, NMOS PMOS, NJFET, PJFET, OPASMP, CORE)
д	Calculator...	Вызов встроенного калькулятора
	Check Model Library Parameters	Вывод перечня библиотек, перечисленных в файле NOM.lib, с указанием синтаксических ошибок
	1<заголовок окна> 9<заголовок окна> More Windows...	Активизация и размещение на переднем плане схемы или текстового файла, выбирая его из списка открытых файлов
2.1.5. Меню Options
Меню Options содержит команды выборов режима редактирования и задания различных параметров программы МС5. Список его команд приведен в табл. 2.6.
Таблица 2.6
Список команд меню Options
Команда			Назначение	
Main Tool Bar (Ctrl+O)			Включение/выключение строки инструментов	
Default Main Tool Bar			Размещение панелей инструментов по умолчанию	
Status Bar			Включение/выключение строки описания текущей команды	
Mode			Выбор режима	
	4		Select (Ctrl+E)	Выбор объектов для последующего редактирования
			Component (Ctrl+D)	Добавление компонента в схему
50
Команда			Назначение				
	т		Text (Ctrl+T)			Нанесение на схему текстовых надписей (имен цепей, описаний моделей компонентов и произвольных текстовых комментариев)	
			Wire (Ctrl+W)			Ввод ортогональных цепей	
			WireD			Ввод цепей произвольной ориентации	
	/□ оо		Graphics			Рисование графических объектов:	
				\		Line	Линия
				I I		Rectangle	Прямоугольник
				<2>		Diamond	Ромб
				Q		Ellips	Эллипс и окружность
				j		Arc	Дуга
				b		Pie	Сектор
			Polygon			Рисование полигона	
			Flag			Ввод маркеров для быстрой навигации по схеме	
	кА		Scale (F7)‘			Вывод на весь экран части графика, заключенного в рамку	
	! = =.		Cursor (F8)*			Режим электронного курсора	
	Yn		Point Tag*			Нанесение на график значений координат X, Y выбранной точки	
51
Команда			Назначение	
	HEW		Horizontal Tag*	Нанесение расстояния по горизонтали между двумя выбранными точками графика
			Vertical Tag*	Нанесение расстояния по вертикали между двумя выбранными точками графика
	It?		Help (Ctrl+H)	Вызов текстовой информации о модели компонента выбранного щелчком курсора
	I		Info	Вывод информации о параметрах выбранного компонента
	4>-'		Point to End Paths	Расчет задержек сигналов во всех путях, подходящих к выбранному цифровому компоненту
			Point to Point Paths	Расчет задержек сигналов путей, соединяющих два выбранных цифровых компонента
View			Выбор инс	Формации, видимой на схеме:
			Attribute Text	Позиционные обозначения компонентов
	TEXT		Grid Text	Текстовые надписи
	[pv		Node Numbers	Номера узлов схемы <
	Epz		Node Volt-ages/Sta tes	Узловые потенциалы аналоговых узлов и логические состояния цифровых узлов в режиме по постоянному току
	—►		Current	Токи ветвей
	4i-		Power	Рассеиваемая мощность
52
Команда
Назначение
			□ □ +	[I		Condition	Состояния р-п переходов (LIN — линейный режим, Оп — переход открыт, Off — переход закрыт, Sat — находится в режиме насыщения)
					Pin Connections	Выводы компонентов
					Grid	Узлы сетки
					Crosshair Cursor	Курсор в виде перекрестья во весь экран
					Border	Нанесение рамки на чертеж схемы
					Title	Изображение углового штампа (основной надписи)
		Show All Paths			Составление списка всех возможных путей распространения цифровых сигналов с указанием задержек. Каждый путь начинается в цифровом генераторе или триггере. Выбранный в списке путь высвечивается на схеме	
	pl	Preferences... (Ctrl+Shift+P)			Открытие диалогового окна для изменения назначения цвета разных объектов шрифтов и других параметров, значения которых сохраняются в файле текущей схемы. Подробный перечень этих параметров приведен в табл. 2.7	
Default Properties For New Circuits...					Задание по умолчанию перечня параметров новой схемы	
	G	Global Settings... (Ctrl+Shift+G)			Задание глобальных параметров, определяющих режим моделирования. Их перечень приведен в табл. 2.8	
User Definitions					Запись в файл MCAP.INC определений (моделей, констант, функций), автоматически включаемых в файлы описаний всех схем	
53
Команда	Назначение
Model Parameter Limits Editor	Редактирование минимальных и максимальных значений моделей компонентов
Component Palettes 1 -9 (Ctrl+1	Ctrl+9)	Вывод на окно схем от 1 до 9 панелей со списком компонентов для их быстрого выбора при составлении схемы. Состав компонентов в панелях определяется в режиме Component Editor
Примечание. Команды, помеченные звездочкой доступны только в окне отображения результатов моделирования (см. пример на рис. 1.6).
Ip-
По команде Preferences или нажатием иконки LK-J открывается диалоговое окно изменения различных параметров, имеющее 8 закладок, изображенных на рис. 2.3.
Рис. 2.3,а. Диалоговое окно Preferences, основная закладка Common Options
На рис. 2.3,а показана основная закладка Common Options, а перечень устанавливаемых на ней параметров приведен в табл. 2.7.
54
Таблица 2.7
Параметры закладки Common Options
Параметр	Назначение	Значение по умолчанию
General		
Select Mode	Автоматическое включение режима выбора объектов схемы при завершении любого другого режима	No
Sound	Включение звукового сигнала предупреждения	Yes
Quit Warning	Вывод запроса подтверждения выхода из программы	Yes
Lock Tool Bar	Запрет перемещения строк инструментов	Yes
Print Background	Печать цветного фона схем и графиков	No
Time Stamp	Размещение в верхнем левом углу графиков текущих результатов моделирования с указанием даты	No
Date Stamp	Размещение в верхнем левом углу графиков текущих результатов моделирования с указанием времени	No
File Load Size	Количество файлов, включаемых в перечни последних загруженных файлов (не более 16)	8
Warning Time	Длительность вывода предупреждений об ошибках	3c
Analysis		
Floating Nodes Check	Вывод информации о наличии в схеме “плавающих” узлов (т.е. узлов, к которым подсоединен только один компонент)	No
DC Path to Ground Check	Проверка наличия пути по постоянному току на “землю” для всех узлов схемы перед началом моделирования	Yes
Convergence Assist	Включение утилиты Convergence Assist для попытки оптимизации параметров, влияющих на сходимость алгоритмов анализа (RELTOL, ABSTOL, VNTOL, ITL2, ITL4, MNETHOD, GMIN)	Yes
55
Параметр	Назначение	Значение по умолчанию
Add DC Path to Ground	Автоматическое добавление резисторов с сопротивлением, равным 1 /GMIN, во все ветви, не имеющие путей по постоянному току на “землю”	No
Plot on Top	Размещение графиков результатов моделирования поверх схем	Yes
Select Curve Color	Окрашивание выбранных цепей	Yes
Inertial Cancellation	Сглаживание при выполнении логического моделирования коротких импульсов, длительность которых меньше задержек цифровых устройств	Yes
Analysis Progress Bar	Отображение индикатора процесса выполнения моделирования	No
Gmin Stepping	Включение метода вариации проводимости Gmin, если нормальный режим расчета режима по постоянному току и метод вариации источников питания не обеспечивают сходимости	Yes
Auto Scale Grids	Задание количества сеток, используемых при автоматическом масштабировании графиков	5
Circuit		
Text Increment	Автоматическое добавление “1” к именам Grid text при выполнении операций вставки из буфера обмена, копирования путем “перетаскивания” курсором или зеркального отображения	Yes
Node Snap	Автоматическое обеспечение электрического соединения с выводом компонента или концом проводника, если начальная точка проводимой линии не совмещена с ними, но попала в соседний узел сетки	Yes
Auto Show Model	Помещение модели каждого размещенного на схеме компонента в окно текста	Yes
56
Параметр	Назначение	Значение по умолчанию
Component Cursor	Автоматическая замена формы курсора изображением компонента, выбранного для размещения на схеме	Yes
Rubberbanding 1*,	"Растягивание" проводников схемы, не отрывая их от узлов и выводов компонентов при выполнении операций перемещения	Yes
Show Slider	Размещение движкового переключателя у изображений батарей и резисторов при выполнении анализа Dynamic DC для изменения их номиналов перемещением движков (минимальные и максимальные значения номиналов определяются с помощью атрибутов SLIDER_MIN, SLIDER_MAX, см. рис. 2.2)	No
Nodes Recalculation Threshold	Максимальное количество узлов схемы, при превышении которого не будут переопределяться номера узлов схемы при добавлении или удалении компонентов или цепей. При включении режима View Node Numbers этот параметр игнорируется	1 000 000
Block Select Display Mode	Включение режима блочного выбора объектов, при котором окрашиваются фоновые объекты	Yes
Automatically Add Opamp lower Supplies	Автоматическое добавление на схему и подключение источников питания ОУ VCC и VEE	Yes
На рис. 2.3, б показана закладка Color Palettes, позволяющая
создать собственную палитру цветов.
Закладка Format (рис. 2.3,в) позволяет задать форматы пред-
ставления различной числовой информации [допускается стандартная, научная (добавление суффикса Е) и инженерная (добав-
ление суффикса Е) нотации]:
Analysis Plot Tags — результаты обработки графиков характери-‘•°!
стик (по командам Point Tag LhzJ и др.);
Numeric Output Values — значения выходных переменных;
Shematic Voltage/Currernt/Power — результатов расчета режима по постоянному току (потенциалы, токи ветвей и рассеиваемая мощность);
57
Common Options Color Palettes
Jiujwi JHIIIIJJJJ JJJJJJJ21 JJJJJIHD JJJJliaial JJJJJJJMl
Рис. 2.3,6. Диалоговое окно Preferences, закладка Color Palettes
Common Options ] Cotor Palettes Format | status Bar | Main Tool Bar ] Component Palettes | Auto Save I Warnings |
Analysis Plot T ags
Numeric Output Values
Schematic Voltages/Current/Powei
Schematic Pain Delays
Formula text
Format		Format		
	Formal SDigrt Engineering Г Scientfrc 4 Digit Engineering	Engineering C Default J Digit Engineering	p— 3 Digit Engineering		Sample 123 4561К	
Format				
Format				
Format				
				
Format					OK j| Cancel
				
Рис. 2.3,в. Диалоговое окно Preferences, закладка Format
Рис. 2.3,s. Диалоговое окно Preferences, закладка Status Ваг
58
Schematic Path Delays — задержки распространения сигналов;
Formula Text — численные значения, указываемые в формулах.
Закладка Status Ваг (рис 2.3,а) позволяет задать шрифт надписей в строке состояний [на панели Panes определяется необходимость отображения текущих координат курсора (опция Track) и текущего времени на строке состояний (опция Time)].
Закладка Main Tool Ваг (рис. 2.3,6) позволяет выбрать кнопки, размещаемые в меню инструментов.
All On | Default | ДИ Off |
Рис. 2.3,0. Диалоговое окно Preferences, закладка Main Tool Bar
Закладка Component Paletts (рис. 2.3, e) позволяет нанести на схему до 9 списков компонентов для их быстрого нанесения на схему наиболее употребительных аналоговых и цифровых компонентов; программа МС7 поставляется с 4 такими панелями, показанными на рис. 2.4.
Состав компонентов, размещенных на каждой закладке, определяется с помощью опции User редактора компонентов, см. разд. 2.3.1. Каждая из этих панелей также размещается на схеме по команде Options>Component Palettes или нажатием комбинации клавиш Ctrl+<HOMep панели>, например, панель 2 вызывается нажатием Ctrl+2.
59
Рис. 2.3,е. Диалоговое окно Preferences, закладка Component Paletts
На закладке Auto Save (рис. 2.3, ж) выбирается режим сохранения схем перед выполнением моделирования и устанавливается интервал автосохранения.
Common Options J Color Palettes | Format | Status Ba; | Main Tool Bar ] Component Palettes Auto Save ] V/arrsngs j Г” Auto Save Qrcurb Elefore Running Analysis.
П Automatically Save File Evety |1O Minutes
Рис. 2.3,ж. Диалоговое окно Preferences, закладка Auto Save
На закладке Warnings (рис. 2.3,з) активизируется режим выдачи различных предупреждающих сообщений (о закрытии не сохранен-
Common Options ] Cola Palettes | Format] Status Bar | Main Tool Bar | Component Palettes ] Auto Save Warnings |
P File
P Quit
P Opamp power supplies
P Add DC Path to Ground
Рис. 2.3,3. Диалоговое окно Preferences, закладка Warnings
60
ных файлов; о выходе из программы; об автоматической вставке источников питания для ОУ; об автоматической вставке в схему резисторов для создания путей по постоянному току между каждым узлом и «землей»; о выводе всех возможных предупреждений).
Miwo-Cap 7 0 3 - (FAMC7\DATA\DIFFAMP OHJ
ж File Edit Cunpunent w»idow» «щамгг» Аги^мз Design	Help — Igt x|
D И В? О [Д *	S p G
: Q X	Й 5 Q,
And? 0f2 Inverter JKFF DFF Nand2 SRFF Stiml StimB But T
74LS47
74HC107
74S157
74AS194
74LS381
74HCT390
1N4148 IRF540 LF155 2N2222
2N3906 JI 1 4
isj	’ г
ADB44.AD
LM339_TI
MRF901 MC
7404
7432
Рис. 2.4. Панели для быстрого выбора размещаемых на схеме компонентов
Для быстрого выбора наиболее употребительных компонентов в строку инструментов помещены их пиктограммы, изображенные на рис. 2.5. Состав этих пиктограмм определяется на панели Component закладки Main Tool Ваг (рис. 2.3, д). На этой же закладке определяется состав пиктограмм, выводимых на панель инструментов и при использовании других команд (File, Edit, Windows, Options и Analysis)
4	-XZ-	ни	-И-				ф
Рис. 2.5. Инструменты быстрого нанесения на схему наиболее употребительных компонентов (“земля”, резистор, конденсатор, диод, п-р-птранзистор, логический инвертор, батарея напряжения, источник импульсного напряжения)
61
По команде Global Setting или нажатием иконки |Q I открывается диалоговое окно установки параметров моделирования, изображенное на рис. 2.6 (табл. 2.8).

Global Settings
ABSTOL	П-3	DIGMNTYSCALE	|0 4	TRTOL	|7
CHGTOL	.01 pC	DIGOVRDRV		VNTOL	jlu
DEFAD	l°“	DIGTYMXSCALE	|ГГ~	WIDTH	] 80
DEFAS	0	GMIN	|1p	
DEFL	100u	ITL1	|1OO	Г NOOUTMSG
DEFW	100u	ITL2	|50	I? PRIVATEANALOG
DIGDRVF	Г"	ITL4		Г PRIVATEDIGITAL
DIGDRVZ	20K	PERFORM.M	I2	Г TRYTOCOMPACT
DIGERRDEFAULT|20		PIVREL		METHOD
DIGERRL1MIT	10K	PIVTOL	|.1p	C GEAR
DIGFREQ	10GHz	RELTOL	|lm	« IHAPEZOIDAL
DIGINITSTATE	o	RMIN		
DIGIDLVL	2	SD	|2 58	
DIGMNTYMX	2	TNOM	|27~	
OK	Cancel	| Standard Default |		Power Default |	Help...	|
Restores default values suitable for high power circuits.
Рис. 2.6. Диалоговое окно Global Settings
Таблица 2.8
Гповальные параметры
Имя опции	Наименование	Размерность	Значение по умолчанию
ABSTOL 1		Допустимая ошибка расчета токов в режиме Transient	А	10 ’12
CHGTOL	Допустимая ошибка расчета заряда в режиме Transient	Кл	10'14
DEFAD	Диффузионная площадь стока МОП-транзистора	м2	0
62
Имя опции	Наименование	Размерность	Значение ' по умолчанию
DEFAS	Диффузионная площадь истока МОП-транзистора	м 2	0
DEFL	Длина канала МОП-транзистора	м	10’4	!
DEFW	Ширина канала МОП-транзистора	м	10 •“ I
DIGDRVF	Минимальное выходное сопротивление цифровых устройств (для моделей UIO)	Ом	2
DIGDRVZ	Максимальное выходное сопротивление цифровых устройств (для моделей UIO)	кОм	20
DIGERRDEFAULT	Максимальное количество контролируемых ошибок цифровых устройств		20
DIGERRLIMIT	Максимальное количество сообщений об ошибках в цифровых устройствах		10000
DIGFREQ	Максимальная частота дискретизации при анализе цифровых устройств (минимальный временной шаг равен 1/ DIGFREQ)	ГГц	10
DIGINITSTATE	Установка начального состояния триггеров: 0 - сброс; 1 - установка: 2 - X		2
DIGIOLVL	Уровень интерфейса А/Ц, Ц/А по умолчанию		1
DIGMNTYMX	Селектор выбора задержки цифрового устройства по умолчанию: 1 - минимум; 2 - типичное значение; 3 - максимум; 4 - мин/макс (наихудший случай для цифровых устройств)		2
DIGMNTYSCALE	Масштабный коэффициент для расчета минимальной задержки		0,4
DIGOVRDRV	Отношение выходных сопротивлений цифровых устройств, при котором изменяется состояние общего выходного узла	[_	3
63
Имя опции	Наименование	Размерность	Значение по умолчанию
DIGTYMXSCALE	Масштабный коэффициент для расчета максимальной задержки		1,6
GMIN	Минимальная проводимость ветви цепи (проводимость ветви, меньшая GMIN, считается равной нулю)	См	ю-12
ITL1	Максимальное количество итераций в режиме DC		100
ITL2	Максимальное количество итераций при расчете передаточных функций по постоянному току при переходе к последующей точке		50
ITL4	Максимальное количество итераций при переходе к следующему моменту времени в режиме Transient		10
PERFORM.M	Количество значащих цифр до и после десятичной точки в таблицах выходных данных плюс единица		2
PIVREL	Относительная величина элемента строки матрицы, необходимая для его выделения в качестве ведущего элемента (режим АС)		10J
PIVTOL	Абсолютная величина элемента строки матрицы, необходимая для его выделения в качестве ведущего элемента (режим АС)		ю-13
RELTOL	Допустимая относительная ошибка расчета напряжений и токов в режиме Transient		10J
RMIN	Минимальное значение сопротивления нагрузки полупроводниковых приборов	Ом	10s
SD	Отношение диапазона разброса случайных параметров к среднеквадратичному отклонению		2,58
64
Имя опции	Наименование	Размерность	Значение по умолчанию
TNOM	Номинальная температура	С	27
TRTOL	Коэффициент, определяющий допустимую ошибку усечения в режиме Transient		7
VNTOL	Допустимая ошибка расчета напряжений в режиме Transient	В	1сг6
WIDTH	Длина строки выходного файла (80 или 132)		80
NOOUTMSG	Подавление передачи в выходной файл сообщений об ошибках моделирования		No
PRIVATEANALOG	Создание копий моделей всех аналоговых компонентов. При наличии таких копий вариация параметров модели относится только к одному выбранному компоненту, в противном случае ко всем компонентам, имеющим такую модель. При наличии в модели параметра DEV такие копии создаются автоматически независимо от значения параметра PRIVATEANALOG		Yes
PRIVATEDIGITAL	Создание копий моделей всех цифровых компонентов. При наличии таких копий вариация параметров модели относится только к одному выбранному компоненту, в противном случае ко всем компонентам, имеющим такую модель. При наличии в модели параметра DEV такие копии создаются автоматически независимо от значения параметра PRIVATEDIGITAL		No
TRYTOCOMPACT	Представление в компактной форме информации о распределении токов и напряжений в длинных линиях с потерями		No
3—1361
65
Имя опции	Наименование	Размерность	ГТ	 "	п Значение по умолчанию
METHOD: GEAR, TRAPEZOIDAL	Выбор метода численного интегрирования дифференциальных уравнений		No/Yes
2.1.6. Меню Analysis
Меню Analysis содержит перечень режимов моделирования, список которых приведен в табл. 2.9.
Таблица 2.9
Список команд меню Analysis
Команда	Назначение
Transient... (Alt+1)	Анализ переходных процессов
AC... (Alt+2)	Анализ частотных характеристик
DC... (Alt+3)	Анализ передаточных функций по постоянному току
Dynamic DC (Alt+4)	Расчет режима по постоянному току и его динамическое отображение на схеме
Transfer Function... (Alt+5)	Расчет передаточных функций по постоянному току, а также входного и выходного сопротивления
Sensitivity... (Alt+6)	Расчет чувствительностей по постоянному току одного или нескольких выражений по отношению к одному или нескольким параметрам
Probe Transient... (Ctrl+Alt+1)	Анализ переходных процессов и отображение их результатов в режиме Probe
Probe AC... (Ctrl-»-Alt+2)	Анализ частотных характеристик и отображение их результатов в режиме Probe
Probe DC... (Ctrl+Alt+3)	Анализ передаточных функций по постоянному току и отображение их результатов в режиме Probe
2.1.7. Меню Design
Меню Design содержит две команды Active Filters и Passive Filters для синтеза активных и пассивных аналоговых фильтров. Обе эти команды имеют однотипные диалоговые окна, показанные на рис. 2.7.
66
Active Filter Designer
Design | Implementation | Options ]
Response
Г" Butterworth	4
Г Chebyshev	3
Г	2
Г* Elliptic	2
<• ’Inverse Chebyshev	3
Poles and Zeros
Stage | FO | QO | FN
1	834.1070...	18.06316.	886.1852...
2	1058.835...	18.06316...	1117.135...
3	834.8874...	5.823224..

Default
OK | Cancel | Help
a)
67
Passive Filter Designer
e)
Рис. 2.7. Диалоговое окно синтеза фильтров
На закладке Design (рис. 2.7,а) выбирается тип фильтра, тип аппроксимирующего полинома, способ задания требований к его АЧХ (Mode 1 или Mode 2) и задаются эти требования. Здесь же в окно Poles and Zeros выводятся результаты расчета полюсов и нулей синтезированного фильтра. На закладке Implementation (рис. 2.7,6) щелчком курсора по графе Circuit выбирается тип реализации пассивного фильтра (Standard или Dual) или тип схем отдельных звеньев активного фильтра (Sallen-Key, MFB, Tow-Thomas, Fleischer-Tow, KHN, Acker-Sossberg). Кроме того, при синтезе активных фильтров указывается необходимость размещения схем разных звеньев на одной и той же схеме (Same Circuit for Each Stage), выбирается тип операционного усилителя (Opamp Model to Use). На панелях Resistor Values, Capacitor Values и Inductor Values (для пассивных фильтров) указывается необходимость использования точных значений рассчитанных номиналов компонентов (Exact) или использовать ближайшие значения, перечень которых приведен в отдельных файлах (имена которых указываются после нажатия на панели Browse). Для пассивных фильтров указываются также значения сопротивлений
68
генератора/нагрузки (Source/Load Resistor). Масштабный коэффициент (Impedance Scale Factor) используется для изменений значений параметров всех пассивных компонентов: на него умножаются сопротивления резисторов и индуктивности катушек, на него делятся значения емкостей всех конденсаторов. На закладке Options (рис. 2.7,в) выбирается формат представления численных значений номиналов компонентов (Component Value Format) и параметров передаточных функций фильтров (Polynomial Format). На панели Plot выбирается перечень характеристик, графики которых необходимо построить нажатием на одноименную клавишу (пример построения ФЧХ фильтра приведен на рис. 2.8).
Рис. 2.8. Частотные характеристики синтезированного фильтра
69
На панели Save То выбирается один из двух вариантов создания схемы реализации фильтра: New Circuit — в новой схеме, Current Circuit — в текущей схеме. На панели Create выбирается тип реализации фильтра: Circuit — в виде принципиальной схемы, как показано на рис. 2.9, a; Macro — в виде макромодели, как показано на рис. 2.9, б (в последнем случае имя файла макромодели создается автоматически или по указанию пользователя).
LP = Ideal low-pass response based upon choice of filter type and response.
.define LP	define U (S/(2*PI*1000))
1/(U*U+1.4142*U+1)*
1
LP = Ideal low-pass response based upon choice of filter type and response.
.define U (S/(2*P 1*1000))
.define LP
1/(U*U+1.4142*U+1)*
1
6)
Рис. 2.9. Результаты синтеза фильтра
70
2.1.8.	Меню Help
Меню Help содержит команды работы со средствами встроенной помощи, список которых приведен в табл. 2.10.
Таблица 2.10
Список команд меню Help
Команда	Назначение
Contents (F1) I .'Ll	Вывод содержания встроенной документации по программе МС7
Search for Help On...	Вызов справочной и поисковой системы
Tip of the Day	Вывод списка рекомендаций
Product Support	Информация о технической поддержке работы с программой МС7, список адресов дистрибуторов
About Micro-Cap...	Справочная информация о номере версии программы МС
Statistics (Alt+Z)	Вывод справочной информации о программе и текущей схеме
Key ID	Вывод идентификатора программы
General Demo	Запуск демонстраций о работе программы МС7
2.1.9.	Назначение функциональных клавиш
Несмотря на то, что программа МС7 управляется с помощью мыши, в ряде случаев удобно пользоваться и клавиатурой. Назначение выделенных “горячих” клавиш приведено в предыдущих разделах, здесь же приведем назначение функциональных клавиш клавиатуры:
F1 — вызов меню помощи Help;
F2 — начало моделирования после выбора одного из видов анализа в меню Run;
F3 — выход из режимов AC, DC или Transient Analysis и возвращение в окно схем Schematic Editor. В окне схем нажатие клавиши F3 повторяет поиск объекта;
F4 — отображение окна графиков результатов анализа (например, если было открыто окно текстового выходного файла);
Ctrl+F4 — закрытие активного окна;
F5 — отображение текстового выходного файла в окне Numeric Output;
F6 — возвращение к исходному масштабу в выбранном окне графиков;
71
Ctrl+F6 — циклическое переключение открытых окон;
F7 — переключение в режим Scale масштабирования фрагмента графика на весь экран;
F8 — переключение в режим электронного курсора Cursor измерения координат графиков;
F9 — очистка окна графиков в режиме Probe и вызов окна задания параметров Analysis Limits в режиме анализа характеристик;
Ctrl+F9 — удаление всех графиков;
F10 — открытие окна Properties;
F11 — открытие окна варьирования параметров Parameter Stepping (в режиме Transient Analysis);
F12 — вызов редактора переменных состояния State Variables Editor (в режиме Transient Analysis).
2.2. Создание чертежа схемы
Новая схема создается по команде File>New (см. рис. 1.2). При этом возможно как графическое изображение схемы (режим Schematic), так и ее текстовое описание (SPICE/Text). В связи с тем, что основную привлекательность программы МС7 придает графический ввод схем, не будем касаться текстового ввода в формате SPICE, который подробно описан в [6, 8]. Созданные же ранее схемы загружаются по команде File>Open. Краткое описание всех команд редактора схем приведено в разд. 2.1, а здесь обсудим подробнее основные этапы создания и редактирования принципиальных схемы.
Подготовительные операции. В результате выполнения команды File>New открывается пустой экран, на котором создается новая схема. Первоначально рекомендуется нажатием на пиктограмму ОШ (команда View/Grid меню Options) нанести на экран координатную сетку для упрощения построения схемы. Шаг сетки установлен фиксированным и равным 0,1 дюйма (в программе МС7 принята английская система единиц, изменить ее нельзя).
Замечание. В соответствии с принятым шагом сетки в библиотеке МС7 создана графика символов компонентов. Так, резистор изображен в виде прямоугольника с размерами 2,5 х 7 мм, т. е. меньшими, чем требуется по ЕСКД. Однако рисовать более крупные символы не имеет большого смысла, так как при выводе схем на современные лазерные принтеры они изображаются весьма разборчиво и достаточно компактно. При этом вновь создаваемые символы рекомендуется рисовать, соблюдая масштаб и пропорции, принятые в поставляемых вместе с программой библиотеках, чтобы их можно было одновременно размещать на схеме. Одно
72
временно рекомендуется отредактировать графику символов ряда типовых компонентов по ЕСКД (к ним в первую очередь относится резистор, индуктивность и «земля», сохраняя файл STANDARD.shp, в который заносится информация о графике компонентов).
Добавление компонентов в схему. Перед добавлением символа элемента на схему его нужно выбрать в меню Component (см. разд. 2.1.3). Наиболее часто встречающиеся компоненты имеет смысл разместить на специальных панелях (см. разд. 2.1.5 и рис. 2.3) для ускорения их поиска. Выбранный тем или иным способом компонент размещается на схеме щелчком мыши. Нажатую кнопку мыши не нужно отпускать, пока компонент перемещением курсора не будет размещен в нужное место схемы. Компонент поворачивается на 90° нажатием правой кнопки (до отпускания левой кнопки). Фиксация компонента на схеме выполняется отпусканием левой кнопки мыши.
Ввод и редактирование атрибутов компонента. Диалоговые окна атрибутов открываются после ввода на схему компонентов из библиотек Analog Primitives и Digital Primitives (компоненты из библиотек Analog Library и Digital Library занесены в них с набором необходимых атрибутов и поэтому вводить атрибуты заново при размещении их символов на схему нет необходимости — их можно только редактировать, открывая окна атрибутов двойным щелчком курсора по символу компонента); примеры диалоговых окон атрибутов показаны на рис. 2.2 и 2.10. Простейшие компоненты, такие как резистор, конденсатор и т. п. (рис. 2.2), имеют минимальный набор атрибутов, к которым относятся позиционное обозначение PART (например, R1, R2, RC, С1) и номинальное значение параметра VALUE (например, 2.2 к, 100 pF, 15 и). При этом следует иметь в виду, что если, например, при вводе резистора указано имя его модели R1, то при вводе следующего резистора программа предложит назначить ему имя R2 и т. д. По умолчанию программа МС7 назначает первый символ позиционного обозначения по правилам, принятым в программе PSpice [8] (табл. 2.11). Однако по желанию пользователя можно вручную изменять позиционные обозначения, например, обозначая транзисторы согласно ЕСКД вместо Q1, Q2 как VT1, VT2 и т. п. При этом транслятор схем в формате PSpice (по команде Trans-late/Schematic to SPICE Text File меню File) автоматически добавит перед таким именем префикс согласно табл. 2.1, например QVT1, QVT, что позволит провести моделирование в программе PSpice без дополнительного редактирования (хотя в последних версиях PSpice этого уже не требуется). Резисторы и источники постоянного напряжения имеют дополнительные атрибуты SLIDER_MIN, SLIDER_MAX, устанавливающие пределы изменения их номинальных значений
73
при выполнении анализа схемы по постоянному току в режиме Dynamic DC (они изменяются перемещением курсором движковых регуляторов, если в диалоговом окне Preferences на закладке Common Options установлен флаг Show Slider).
Таблица 2.11
Префиксы имен компонентов
Первый символ имени	Тип компонента
В	Арсенид-галлиевый полевой транзистор (GaAsFET) с каналом п-типа
С D Е F G Н I	Конденсатор Диод Источник напряжения, управляемый напряжением (ИНУН) Источник тока, управляемый током (ИТУТ) Источник тока, управляемый напряжением (ИТУН) Источник напряжения, управляемый током (ИНУТ) Независимый источник тока
J	Полевой транзистор с управляющим р—л-переходом (FET)
К L М N	Связанные индуктивности Индуктивность МОП-транзистор (MOSFET) Аналого-цифровой преобразователь на входе цифрового устройства
О	Цифроаналоговый преобразователь на выходе цифрового устройства
Q R S Т V W и X Z	Биполярный транзистор Резистор Ключ, управляемый напряжением Линия передачи Независимый источник напряжения Ключ, управляемый током Цифровое устройство Макромодель Статически индуцированный биполярный транзистор (IGBT)
74
NPN
Name
(MODEL	Г Show
Value
[KT3102D	3 Г Show Change |
Display
NFp
ISE |33.28F
NR (1
ISC |l3 26F
IS |3.628F VAF|582
NE |1.634 var|6
BF J288.6’
IKF (.1883 BR (1 546 IKR {.6385
'Description: Mandatory model name Syntax: <name>
Examples: IRF710, 2N2222A, OP-02
a)
Большинство компонентов (за исключением простейших типа резистора, конденсатора, индуктивности, источника постоянного напряжения и т. п.) имеют атрибут имени модели MODEL (например, транзистор КТ312А, операционный усилитель UD1407). Цифровые компоненты характеризуются, кроме того, логическими выражениями, моделями вход/выход, таблицами имен входных сигналов и др.
Количество атрибутов определяется типом компонента. Каждый атрибут имеет имя (Name) и значение (Value). Имена атрибутов обычно задаются при создании компонента (см. разд. 2.3), хотя это можно сделать и в процессе их редактирования. В списке атрибутов (см. рис. 2.2, 2.10) курсором выбирается нужный атрибут и на строках Name, Value вводятся/редактируются его имя (обычно не требуется) и значение. С помощью панелей управления Display задается видимость имени и значения атрибута на схеме.
75
б)
Рис. 2.10. Выбор модели компонента: а — транзистора, б — импульсного сигнала
На панели управления Display Pin Names задается видимость на схеме имен выводов компонента. Для некоторых компонентов высвечивание имен их выводов облегчает понимание схемы. Однако обычно эта панель находится в состоянии “выключено”.
Нажатие на кнопку Expand открывает окно для ввода текста большого объема. Обычно оно используется в случаях, когда значение атрибута занимает много места, например, табличное задание управляемых источников или описание цифрового сигнала. Для этого на строке Value вводится идентификатор переменой, а в окне Expand описывается оператор .DEFINE с описанием этой переменной.
Нажатие на кнопку Edit открывает окно просмот-ра/редактирования параметров математической модели компонента (рис. 2.10,6).
В окне, расположенном справа от окна атрибутов, приводится список моделей, находящихся в доступных библиотеках. Просмотрев этот список, из него выбирают подходящую модель данного компо
76
нента. Заметим, что этот список выводится автоматически, когда компонент впервые размещается на схеме и его модель не выбрана (на строке MODEL после знака равенства ничего не указано).
Каждому компоненту можно добавить ряд атрибутов, не оказывающих влияния на результаты моделирования, например тип корпуса, допустимая рассеиваемая мощность. Для добавления нового атрибута нажимается кнопка Add, в результате на строке Name появится стандартное имя атрибута пользователя USER, строка Value заполняется самостоятельно. При необходимости имя этого атрибута также может быть изменено. С помощью кнопки Delete выбранный атрибут удаляется. Изменение шрифта атрибута, его размера и стиля выполняется после нажатия кнопки Font.
Редактирование существующих атрибутов выполняется после двойного щелчка мышью при расположении курсора на выбранном атрибуте.
В программе МС7 имеется несколько встроенных моделей источников сигналов Sin source, Pulse source:
Sin source (гармонические сигналы)
1MHZ — частота 1 МГц, амплитуда 1 В
3PHASEA, 3PHASEB, 3PHASEC — трехфазные сигналы
60HZ — частота 60 Гц, амплитуда 120 В
GENERAL — частота 10 МГц, амплитуда 1 В
Pulse source (импульсные сигналы)
IMPULSE — дельта-функция
PULSE — амплитуда 5 В период 1 мкс
SAWTOOTH — треугольный импульс
SQUARE — импульс типа меандр
TRIANGLE — равносторонний треугольный импульс
Ввод и редактирование электрических цепей (проводников). Режим ввода проводников включается щелчком мыши по пиктограмме ЁЗ, выбором команды Options>Mode/Wire или нажатием комбинации клавиш Ctrl+W. Начало проводника отмечается щелчком мыши на выводе компонента. Передвигая курсор, не отпуская левую клавишу мыши, наносят проводник на чертеж. Если курсор движется по горизонтали или вертикали, прокладывается прямолинейный проводник. Если же он движется по диагонали, образуется один изгиб под углом 90°. Отпускание клавиши фиксирует окончание линии.
Ввод проводников под произвольным углом выполняется в режиме Options>Mode/WireD (включается также нажатием на пикто-
Приведем ряд конкретных сведений.
77
1.	Если в диалоговом окне Preferences меню Options включена опция Node Snap, не обязательно точно позиционировать курсор на вывод компонента или точку проводника, нужно лишь подвести его достаточно близко (поместить в соседний узел сетки), а программа сама выполнит электрическое соединение.
2.	Электрические соединения образуются, когда проводник заканчивается в средней части другого проводника, образуя Т-образную цепь. Наличие такого соединения обозначается точкой, как на обычных принципиальных электрических схемах (рис. 2.11 .а).
3.	Соединения образуются также, если проводник пересекает конечную точку другого проводника или вывод компонента (рис. 2.11,5).
4	б)	в)
Рис. 2.11. Т-образное соединение (а), соединение с выводом компонента (б), пересечение проводников без электрического соединения (е)
4 Если в процессе проведения проводника он пересекает другой проводник, не останавливаясь в точке пересечения, электрическое соединение не образуется и точка не проставляется (рис. 2.11,е). В старых версиях программы Micro-Cap для этого используются компоненты типа Jumper (перемычки).
Ввод и редактирование текстовых надписей. На схему наносятся текстовые надписи двух типов. Во-первых, это атрибуты отдельных компонентов (см. выше). Во-вторых, это имена цепей и описания моделей компонентов и любые произвольные текстовые комментарии, называемые в оригинальной документации grid text.
Нанесение текстовых надписей второго типа производится в режиме Options>Mode/Text, активизируемым также нажатием комбинации клавиш Ctrl+T или щелчком мыши по пиктограмме 1X1. Курсор помещается в точку схемы, где должен начинаться текст и нажимается левая клавиша мыши. Текст заносится в открывающемся окне, завершение его ввода производится клавишей [Enter], Для того, чтобы ввести фрагмент текста с новой строки, необходимо подвести курсор к нужному месту и нажать клавиши Ctrl+[Enter].
При вводе имен цепей необходимо курсор подвести к любой точке выбранной цепи. Присваивание цепям имен упрощает чи-
78
таемость схемы. Целесообразно присваивать имена наиболее характерным цепям схемы (вход, выход и т. п.). На них можно ссылаться при выводе результатов моделирования наравне с номерами узлов (цепей). Высвечивание имен цепей, как и остальных тек-w	Itextj
стовых надписей, выполняется нажатием пиктограммы I—I (режим Options>View/Grid Text).
Текстовые надписи переносятся со схемы в окно текста и обратно выбором текста и нажатием комбинации клавиш Ctrl+B Переключение между окном текста и страницей схемы осуществляется щелчком по панелям Text, Page 1,. Page 2, ... Кроме того, текстовое описание математических моделей заносится в окно текста по команде Edit>Refresh Models или нажатием на пиктограмму
Для редактирования текстовой надписи нужно перейти в режим выбора нажатием пиктограммы Н и затем дважды щелкнуть мышью на выбранном тексте, в том числе и на атрибуте компонента, который затем выводится в диалоговом окне для просмотра и редактирования. В окне текста текст редактируется обычным образом
Нанесение на схему текстовых описаний моделей компонентов. При размещении на схеме компонентов, имеющих модели, их текстовые описания автоматически наносятся в текстовое окно (и сохраняются в файле схемы с расширением имени *.CIR). Модели выбираются при вводе типовых компонентов (например, резистора, транзистора типа п-р-п), размещенных в библиотеках Analog Primitives и Digital Primitives.
При вводе компонентов из библиотек Analog Library и Digital Library, в которых находятся конкретные компоненты (например, транзистор КТ316А), описания их моделей переносятся в текстовое окно (или обновляются) по команде Refresh Models меню Edit
. В результате в окно текста переносятся описания моделей всех компонентов схемы, которые их еще не имеют. Эти описания берутся из библиотек, загруженных в текущем сеансе (их имена перечислены в файле NOM.LIB или в отдельных директивах .LIB). Так на рис. 1.4 в окно текста перенесены описания моделей диода KD220A и источника гармонического сигнала SIN10K.
Если модель компонента не найдена, помещается модель с параметрами, назначаемыми по умолчанию. Поэтому перед выполнением моделирования необходимо просмотреть в окне текста все перенесенные туда модели компонентов и при необходимости их отредактировать. Имена вновь созданных файлов библиотек нужно
79
указать в файле NOM.LIB или непосредственно в окне текста с помощью директивы .LIB.
Замечание. Текстовые описания моделей, созданных вручную или перенесенных из программы PSpice, заносятся в библиотечные файлы с расширением имени .LIB или в файлы моделей отдельных компонентов с расширением .MOD. Модели компонентов, созданные с помощью программы Model, заносятся в бинарные файлы библиотек с расширением имени .LBR. Причем эта программа составляет модели только полупроводниковых приборов, операционных усилителей и магнитных сердечников Модели же источников сигналов (гармонических и импульсных) составляются вручную. Созданные самостоятельно текстовые описания моделей
источников следует помещать в отдельные текстовые файлы и включать ссылки на них в файл NOM.LIB.
Ввод и редактирование графических объектов. По команде
Mode/Line, Rectangle, Diamond, Ellipse, Arc, Pie, Polygon, Picture меню Options или нажатием на пиктограмму
оо
на схему нано-
сятся графические объекты и рисунки, не влияющие на результаты моделирования. Сначала в любой точке схемы производится щелчок мыши и в открывшемся списке выбирается тип графического объекта:
Line — линия;
Rectangle — прямоугольник;
Diamond — ромб;
Ellips — эллипс;
Аге — дуга;
Pie — сектор круга;
Picture — рисунок.
Затем обычным образом выполняется построение выбранной геометрической фигуры. После этого программа МС7 переходит в режим выбора (Select). Предусматривается возможность изменения размеров и формы всех объектов. Буксировка угловых точек изменяет размер объекта, буксировка промежуточных — изменение ширины или длины прямоугольной области, в которую вписан объект.
Двойной щелчок на графическом объекте открывает диалоговое окно для его редактирования.
Копирование фрагментов схем. Этот режим позволяет определить прямоугольную область, в которую заключен фрагмент схемы, и затем скопировать его несколько раз. Эта команда наиболее полезна при создании схем, содержащих большое количество повторяющихся структур, в частности, схем с программируемыми логическими интегральными схемами (ПЛИС, PLA).
80
Копирование выполняется в три этапа:
1) Сначала переходят в режим выбора нажатием на пикто-
ч
грамму.....—J;
2) Затем щелчком мышью в определенной точке начинают задавать область копирования, буксируя мышь до тех пор, пока образующийся прямоугольник не приобретет заданные размеры. После того как будет создана эта область, ее размеры могут быть при необходимости скорректированы буксировкой углов или сторон.
Щелчком по пиктограмме (команда Box/Step Box меню Edit) открывают диалоговое окно задания параметров копирования (рис. 2.12). В графе Direction задается направление копирования:
Horizontal — по горизонтали;
Vertical — по вертикали;
Both — в обоих направлениях.
Step box
Direction
Г Copy text
C Vertical
C Both Times to step Ji '
OK Cancel | Help... |
Рис. 2.12. Окно копирования
На строке Copy text этого окна включается режим копирования схемы вместе с текстом. Количество копий задается на панели Times to step.
Перемещение, вращение, зеркальное отображение и удаление объектов. Все эти операции начинаются нажатием на пиктограмму .А_ и выбором одного или нескольких объектов. Перемещение осуществляется их буксировкой в новое место расположения. Остальные операции выполняются выбором соответствующих команд меню Edit.
Нанесение рамки чертежа.. Щелчком по пиктограмме О. (команда View/Border меню Options) наносится рамка чертежа схемы. Формат рамки чертежа устанавливается в соответствии с
81
форматом бумаги, выбираемом в меню File по команде Print Setup. Например, для формата А4 210 х 297 мм рамка имеет размер 196 х 281 мм. В принципе, размер схемы может быть произвольным. Причем если размер схемы превышает габаритные размеры рамки, на схему наносится ряд примыкающих друг к другу рамок, и пользователь должен сам позаботиться, чтобы компоненты и цепи схемы не пересекались линиями рамки. Это неудобно, поэтому для создания больших схем целесообразно использовать несколько листов.
Основная надпись чертежа схемы. Щелчком по пиктограмме
(команда View/Title меню Options) на схему наносится основная надпись (угловой штамп). Заполнение граф основной надписи выполняется на закладке Title Block команды Change Properties
меню Edit, в диалоговом меню которой (рис. 2.13,а)
(F10)
имеются пять полей Field 1 — Field 5. Текст в первом поле печатается крупным шрифтом высотой 4,5 мм, в остальных — мелким высотой 2 мм. По умолчанию в них помещаются ключевые слова, которые на схеме заменяются их значениями:
Field 1 — имя схемы (заполняется вручную),
Field 2=&user, &company — фамилия пользователя и название компании (вводятся регистрационные данные Windows),
Field 3=&name — имя файла,
Field 4=date — текущая дата,
Field 5=Лист &sheet из $maxsheet — номер страницы и общее количество страниц.
При необходимости на каждом поле можно ввести любую информацию как на английском, так и русском языке, не более 30 символов. Ширина основной надписи изменяется автоматически так, чтобы разместить всю информацию. Пример заполненной основной надписи приведен рис. 2.13, б. К сожалению, привести ее формат в соответствии с требованиями ЕСКД не представляется возможным.
Получение информации о компонентах и их моделях. Нажатие на пиктограмму _1_ (команда Mode/lnfo меню Options, Ctrl+I) включает режим получения информации. Компонент, информацию о котором нужно получить, выбирается щелчком мыши. После этого на экран выводятся значения параметров модели компонента, описание макромодели, таблица цифровых сигналов или таблица описания функциональных источников в зависимости от типа компонента. Для простейших компонентов, не имеющих модели, выводится диалоговое окно редактирования атрибутов.
I
82
Рис. 2.13. Диалоговое окно (а) и основная надпись принципиальной схемы Title Block (б)
Текстовая информация, обнаруженная на схеме, высвечивается и показывается как часть схемы. Другая информация выводится с помощью программ Model или Text Editor.
При выборе нанесенных на схему команд .INCLUDE и .LIB выводится содержание файлов, в которых они описаны.
При затруднениях с назначениемпараметров моделей компо-ыЯ
нентов нажимается пиктограмма L21J (команда Mode/Help меню Options). В этом режиме щелчок на компоненте предоставляет информацию о синтаксисе его математической модели. Эту же информацию можно получить с помощью общих средств помощи Help, что гораздо сложнее.
83
Работа с большими схемами Большие схемы располагаются на нескольких страницах, каждая из которых имеет собственную рамку и основную надпись. Добавление к схеме новой страницы выполняется по команде Add Page меню Edit. Все листы схемы, созданные по этой команде, приобретают порядковый номер и сохраняются в одном файле. Переход на другой лист выполняется с помощью линейки прокрутки листов схемы, рядом с которой указывается номер текущего листа (см. рис. 1.1). Удаление одной или нескольких страниц схемы выполняется по команде Delete Page меню Edit.
Для просмотра разных фрагментов схемы используется не
сколько приемов:
	прокрутка схемы по горизонтали или вертикали. Это наиболее постой и удобный метод, работающий, однако, до-
вольно медленно;
масштабирование с помощью пиктограмм увеличения или уменьшения Лк изображения схемы;
31
панорамирование схемы нажатием комбинации клавиш С1г\+<клавиша стрелки>. Изображение схемы перемещается в направлении выбранной стрелки Т, —J-. Кроме того, панорамирование производится нажатием и удерживанием правой кнопки мыши. Мышь приобретает форму ру-
ки передвижение которой смещает схему относитель-
но экрана;
	центрирование схемы нажатие Shift и щелчок левой кноп-
ки мыши — центрирование производится относительно текущего положения курсора. Если при нажатой клавише Shift щелкнуть правой кнопкой мыши, масштаб изображения переключится между 1:1 и 4:1 и схема центрируется относительно положения курсора;
	листание страниц производится с помощью линейки прокрутки листов схемы. В этих же целях используются комбинации клавиш Ctrl+PgUp и Ctrl+PgDn.
Сохранение схемы. По завершении создания схемы ее следу-По
ет сохранить в файле. Нажатие на пиктограмму (команда Save меню File) сохраняет схему из активного окна, используя имя и путь, указанный на строке заголовка. Если схема еще не имеет имени, программа предлагает его ввести. По команде Save As меню File схема сохраняется в новом файле.
84
2.3. Редактирование компонентов
Редактор компонентов загружается выбором в меню Windows команды Component Editor. С его помощью редактируется библиотека компонентов (Component library), содержащая абсолютно все компоненты, от резисторов до макромоделей. Для каждого компонента в библиотеку заносится информация о его имени, графике символа, математической модели, расположении позиционного обозначения и других текстовых атрибутов и данные о выводах. Библиотека разбита на группы однотипных компонентов. Список групп и входящих в них компонентов, помещен в расположенном справа окне. Щелчок на имени группы открывает/закрывает список имен ее компонентов.
2.3.1. Структура экрана редактора компонентов Component Editor
На рис. 2.14 приведено изображение экрана Component Editor. На нем имеются следующие панели управления, графы и окна.
Панели управления:
тя
New — создание нового файла библиотеки компонентов;
Open — загрузка файла библиотеки компонентов;
[Sj Merge — присоединение файла библиотеки компонентов формата МС4, МС5, МС6 к текущей библиотеке компонентов. Имена файлов внешних библиотек задаются в открывающемся диалоговом окне. Из внешних библиотек переносятся только компоненты с уникальными именами. Компоненты, имена которых совпадают с именами текущей библиотеки, в нее не переносятся. Если компонент внешней библиотеки использует имя символа, отсутствующее в библиотеке символов текущей библиотеки, этот символ копируется из внешней библиотеки символов в текущую с добавлением префикса $ к имени символа. Новые компоненты размещаются в специальную группу, например New Group;
2zfi. Import Wizard — вызов Мастера создания макромодели на основании макромодели существующего компонента;
ш|
Export to МС6 — создание компонента в формате МС6;
5 Parts List — создание текстового файла перечня имен корпусов компонентов выбранной группы библиотеки для импорта в другие программы типа Excel;
85
Рис. 2.14. Окно редактора компонентов Component Editor
86
_1 Parts Wizard — указание имени компонента, добавляемого в библиотеку,
т Add Component — добавление в группу нового компонента; при этом в окне компонентов должно быть выбрано (высвечено) имя группы, за исключением имени группы самого верхнего уровня Component;
*+jl Add Group — добавление имени новой группы; при этом в окне компонентов должно быть выбрано имя любой группы;
Я=! Сору— копирование текущего компонента в буфер обмена;
R
14531 Past — вставка компонента из буфера обмена на место, следующее за компонентом, указанным курсором;
Replace with Template — замена имени выбранного объекта на типовое, замена символа последним, находящимся в буфере;
Delete — удаление выбранного компонента или группы; группа может быть удалена только в том случае, если она пустая;
Move Parts — перемещение компонента из одной библиотеки в другую;
л
Find Component — поиск компонента по имени и другим параметрам;
Zoom In — увеличение размера символа компонента на экране. При этом размер символа на схеме не изменяется;
l-^kl Zoom Out — уменьшение размера символа компонента на экране. При этом размер символа на схеме не изменяется,
U Info— вывод текстовой информации о выбранном объекте;
2*1 Sort — сортировка в библиотеке компонентов или групп в алфавитном порядке;
Clear Paletts — установка всех цветовых палитр по умолча
нию;
J Help— вызов раздела Component Editor системы помощи.
Поля текстовой информации:
Name— имя компонента. Это то же самое имя, которое появляется в меню компонентов при нанесении компонента на схему. Имя компонента присваивается произвольно;
87
Shape — имя условного графического обозначения или символа компонента. Символы компонентов создаются с помощью специального графического редактора Shape Editor (вызывается по команде Shape Editor меню Windows). Имена символов выбираются из списка, открывающегося нажатием на значок zJ;
Definition — имя математической модели компонента, выбираемое из списка моделей. Имена большинства моделей очевидны: Resistor — резистор, NPN — биполярный л-р-л-транзистор и т. д. Для типовых компонентов имена их моделей совпадают с именами символов (см. Приложение 1). Приведем здесь лишь описание некоторых терминов:
Blank — вспомогательные символы, не участвующие в моделировании. Наносятся на схему для введения пояснений, например, Arrow — стрелка, Babblel — клемма. С помощью атрибута TEXT этого символа на схему дополнительно наносятся поясняющие надписи;
Jumper (перемычка) — пересечение проводников без электрического соединения;
Macro — макромодель, задаваемая схемой замещения. Имя макромодели должно совпадать с именем файла этой схемы (расширение имени .CIR);
NULL — такой символ не может быть нанесен на схему;
Short — короткое замыкание;
Subckt — макромодель, задаваемая текстовым описанием с помощью директивы .SUBCKT, размещаемым на схеме или в файле математических библиотек (расширение имени .LIB или .LBR). При использовании библиотеки имя ее файла указывается с помощью атрибута FILE. Имя такого компонента должно совпадать с именем макромодели в директиве .SUBCKT.
Опции:
Palette — имя панели списка компонентов. Всего может быть создано 9 панелей от Palette 1 до Palette 9. Выбор None означает отказ от размещения данного компонента на какой-нибудь панели. Эти панели упрощают выбор компонентов при создании принципиальных схем (см. рис. 2.5). На каждой панели может быть помещено любое число количество компонентов. Панели открываются на схеме по команде Component Palette 1 — 9 меню Options или нажатием клавиш СМ+<номер панели>.
Model=Component Name — эта довольно странно выглядящая опция присваивает имя компонента имени модели. Поэтому при размещении на схеме компонентов, для которых опция включена, отпадает надобность открывать окно атрибутов (см. рис. 2.2), что упрощает этот процесс. В библиотеке компонентов эта опция включена для всех компонентов из групп Analog Library и Digital Library.
PART Attribute — отображение на схеме позиционного обозна
88
чения компонента, задаваемого с помощью атрибута PART. При размещении на схеме таких компонентов позиционные обозначения будут им по-прежнему присваиваться автоматически, но не будут видны. При этом значение этой опции можно изменить в панели атрибутов индивидуально для каждого компонента и тем самым сделать видимыми их позиционные обозначения.
VALUE Attribute — отображение на схеме атрибута VALUE, с помощью которого задаются параметры простых компонентов. Значение этой опции можно изменить в панели атрибутов индивидуально для каждого компонента и тем самым сделать видимыми значения их параметров.
MODEL Attribute — отображение на схеме атрибута MODEL, с помощью которого задаются имена моделей компонентов. Значение этой опции можно изменить в панели атрибутов индивидуально для каждого компонента и тем самым сделать видимыми имена их моделей.
PIN Text — вывод в окно атрибутов текущего компонента флага Display Pin Names, указывающего на необходимость вывода на схеме имен выводов компонента;
Мето — поле для нанесения описания компонента (в целях документирования).
Создание выводов компонента. В левой части окна редактора компонентов (рис. 2.14) изображается графика выбранного символа компонента, его выводы и их имена.
Буксировка точки вывода или его имени позволяет независимо изменять их расположения.
При создании типовых компонентов (резисторы, диоды и все другие компоненты, не относящиеся к макромоделям) после заполнения строки Definition в графическом окне появляются изображения точек выводов в с указанием их имен. Точки выводов первоначально расположены неправильно (так графика символа рисуется пользователем по своему усмотрению), поэтому они должны быть отбуксированы в правильные позиции.
При создании/редактировании макромоделей (Definition=Macro или Subckt) двойной щелчок на точке вывода или его имени открывает диалоговое окно для ввода/редактирования имени вывода и дополнительного указания типа вывода: аналогового или цифрового (рис. 2.15).
Поля дополнительной информации о выводах. Некоторые цифровые компоненты имеют различное число выводов, например, логический элемент И (AND) может иметь два, три и более входов. Поэтому предусмотрены дополнительные поля для информации о количестве входов (Inputs), выходов (Outputs), адресных входов (Adress), разрядов шины (Width) и др.
89
Рис. 2.15. Окно ввода имени и типа вывода
Расположение позиционного обозначения и других атрибутов. В средней части окна редактора компонентов изображено нормальное и повернутое на 90° изображение символа компонента с указанием места расположения позиционного обозначения и других атрибутов XX, YY. Значения этих атрибутов проставляются после размещения компонента на схеме. Места же их расположения относительно изображения символа буксируются с помощью мыши.
Выбор компонента. В правой части окна редактора компонентов расположен иерархический список компонентов, разбитый на группы. Открытие/закрытие группы выполняется двойным щелчком мыши на ее имени. Выбор компонента в группе выполняется обычным щелчком мыши.
Сохранение изменений. Работа с Component Editor завершается нажатием на кнопку S; после этого в ответ на запрос Save Changes (Сохраните изменения) нажмите кнопку ОК.
2.3.2. Добавление в библиотеку компонентов
Программа МС7 поставляется с достаточной обширной библиотекой компонентов, которых достаточно для первоначального знакомства с ней (библиотека профессиональной версии содержит около 8 тыс. компонентов). Однако с течением времени возникает необходимость ее пополнения, в первую очередь созданием библиотек отечественных компонентов и макромоделей используемых типовых устройств.
Для создания новой группы в списке компонентов курсор подводится к имени группы предыдущего уровня иерархии и нажимается иконка Add Group I—t Созданной группе автоматически присваивается имя New Group, которое затем может быть изменено в открывшемся в левой части экрана окне Group. Например, для создания в группе Analog Library библиотеки отечественных компонентов курсором выбираем имя этой группы, нажимаем на иконку
90
Add Group и в окне Group заменяем стандартное имя New Group на уникальное, Вновь образовавшаяся группа занимает последнее
место в списке групп данного уровня иерархии.
Для создания нового компонента выбирается имя группы, в которой он должен быть расположен и нажимается иконка Add Compo-
. Образуется новый компонент с именем new_1, new_2 и
nent
т.д. После этого заполняются поля текстовой информации и включаются необходимые опции (см. выше). Каждому новому компоненту присваивается уникальное имя, указывается имя символа (после этого изображение символа в окне принимает необходимый вид), имя математической модели, начальное расположение позиционного обозначения и расположение имен выводов. Если компонент является макромоделью (Macro, Subckt), логическим выражением (Logic Expression), устройством задержки сигналов (Pin Delay) или устройством контроля (Constraints), необходимо дополнительно ввести вы-
воды и присвоить им имена. Для этого щелчком мыши в окне симво-
ла отмечают расположение точки вывода и в открывшемся окне (рис. 2.9) указывают его имя и тип: Analog — аналоговый, Digital — цифровой (по умолчанию устанавливается тип Analog).
2.3.3. Добавление в библиотеку макромоделей
В программе МС7 имеются макромодели двух типов. Макромодели типа Macro задаются схемой замещения, Subckt — текстовым описанием.
Макромодель типа Macro. Схема замещения макромодели помещается в файл схем, имеющих расширение имени .CIR или .МАС. Имя макромодели должно совпадать с именем файла этой схемы. По команде Options>Mode/Text (вызывается также нажатием iTj ) присваиваются имена узлам схемы, которые должны быть выводами макромодели. Если необходимо передавать в макромодель численные значения параметров, в списке параметров атрибута VALUE вместо численных значений помещают имена параметров или же имена этих параметров декларируются в директиве .PARAMETERS. На рис. 2.16 приведено окно редактора компонентов при создании макромодели сумматора SUM, а на рис. 2.17 его схема замещения.
Приведем пример заполнения текстовых полей на примере этого сумматора:
Name=SUM — имя компонента (должно совпадать с именем файла схемы замещения);
Shape=Sum — имя символа (задается произвольно в редакторе Shape Editor);
91
Рис. 2.16. Компонент SUM — сумматор двух величин
92    _____________________________________________________________________
Макромодель SUM типа MACRO
Вызывается из других схем. Не может быть промоделирована автономно
Напряжение на выходе равно взвешенной сумме входных напряжений.
PINA	PINC
(И} E1
PINS	p<A*V(A)+KB*V(B)
PARAMETERS^, KB)
Рис. 2.17. Макромодель сумматора SUM, размещенная в файле SUM.CIR
Definition=Macro — тип макромодели.
Имена выводов PinA, PinB и PinC в схеме замещения (рис. 2.17) и символе макромодели (рис. 2.16) должны совпадать.
Имя макромодели помещается в пределах той подгруппы, где ее создали.
При размещении на схеме символа макромодели нужно указать значения ее параметров. Так вызов сумматора SUM, в описании которого используются два параметра КА и КВ (рис. 2.17), имеет вид SUM(KA.KB), где вместо идентификаторов параметров КА и КВ нужно указать их значения.
Макромодель типа Subckt. Текстовое описание макромодели задается с помощью директивы .SUBCKT, размещаемой непосредственно на схеме или, что более удобно, в текстовом файле математических библиотек (расширение имени .LIB или .LBR). Имя такого компонента должно совпадать с именем макромодели, что обеспечивается с помощью опции Model=Component Name.
В качестве примера на рис. 2.14 приведено окно редактора компонентов при создании операционного усилителя (ОУ) фирмы Analog Devices, а на рис. 2.18 — текстовое описание его макромодели.
Приведем пример заполнения текстовых полей на примере ОУ:
Name= OP08_AD — имя компонента (должно совпадать с именем модели);
Shape=Opamp7 — имя символа (задается произвольно в редакторе Shape Editor);
Definition=Subckt — тип макромодели
Имена выводов символа ОУ 3, 2, 99, 50, 45, 15, 17 (рис. 2.18)
93
должны совпадать с именами соответствующих выводов в текстовом описании (рис. 2.14). Их назначение поясняется в начале этого описания.
Директиву .SUBCKT с текстовым описанием макромодели можно ввести несколькими способами:
1)	В окне текста;
2)	В файле, имя которого указывается с помощью атрибута символа компонента FILE, например FILE= OP.LIB ;
3)	В файле, имя которого непосредственно указывается с помощью директивы .LIB, например .LIB OP.LIB;
В файле, ссылка на который (например .LIB OP.LIB) помещается в файл NOM.LIB, загружаемый в программу МС7 по умолчанию (этот способ наиболее удобен для отлаженных библиотек).
* ОР27 SPICE Macro-model
12/90, Rev. B JCB / PMI
* Copyright 1990 by Analog Devices, Inc.
* Node		assignments			
*				non-inverting input	
*				I inve	rting input
*				1 1 po	sitive supply
*				1 1 1	negative supply
*				1 1 1 1 1 1	| output
.SUBCKT *		OP27		1 2 99	50 39
* INPUT		STAGE		POLE AT	80 MHZ
R3	5	97		0.0619	
R4	6	97		0.0619	
CIN	1	2		4E-12	
C2	5	6		16.07E-9	
II	4	51		1	
IOS	1	2		3.5E-9	
EOS	9	10		POLY(l)	30 33	10E-6	1
QI	5	2	7	QX	
Q2	6	9	8	QX	
Рис. 2.18. Текстовое описание макромодели операционного усилителя ОР27
2.4.	Редактирование графических символов компонентов
Создание и редактирование символов или условных графических обозначений (УГО) компонентов принципиальных электрических схем осуществляется с помощью графического редактора, вызываемого в меню Windows по команде Shape Editor.
94
2.4.1.	Структура экрана редактора графических символов Shape Editor
После загрузки редактора Shape Editor на экране появляется окно редактирования, изображенное на рис. 2.19. На нем имеются следующие панели управления, графы и окна.
Список символов. В средней левой части экрана приведен список графических символов, содержащихся в текущей библиотеке символов. Выбранный символ высвечивается и изображается в графическом окне.
Кнопки команд (расположены в нижней части окна слева):
Док/ — добавление в библиотеку нового символа, имя которого указывается по дополнительному запросу. Графика символа задается с помощью команд' меню инструментов и редактора графических объектов Object Editor (вызывается нажатием на кнопку Editor)',
Delete — удаление выбранного символа;
Revert — восстановление первоначальной графики символа, которую он имел до вызова редактора Shape Editor. Восстановление первоначальной графики производится только для текущего выбранного символа. Изменения, произведенные при редактировании предыдущих символов, остаются в силе. Однако имеется возможность отменить все изменения, выполненных в текущем сеансе работы с редактором Shape Editor, прекращая с ним работу по команде Close без сохранения изменений (см. ниже);
Editor — вызов редактора Object Editor, предназначенного для редактирования числовых параметров графических объектов (подробности в разд. 2.4.2). Таким образом производится уточнение координат характерных точек графики символа, созданного первоначально с помощью движений мыши. При этом нанесение на выводы компонентов условных значков (сигнал синхронизации, инверсный выход и др.) возможен только с помощью Object Editor (это свойство используется в основном при создании символов цифровых компонентов);
Close — завершение работы с редактором символов и сохранение всех внесенных изменений в файле библиотеки;
Help — вызов раздела Shape Editor системы помощи.
Панель инструментов. Создание, редактирование и просмотр графики символов производятся с помощью команд, пиктограммы которых размещены в меню инструментов. Их перечень приведен в табл. 2.12.
Обратим внимание, что при создании графики символов точки расположения их выводов в редакторе Shape Editor не указываются, это делается с помощью редактора компонентов Component Editor (см. разд. 2.3.1).
95
Рис 2 19. Экран графического редактора символов Shape Editor
96
Таблица 2.12
Пиктограммы меню инструментов
Команда				Назначение
	□		New File	Создание нового файла библиотеки графических символов
			Open File	Загрузка файла библиотеки графических символов
	У		Save File As	Сохранение библиотеки графических символов под новым именем
			Remove File	Удаление из редактора файла библиотеки графических символов
			Undo (Ctrl+Z)	Отмена последней команды редактирования
	&		Cut (Ctrl+X)	Удаление выбранного объекта и размещение его в буфере обмена
			Copy (Ctrl+C)	Копирование выбранного объекта и размещение его в буфере обмена
			Past (Ctrl+V)	Вставка содержания буфера обмена в место, отмеченное курсора
	X		Clear (Del)	Удаление выбранного объекта без размещения его в буфере обмена
	АП		Select All	Выбор всех графических элементов текущего символа
	ч		Select Mode (Выбор)	Переход в режим выбора для последующего редактирования графических объектов, из которых состоят символы компонентов
	fil		Pan (Панорамирование)	Переход в режим панорамирования для просмотра фрагментов больших схем. В этом режиме перемещение схемы производится буксировкой (щелчок, удерживание и перемещение) левой кнопки мыши. Во всех остальных режимах аналогичное действие производится буксировкой с помощью правой кнопки мыши. Панорамирование схемы производится также с помощью линеек прокрутки, а также нажатием клавиш С1г1+<клавиша стрелки?
4—1361
97
	|;		Rectangle Mode (Прямоугольник)	Рисование прямоугольника
	о		Diamond Mode (Ромб)	Рисование ромба
	q		Ellipse Mode (Эллипс)	Рисование эллипса
	J		Arc Mode (Дуга)	Рисование дуги
	р		Block (Блок)	Создание символа цифрового компонента в виде прямоугольника (блока). Щелчком мыши определяется лееый верхний угол его контура, буксировкой мыши задается положение противоположного угла. Выводы проставляются автоматически на левой и правой сторонах с шагом 0,2 дюйма=5 мм (два шага крупной сетки). Количество выводов зависит от высоты прямоугольного контура (в дальнейшем имеется возможность удалить лишние выводы). Выводы проставляются на более длинных сторонах прямоугольника
	\ 1		Line Mode (Линия)	Рисование линии
	(3,!		Closed Polygon (Замкнутый полигон)	Рисование замкнутого полигона. После завершения рисования последней стороны полигона и нажатия Esc проводится линия, соединяющая первую и последнюю вершины
	( <		Open Polygon (Открытый полигон)	Рисование не замкнутого полигона
			Included Shape (выбор типового УГО)	Переход в режим переноса графики выбранного символа в окно редактирования текущего символа. В этом режиме щелчком курсора в любой точке графического окна открывается список символов “Choose Root Shape”. Выбор символа в этом списке переносит его на экран, привязываясь к указанной курсором точке. Далее его положение может быть скорректировано
98
1	т		Text (Текст)	Ввод текста
	в		Seven Segment (Семисегментный индикатор)	Размещение семисегментного светодиодного индикатора
	т		LED (Индикатор)	Размещение индикатора
			Switch (Ключ)	Размещение ключа, управляемого щелчком курсора
	4		Current Arrow (Стрелка)	Размещение стрелки, указывающей направление тока
	1 1		Outline (Контур)	Щелчок мыши по фигуре, залитой краской, удаляет заливку, оставляя только линию контура
			Transparent Solid (Заливка)	Заливка выбранной замкнутой фигуры краской
	•  •		Grid (Сетка)	Нанесение координатной сетки на экран графического редактора символов. Шаг крупной сеткой составляет 0,1 дюй-ма=2,5 мм; мелкая сетка имеет в 4 раза более мелкий шаг
			Grid Snap (Привязка к сетке)	Фиксация точек привязки всех графических объектов в узлах сетки
	€^|		Shrink (Уменьшение)	Уменьшение масштаба изображения
			Grow (Увеличение)	Увеличение масштаба изображения
			Send to back (Вниз)	Щелчок мыши на выбранных перекрывающихся объектах перемещает верхний объект вниз
			Bring to front (Наверх)	Щелчок мыши на выбранных перекрывающихся объектах перемещает нижний объект наверх
	Ж		Next Object (Следующий объект)	Переход к следующему из перекрывающихся объектов
99
	F'		Font (Шрифт)	Выбор шрифта (используются шрифты True Туре, в том числе и кириллические)
	V		Flip X (Зеркально по X)	Зеркальное отображение относительно оси X, расположенной посредине выбранной области
	с*		Flip Y (Зеркально по Y)	Зеркальное отображение относительно оси Y, расположенной посредине выбранной области
	э		Rotate (Вращение)	Вращение выбранной области на угол 90° против часовой стрелки
	•н-		Mirror (Зеркальное отражение)	Создание зеркального отражения выбранной области по горизонтали или по вертикали Направление отражения указывается по дополнительному запросу, где также указывается необходимость отражения текста
Сохранение изменений. Работа с Shape Editor завершается нажатием на панель Close. После этого в ответ на запрос “Save Shape Editor Changes?” (Сохранить изменения?) нажмите кнопку ОК.
2.4.2.	Редактор графических объектов Object Editor
Большинство символов создаются в графическом редакторе с помощью мыши. В некоторых случаях удобно использовать редактор Object Editor, оперирующий с координатами характерных точек графических объектов (линий, прямоугольников, полигонов и т. п.). Редактор Object Editor упрощает создание полигонов, генерируя многоугольник с задаваемым числом вершин. Только с его помощью создаются символы цифровых компонентов. Для всех остальных символов с помощью Object Editor удобно в текстовом виде уточнять форму графических объектов. Координаты объектов указываются числом шагов мелкой сетки, один такой шаг равен 1/40 дюйма = 0,635 мм. Напомним, что шаг крупной сетки равен 1/10 дюйма = 2,54 мм. Каждый такой шаг разбит на 4 мелких. Координаты отсчитываются, начиная с верхнего левого угла графического окна. Object Editor вызывается по команде Edit редактора Shape Editor (рис. 2.19).
Экран редактора Object Editor изображен на рис. 2.20. Оно состоит из пяти основных частей.
100
Рис. 2.20 . Экран редактора Object Editor
Создание графических объектов производится с помощью команд, пиктограммы которых размещены на панели инструментов. Эти команды аналогичны командам основного окна редактора Shape Editor (см. табл. 2.12), за некоторым исключением.
При создании элементарных фигур типа прямоугольник, ромб, эллипс, дуга и линия создаются типовые фигуры, вписываемые в прямоугольник с координатами (5,5) (20,20). Затем их координаты редактируются в поле параметров.
При создании полигонов программа запрашивает количество вершин Point Count и затем строит типовой полигон, координаты вершин которого затем редактируются.
При выборе команды Block создается прямоугольный контур цифрового компонента с одним входом и одним выходом, при этом увеличить число выводов нельзя. Поэтому цифровые компоненты с большим числом выводов создаются в основном окне Shape Editor и редактируются в Object Editor. При редактировании объекта типа Block он выбирается курсором в списке графических объектов и затем выбирается тип графики каждого вывода. Назначение пиктограмм выводов объясняется в табл. 2 13. Графика выводов, естественно, не влияет на функционирование компонента, но помогает восприятию схемы. Первоначально все выводы имеют типовую форму. Для ее изменения щелчком курсора по пиктограмме вывода открывается список их типов, в котором выбирается нужный.
101
Таблица 2.13.
Назначение пиктограмм выводов
Пиктограмма вывода	Назначение вывода
	Отсутствие вывода в данной точке (так удаляются
	лишние выводы)
	Вход синхронизации
	Логическая инверсия
	Инверсный вход синхронизации
	Типовой вывод, не имеющий функционального обо-
	значения
По завершении редактирования нажимается панель Close.
2.4.3.	Библиотека символов
Изменения, внесенные в графические символы, сохраняются и заносятся в библиотеку только после выхода из Shape Editor. Библиотека символов заносится в файл, который должен находиться в том же каталоге, что и программа МС7.ЕХЕ.
2.5.	Редактор упаковки компонентов Package Editor
Библиотека упаковки компонентов содержит информацию, необходимую для создания списка соединений схемы (Netlist file), передаваемого в программы разработки печатных плат ACCEL (P-CAD), OrCAD, PADS, Protel. Для каждого компонента, включаемого в список соединений, должна быть отдельная запись в редакторе Package Editor. При старте МС7 в Package Editor загружается стандартный файл библиотеки упаковки компонентов STANDARD.PKG, если в панели General на закладке Common Options диалогового окна команды Options>Preferences выбрана опция Package Library Load.
Редактор Package Editor, вызываемый по команде Win-dows>Package Editor, содержит список компонентов; он имеет следующие командные кнопки (рис. 2.21).
102
Add — добавление базовой упаковочной информации к данным компонента, имя которого указывается в диалоговом окне Find Component;
Add Complex — добавление дополнительной упаковочной информации к данным компонента, имя которого указывается в диалоговом окне Find Component;
Duplicate — перенос упаковочной информации выбранного компонента компоненту, имя которого указывается в диалоговом окне Find Component;
Delete — удаление выбранного элемента;
Merge — объединение файла библиотеки упаковки (*.PKG) с файлом текущей библиотеки;
Find — поиск компонента по его имени;
Close — закрытие Package Editor и сохранение внесенных изменений (при получении дополнительного подтверждения) в файле STANDARD.PKG;
Help — вызов раздела Package Editor системы помощи.
info — вывод информации о выбранном компоненте.
В полях данных помещается следующая информация.
Package — название корпуса компонентов (ССС, DIP, LCC, LLD, РСС, SIP, SO, ТО и др.), оно должно совпадать с данными атрибутов PACKAGE компонентов;
Component — имя компонента;
Pin Cnt — количество выводов компонента;
ACCEL — название корпуса компонента, заменяющее данные поля Package, когда составляется список соединений схемы в формате ACCEL (P-CAD);
OrCAD — название корпуса компонента, заменяющее данные поля Package, когда составляется список соединений схемы в формате OrCAD;
Protel — название корпуса компонента, заменяющее данные поля Package, когда составляется список соединений схемы в формате Protel.
PADS — название корпуса компонента, заменяющее данные поля Package, когда составляется список соединений схемы в формате PADS.
В поле Gate выбирается имя (номер) секции сложного компонента. В колонке Pin Name указываются/выбираются имена выводов выбранного компонента. Если выбрано имя вывода NC# (не подсоединяемый вывод), то содержание поля РСВ игнорируется; полях РСВ содержат номера выводов компонентов, передаваемые в файл списка соединений.
103
Рис. 2.21. Экран редактора Package Editor
104
2.6.	Представления чисел, переменных и математических выражений
При создании принципиальных схем используются числа, переменные и математические выражения следующего вида.
Числа
Числовые значения параметров компонентов представляются в виде:
действительных чисел с фиксированным десятичным знаком (обратим внимание, что в качестве десятичного знака в программе МС7 используется точка). Например, сопротивление 2,5 кОм, записывается как 2500, а емкость 1 мкФ как 0.000001;
действительных чисел с плавающим десятичным знаком — научная нотация. Например, емкость 1 мкФ может быть записана как 1Е-6;
действительных чисел с плавающим десятичным знаком — инженерная нотация, согласно которой различные степени 10 обозначаются следующими суффиксами:
F	фемто 10'15
Р пико 10’12
N нано 10'9
Uw микро 10'6
М* милли 10'3
К кило 103
MEG* мега 10б
G гига 109
Т	тера 1012
Для экономии места на осях X, Y графиков результатов моделирования малая буква “гл” обозначает 10‘3, большая буква “М” — 10° (вместо MEGA). Во всех остальных случаях большие и малые буквы не различаются.
Например, сопротивление 1,5 МОм может быть записано как 1.5MEG, 1.5meg или 1500К, емкость 1 мкФ как 1U или 1 uF. В последнем примере показано, что для большей наглядности после стандартных суффиксов допускается помещать любые символы, которые при интерпретации чисел не будут приниматься во внимание. Пробелы между числом и буквенным суффиксом не допускаются!
Переменные
В программе МС7 ряд констант и переменных имеют стандартные значения:
Т — время в секундах;
105
F — частота в герцах;
Е — ЕХР(1)=2,718281828;
S — комплексная переменная, используемая при анализе аналоговых устройств;
GMIN — минимальная проводимость ветви, задаваемая в диалоговом окне Options>Global settings;
PI — число 71=3.14159265389795;
TEMP — температура компонентов в градусах Цельсия;
VT — температурный потенциал р-п—перехода, равный 1,3806226- 10‘23х (273,15+ТЕМР)/(1,6021918-10'19); при ТЕМР=27 °C VT=25,86419 мВ;
J — корень квадратный из -1;
Tmin — начальный момент времени расчета переходных процессов;
Ттах — конечный момент времени расчета переходных процессов;
Fmin — начальная частота расчета частотных характеристик;
Fmax — начальная частота расчета частотных характеристик;
PGT — общая мощность, генерируемая в схеме;
PST — общая мощность, запасаемая в схеме;
PDT — общая рассеиваемая в схеме мощность;
Z — комплексная переменная, используемая при анализе цифровых устройств..
Номера узлов, присваиваемые программой МС7 автоматически, представляют собой целые числа, например 0, 2, 25. Кроме того, пользователь по команде Options>Mode/Text может присвоить любому узлу имя в виде текстовой алфавитно-цифровой переменной, начинающейся с буквы или символа и содержащей не более 50 символов, например А1, Out, Reset.
В математических выражениях могут использоваться следующие переменные:
D(A)	Логическое состояние цифрового узла А
V(A)	Напряжения на узле А (напряжения измеряются относительно узла “земли", которой программа присваивает номер 0)
V(A,B)	Разность потенциалов между узлами А и В
V(D1)	Напряжение между выводами устройства D1
l(D1)	Ток через устройство D1
l(A,B)	Ток через ветвь между узлами А и В (между этими узлами должна быть включена единственная ветвь)
IR(Q1)	Ток, втекающий в вывод R устройства Q1
VRS(Q1)	Напряжение между выводами R и S устройства Q1
106
CRS(Q1)	Емкость между выводами R и S устройства Q1
QRS(Q1)	Заряд емкости между выводами R и S устройства Q1
R(R1)	Сопротивление резистора R1
C(X1)	Емкость конденсатора или диода Х1
Q(X1)	Заряд конденсатора или диода Х1
L(X1)	Индуктивность катушки индуктивности или сердечника Х1
X(L1)	Магнитный поток в катушке индуктивности или сердечнике Х1
B(L1)	Магнитная индукция сердечника L1
H(L1)	Напряженность магнитного поля в сердечнике L1
RND	Случайное число с равномерным законом распределения на отрезке [0, 1]
ONOISE	Корень квадратный из спектральной плотности выходного напряжения
INOISE	Корень квадратный из спектральной плотности входного напряжения, равный ОМО\8Е/коэффициент передачи по мощности
PG(V1)	Мощность, генерируемая источником V1
PS(X1)	Реактивная мощность, накапливаемая в устройстве Х1
PD(D1)	Мощность, рассеиваемая в устройстве D1
В этом перечне символы А и В обозначают номера узлов схемы, D1 — имя компонента с двумя выводами или управляемого источника, Q1 — имя любого активного устройства или линии передачи. Символы R и S заменяются аббревиатурами выводов устройств согласно следующей таблице:
Устройство	Аббревиатуры выводов	Названия выводов
МОП-транзистор (MOSFETs)	D, G, S, В	Сток, затвор, исток, подложка
Полевой транзистор (JFETs)	D, G, S	Сток, затвор, исток
Арсенид-галлиевый транзистор (GaAsFETs)	D, G, S	Сток, затвор, исток
Биполярный транзистор (BJT)	В, Е, С, S	База, эмиттер, коллектор, подложка
Статически индуцированный биполярный транзистор (IGBT)	С, G, Е	Коллектор, затвор, эмиттер
107
Устройство	Аббревиатуры выводов	Названия выводов
Линия передачи (Tran. Line)	АР, AM, BP, ВМ	Вход+, ВХОД-, ВЫХОД+, выход-
Например, следующие выражения означают: 1(Н1) — ток через резистор R1, R(Rload) — сопротивление резистора Rload: IC(VT1) — ток коллектора биполярного транзистора VT1; VBE(Q1) — напряжение между базой и эмиттером биполярного транзистора Q1,
Приведем список обозначений переменных типа напряжение, ток, емкость и заряд для всех компонентов:
Компонент	Напряжение	Ток	Емкость	Заряд
Резистор	V	I	Нет	Нет
Конденсатор	V	I	С	Q
Индуктивность	V	I	Нет	Нет
Диод	V	I	С	Q
Линия передачи	VAP, VAM, VBP, VBM	IAP, IAM, IBP, IBM	Нет	Нет
Биполярный транзистор	VBE, VBC, VEB, VEC, VCB, VCE	IB,IE, IC	СВЕ, СВС	QBE, QBC
Биполярный транзистор с выводом подложки	VBE, VBC, VBS, VEB, VEC, VES, VCB, VCE, VBS	IB,IE,IC, IS	СВЕ, СВС, CCS	QBE, QBC, QCS
МОП-транзистор	VGS, VGD, VGB, VDS, VDG, VDS, VSG, VSD, VSB, VBG, VBD, VBS	IG, IS, ID, IB	CGS, CGD, CGB, CBD, CBS	QGS, QGD, QGB, QBD, QBS

108
Компонент	Напряжение	Ток	Емкость	Заряд
Полевой транзистор	VGS, VGD, VSG, VSD, VDG, VDS	IG, IS, ID	CGS, CGD	QGS, QGD
Арсени-галлиевый транзистор	VGS, VGD, VSG, VSD, VDG, VDS	IG, IS, ID	CGS, CGD	QGS, QGD
Источники тока или напряжения	V	I	Нет	Нет
После имени переменной в скобках указывается позиционное обозначение компонента. Например, напряжение затвор-исток МОП-транзистора М1 обозначается как VGS(M1).
Приведем список обозначений переменных типа сопротивление, магнитный поток, магнитная индукция, напряженность магнитного поля:
Компонент	Сопротивление	Магнитный поток	Индуктивность	Магнитная индукция	Напряженность магнитного поля
Резистор	R	Нет	Нет	Нет	Нет
Индуктивность	Нет	X	L	В	Н
Математические выражения и функции
При описании математических выражений используются следующие обозначения:
Символ	Обозначение
х,У	Действительные величины, например V(10) при анализе переходных процессов
Z	Комплексная величина z = х + jy, например V(1) при анализе частотных характеристик
и, V	Действительные сигналы при анализе переходных процессов, например Ц10)
S, S1	Спектры, рассчитываемые с помощью операций обработки сигналов
D1, D2	Логические состояния цифровых узлов, например D(1), D(QB)
В сложных текстовых переменных директивы .DEFINE и при указании переменных, выводимых на графиках при проведении
109
моделирования, возможно использование следующие математические операции
Арифметические операции
+ — Сложение;
-	— Вычитание;
*	— Умножение;
/ — Деление;
DIV — Целочисленное деление;
MOD — Остаток целочисленного деления.
Тригонометрические функции от действительных и комплексных величин (х — действительная, z — комплексная величина)
Ехр(х) — экспонента;
Ln(x) — натуральный логарифм |х|;
Log(x) или Log10(x) —десятичный логарифм |х|;
Sin(x) — синус, х в радианах;
Cos(x) — косинус, хв радианах;
Тап(х) — тангенс, х в радианах;
Asin(x) — арксинус;
Acos(x) — арккосинус;
Atn(x) или Arctan(x) — арктангенс;
Atan2(y,x)= Atn(y/x);
Sinh(z) — гиперболический синус;
Cosh(z) — гиперболический косинус;
Tanh(z) — гиперболический тангенс;
Coth(z) — гиперболический котангенс.
Прочие функции от действительных и комплексных величин
ABS(y) — абсолютное значение у
SQRT(y) — корень квадратный из модуля у
SGN(y) — знак числа у
POW(y,x) — степенная функция комплексных величин у*=ех|п(у), обозначаемая как у^х;
PWR(y,x) — действительная часть степенной функции /'х,
*	* — степенная функция, например 5**2=25;
PWRS(y,x) — действительная часть степенной функции /Ух;
FACT(n) — факториал целого числа л;
JN(n,z[,m]) — функция Бесселя n-го порядка первого рода комплексного аргумента z, полученная суммированием первых т членов ряда; по умолчанию п?=10;
110
JO(z) — функция Бесселя нулевого порядка первого рода комплексного аргумента z, аналогичная JN(0,z, 10);
J1(z) — функция Бесселя первого порядка первого рода комплексного аргумента z, аналогичная JN(1,z,10);
YN(n,z[,m]) — функция Бесселя п-го порядка второго рода комплексного аргумента z, полученная суммированием первых т членов ряда; по умолчанию т=10;
Y0(z) — функция Бесселя нулевого порядка второго рода комплексного аргумента z, аналогичная YN(0,z, 10);
Y1(z) — функция Бесселя нулевого порядка второго рода комплексного аргумента z, аналогичная YN(1,z,10);
Series(n,n1,n2,z) — расчет текущей суммы ряда комплексной функции z=z(n) при изменении п от п1 до л2;
RND — случайные числа на отрезке [0, 1] с равномерным законом распределения;
STP(x) — функция единичного скачка, равная 1 при х>0 и равная 0 при хОО;
IMPULSE(y) — импульсная функция, равная у и площадь которой равна 1;
TABLE(x,x1,y1,x2,y2,,хп,Уп) — табличная зависимость функции у от х. Переменная х должна быть определена как параметр с помощью директивы .define Задаются координаты точек (х(, у,), в промежуточных точках используется линейная интерполяция. Если х<хь то у=Уъ если х>хп, то у=уп;
Waveform(uMH_0aw7a,y) — импорт функции у из файла имя файла, имеющего стандартный формат МС7; в этот файл пользователя (User source) могут быть записаны дискретизированные результаты моделирования, если на закладке Save Waveforms команды Properties (F10) выбрать из списка имя переменной и вести имя файла *.USR;
1МРОРТ(имя_файпа,у) — импорт функции у из файла. Текстовый файл должен иметь формат SPICE или МС5; в него помещается таблица значений переменных, в качестве которых может быть время (Т), частота (F), напряжение источника напряжений (У(имя источника)), ток источника тока (\(имя источника)), и выражение Для у,
SUM(y,x[,starfj) — текущий интеграл от переменной у по переменной х; начальное значение х равно start,
SD(y[,sfarfj) — текущий интеграл от переменной у по времени Т при анализе переходных процессов, по частоте F при АС-анализе или по переменной DCINPUT1 при DC-анализе; начальное значение независимой переменной равно start,
DD(y) — производная у по времени Т при анализе переходных процессов, по частоте F при АС-анализе частотных характеристик
111
и по переменной DCINPUT1 при DC-анализе по постоянному току;
RMS(y[,starf\) — текущее среднеквадратичное отклонение переменной у при интегрировании по времени Т при анализе переходных процессов, по частоте F при АС-анализе частотных характеристик и по переменной DCINPUT1 при DC-анализе по постоянному току; начальное значение независимой переменной равно значению start,
AVG(y[,starf\) — текущее среднее значение переменной у при интегрировании по времени Т при анализе переходных процессов, по частоте F при АС-анализе частотных характеристик; начальное значение независимой переменной равно значению start,
DEL(y) — приращение процесса y(t) относительно предыдущей точки при расчете переходных процессов. Производная рассчитывается как отношение двух таких операторов, например производная dy/dt равна DEL(y)/DEL(f);
SDT(y) — текущий интеграл процесса y(t) относительно времени Т, начиная от T=Tmin;
DDT(y) — производная процесса y(t) относительно времени Т;
DIFA(u, v[,c(]) — сравнение значений двух функций и и v во всех дискретных точках при расчете переходных процессов. DIFA присваивается значение 1, если во всех точках абсолютное значение разности функций меньше величины d, в противном случае присваивается 0. Параметр d необязательный, по умолчанию полагается cfcO;
DIFD(u,vf,c/j) — сравнение значений двух логических и и v во всех дискретных точках при расчете переходных процессов. DIFA присваивается значение 1, если во всех точках значения функций отличаются друг от друга, в противном случае присваивается 0. В течение первых d секунд после начала расчета переходных процессов сравнение не проводится. Параметр d необязательный, по умолчанию полагается d = 0.
Операции отношения и логические операции
= — равно;
>	— больше;
<	— меньше;
>	= — больше или равно;
<	=— меньше или равно;
<> или != — не равно;
== — равно;
AND — логическое И;
NAND — отрицание логического И;
NOT — отрицание;
OR — логическое ИЛИ;
112
NOR — отрицание логического ИЛИ;
XOR — исключающее ИЛИ;
Примечание: логическим выражениям присваиваются значения 1, если они истинны, и 0, если они ложны.
MIN(x,y) — минимальное значение величин х, у,
МАХ(х,у) — максимальное значение величин х, у,
LIMIT (и,х,у) — равно и, если х< и<у, равно х, если и< х; равно у, если и>у
\F(b,x,y) — функция равна х, если b истинно, в противном случае равна у.
Функции от комплексных чисел
DB(z) — величина в децибелах, равная 20*LOG(|z|);
RE(z) — действительная часть z,
IM(z) — мнимая часть z,
MAG(z) — модуль z. При построении графиков допустимо просто указать z,
PH(z) — фаза z в град.;
GD(z) — групповое время запаздывания.
Операции с логическими переменными
HEX(A,B,C,D) — значение состояний цифровых узлов А, В, С, D в шестнадцатеричной системе;
BIN(A,B,C,D) — значение состояний цифровых узлов А, В, С, D в двоичной системе;
DEC(A,B,C,D) — значение состояний цифровых узлов А, В, С, D в десятичной системе;
OCT(A,B,C,D) — значение состояний цифровых узлов А, В, С, D в восьмеричной системе;
+ — сумма двух двоичных, восьмеричных, шестнадцатеричных или десятичных чисел;
------разность двух двоичных, восьмеричных, шестнадцатеричных или десятичных чисел;
DIV — целочисленное деление двух двоичных, восьмеричных, шестнадцатеричных или десятичных чисел;
MOD — остаток после целочисленного деления двух двоичных, восьмеричных, шестнадцатеричных или десятичных чисел;
& — операция поразрядного логического И состояний двух цифровых узлов;
| — операция поразрядного логического ИЛИ состояний двух цифровых узлов;
л — операция поразрядного логического исключающего ИЛИ состояний двух цифровых узлов.
------Операция поразрядного логического отрицания состояния цифрового узла
113
Операторы обработки сигналов при построении графиков
HARM(u) — расчет гармоник сигнала и;
THD(S[,F]) — коэффициент нелинейных искажений спектра S, в процентах относительно уровня составляющей на частоте F; если частота F не указана, то относительно составляющей на частоте первой гармоники, равной 1/Ттах;
IHD(S[,F]) — коэффициент нелинейных искажений отдельных составляющих спектра S, в процентах относительно уровня составляющей на частоте F; если частота F не указана, то относительно составляющей на частоте первой гармоники, равной 1/Ттах;
FFT(u) — прямое преобразование Фурье дискретных отсчетов сигнала u(t). Отличается от функции HARM множителем N/2 для гармоник с первой до N-й и множителем N для нулевой гармоники, где N — количество дискретных отсчетов входного сигнала u(t);
IFT(S) — обратное преобразование Фурье спектра S;
CONJ(S) — сопряженный комплексный спектр S;
CS(S, S2) — взаимный спектр сигналов и и и, равный CONJ(FFT(S))*FFT(S2)*cm
AS(S) — собственный спектр сигнала u(t), равный CS(S, S);
CC(u,v) — взаимная корреляционная функция сигналов и и v, равная IFT(CONJ(FFT(v)‘FFT(u))*c/f,
AC(u) — автокорреляционная функция сигнала и, равная IFT(CONJ(FFT(u))*FFT(u))*<#
СОН(и,и) — нормированная корреляционная функция сигналов и и и, равная CC(u,v)/SQRT(AC(u(O))*AC(v(O)));
REAL(S) — действительная часть спектра S, рассчитанного с помощью FFT;
IMAG(S) — мнимая часть спектра S, рассчитанного с помощью FFT;
MAG(S) — модуль спектра S, рассчитанного с помощью FFT;
PHASE(S) — фаза спектра S, рассчитанного с помощью FFT.
Параметры моделей
Параметры моделей компонентов можно вывести в текстовой форме или на графики, используя ссылки на них в виде:
позиционное_обозначение_компонента.имя_параметра
Приведем несколько примеров:
Q1.BF — коэффициент усиления тока BF биполярного транзистора Q1;
М1 .GAMMA — параметр GAMMA МОП-транзистора М1;
114
J1 .VTO — пороговое напряжение VT0 полевого транзистора Л.
В связи с тем, что в процессе моделирования параметры моделей компонентов не изменяются, их графики представляют собой прямые линии. Тем не менее строить их имеет смысл при выполнении вариации параметров или статистических испытаниях по методу Монте-Карло, чтобы убедиться, что изменения параметров производятся в правильном диапазоне.
Правила использования выражений и переменных
1. Все параметры компонентов могут быть функцией времени Т (при анализе переходных процессов), произвольных напряжений и токов, температуры TEMP, комплексных переменной s и z (при анализе частотных характеристик).
Приведем примеры:
1.0/(1.0+.00Гэ) — передаточная функция фильтра низких частот, заданная с помощью преобразования Лапласа;
exp(-T/.5)*sin(2*PriO*T) — функциональный источник затухающего гармонического сигнала с частотой 10 Гц;
5.0 pF*(1+2e-6*T) — емкость конденсатора, зависящая от времени;
4.7 K*(1+.3*V(P,M)) — сопротивление резистора, зависящее от напряжения;
2.6 иН*(1+2*(ТЕМР-273)л2) — индуктивность, зависящая от температуры;
V(VCC)*I(VCC) — мгновенная мощность источника напряжения VCC;
SUM(V(VCC)‘I(VCC),T) — энергия источника VCC на интервале времени Т;
FFT(V(A)+V(B)) — преобразование Фурье от V(A)+V(B));
RMS(V(Out)) — текущее среднеквадратическое отклонение напряжения V(Out));
IM(V(7)) — мнимая часть комплексного напряжения в узле 7;
MAG(VCE(Q1)*IC(Q1)) — модуль комплексной мощности, выделяемой на устройстве Q1 при анализе частотных характеристик;
5*(Т>10 ns AND Т<20 ns) — одиночный импульс с амплитудой 5 В на интервале времени 10...20 нс;
5*((Т mod 50)>10 AND (Т mod 50)<20) — импульс с амплитудой 5 В на интервале времени от 10 с до 20 с, период 50 с.
2.	Значения операторов отношения и булевых операторов равно 1.0, если они истинны, и 0.0, если они ложны.
3.	Операторы AVG, DEL, RMS и SUM могут использоваться только при выводе данных и не могут использоваться в выражениях для параметров.
115
4.	ONOISE и INOISE могут использоваться только при АС анализе и их нельзя использовать в выражениях в совокупности с другими величинами, например с напряжениями,
5.	При вычислении преобразования Фурье FFT в режиме АС (при этом рассчитываются импульсные характеристики как функции времени Т) графики других переменных (напряжений, токов и т. п.) строятся неправильно. Поэтому их следует выводить на экран по отдельности в разных сеансах моделирования.
6.	В АС анализе все промежуточные вычисления выполняются с комплексными величинами. Однако при построении графиков указание имени переменной означает построение графика ее модуля. Например, указание имени переменной V(1) эквивалентно использованию функции вычисления модуля комплексной величины MAG(V(1)). И более того, спецификация выражения V(1)*V(2) приведет к построению модуля произведения двух комплексных напряжений. Для вывода мнимой части произведения используется запись IM(V(1)*V(2)), действительной части — RE(V(1)*V(2)).
7.	При моделировании в режимах АС и DC значение переменной Т (время) полагается равной нулю. При расчете переходных процессов и в режиме DC равной нулю полагается переменная F (частота).
8.	В выражениях для преобразования Лапласа передаточных функций может использоваться только символ S для обозначения комплексной переменной. При отсутствии в выражении для такой передаточной функции символа S выдается сообщение об ошибке. Поэтому преобразования Лапласа нельзя использовать для задания линейных блоков с постоянным коэффициентом передачи — в этих целях используйте другие типы управляемых источников сигналов.
9.	Комплексные величины можно использовать только в следующих функциях:
+, -, *, /, sqrt, pow, In, log, exp, cosh, sinh, tanh, coth.
В функциях другого типа комплексные величины заменяются их действительными частями, например, функция действительного переменного SIN при наличии комплексного аргумента С1 равна sin(C1)=sin(RE(C1)).
10.	Перед выполнением моделирования или составлением списка электрических соединений программа МС7 вычисляет значения всех операторов .DEFINE. В связи с этим применение этих операторов внутри оператора .MODEL могут привести к ошибка. Пусть, например, имеются два оператора
.define BF 111
.model Q1 NPN (BF=50 ...)
В результате подстановки в оператор .MODEL определения
116
.define BF 111 он приобретет неожиданный совершенно ошибочный вид:
.model Q1 NPN (111=50 ...)
Поэтому применение определений .DEFINE в директиве .MODEL недопустимо! В этих целях можно использовать идентификатор промежуточной переменной. В рассматриваемом примере это может быть:
.define VALUE 111
.model Q1 NPN (BF= VALUE ...)
Тогда после подстановки оператор .MODEL приобретет правильный вид:
.model Q1 NPN (BF=111 ...)
11.	Помните, что выражения в операторах определения переменных .DEFINE понимаются буквально. Пусть, например, имеются два определения
.define А 4+С
.define В А*Х
Следует иметь в виду, что выражение 4+С не подразумевается заключенным в скобки (4+С). Поэтому величина В равна 4+С*Х. Если же величина В должна быть равной (4+С)‘Х, скобки нужно проставить в определении величины А:
.define А (4+С)
2.7. Текстовые директивы
Директивы программы МС7 представляют собой текстовые выражения, начинающиеся с точки При графическом вводе схем они помещаются в окне текста или непосредственно в окне схем, при текстовом вводе в формате SPICE — в текстовом файле. В связи с этим МС7 имеет два набора директив: один для графического ввода схем, второй для текстовых файлов в формате SPICE. Приведем перечень всех директив программы МС7:
Формат SPICE	Схемный ввод
.АС	.DEFINE
DC	.IC
.END	.ENDS
.ENDS	.INCLUDE (.INC)
.IC	.LIB
.LIB	.NODESET
.MODEL	.MACRO
.NODESET	.MODEL
117
Формат SPICE	Схемный ввод
.NOISE	OPTIONS
OP	.PARAMETERS
OPTIONS (.ОРТ)	.SUBCKT (только в окне текста)
.PLOT	Только в окне текста
.PRINT	Только в окне текста
.SUBCKT	Только в окне текста
.TEMP	Только в окне текста
.TRAN	Только в окне текста
.INCLUDE (.INC)	Только в окне текста
Все директивы SPICE и большинство директив, помещаемых на схемах, совпадают с директивами известной программы PSpice [6], исключение составляют лишь директивы .DEFINE, .MACRO и .PARAMETERS. Приведем описания всех директив в алфавитном порядке с указанием областей их применения.
.АС — расчет характеристик в частотной области
Формат (только для файлов SPICE):
.AC [[LIN] | [DEC]] <п> <начальная частота> <конечная часто-та>
Эта директива задает диапазон частот в пределах сначальная частота^.. <конечная частотам Параметр LIN устанавливает линейный шаг по частоте, при этом п - общее количество точек по частоте. Параметр DEC устанавливает логарифмический характер изменения частоты декадами Параметр п определяет в таком случае количество точек по частоте на одной декаде. Расчет характеристик в частотной области производится после определения режима по постоянному току и линеаризации нелинейных компонентов (это делается автоматически, никаких дополнительных директив не требуется).
К схеме должен быть подключен один или несколько источников сигналов, имеющих параметры, задающие комплексные амплитуды (и фазы) входных сигналов. К ним относятся источники сигналов типа SIN, PULSE и USER, имеющих фиксированную амплитуду в режиме АС, равную 1 В, и независимые источники напряжения V и тока I в формате SPICE, для которых амплитуда и фаза в режиме АС задается специально.
.DC — многовариантный расчет режима по постоянному току
Формат (только для файлов SPICE):
118
DC <имя 1-й переменной> <начальное значение> <конечное значение> <приращение>
+ [<имя 2-й переменной> <начальное значение> <конечное значение <приращение>]
Расчет режима по постоянному току производится при вариации одного или двух источников постоянного напряжения или тока Если указаны спецификации двух варьируемых параметров, то первый параметр изменяется в заданных пределах для каждого значения второго параметра. Такой вложенный цикл удобен, в частности, для построения статических характеристик полупроводниковых приборов.
Максимальное количество итераций при переходе к следующему варианту по умолчанию устанавливается равным достаточно малой величине ITL2=20. Поэтому в схемах, чувствительных к вариациям параметров, могут возникнуть проблемы со сходимостью. В этих случаях рекомендуется по директиве .OPTIONS увеличить значениеITL2.
Приведем примеры
.DC VIN 0.5 5.0 0.25
.DC VDS 0 10 .5 VGS 0 5 1
.DEFINE — присвоение значений идентификаторам переменных
Формат (только для ввода схем):
.DEFINE <текст1> <текст2>
Выполняется замена простой текстовой переменной <текст1> сложной текстовой переменной <текст2>. Приведем примеры:
.DEFINE R1 TEMP*.1*EXP(-T/tau) — текстовая переменная R1 (обозначающая сопротивление резистора) заменяется выражением для ее вычисления TEMP*.1‘EXP(-T/tau); обратим внимание, что таким образом можно задать зависимость любого параметра схемы от времени, частоты и т. п., что реализуется в программе PSpice гораздо сложнее;
.DEFINE Q1 КТ312А — позиционное обозначение транзистора Q1 при моделировании заменяется типом транзистора КТ312А,
.DEFINE SQUAREWAVE
+ Ons 0
+ LABEL=START
+ +10ns 1
+ +10ns 0
+ +10ns GOTO START 10 TIMES — если при описании атрибутов цифрового сигнала STIM использовать переменную
119
SQUAREWAVE, в дальнейшем при моделировании она будет заменена текстовым описанием периодического сигнала.
.END — конец задания на моделирование
Формат (только для файлов SPICE):
END
Последняя директива в задании на моделирование. Если в одном файле имеются несколько заданий, в конце каждого из них должна быть включена эта директива.
.ENDS — конец описания макромодели
Формат (только для файлов SPICE):
.ENDS [имя макромодели]
Последняя директива описания макромодели. Необязательный параметр [имя макромодели] используется только для удобства чтения текстового файла.
.IC — задание начальных условий
Формат (для файлов SPICE или ввода схем):
.IC ^(аналоговый узел)=аналоговое_значение>* <0<цифровой узел>=логическое_значение>*
Примечание. Символ означает повторение величин, заключенных в скобки.
Задание начальных значений узловых потенциалов аналоговых узлов и логических значений цифровых узлов для расчета переходных процессов, режимов DC и АС. Значения этих потенциалов поддерживаются на заданном уровне при расчете режима по постоянному току с помощью подключения фиктивных источников напряжения. Далее при расчете переходных процессов эти источники отключаются. Если одновременно имеются директивы .IC и .NODESET, то начальные значения устанавливаются согласно директиве . IC, директива .NODESET игнорируется.
Заметим, что использовать директиву .IC для задания напряжения на индуктивности или источнике переменного сигнала бессмысленно, так как при Т=0 они полагаются равными нулю.
При использовании директивы .IC нужно иметь в виду следующее:
1.	Если выполняется расчет переходных процессов при включенной опции Operating Point или расчет в режиме АС, то предварительно всегда выполняется расчет режима по постоянному току. При этом расчете фиксируются напряжения, установленные в ди
120
рективе .IC;
2.	Если расчет переходных процессов выполняется при выключенной опции Operating Point, то расчет режима по постоянному току не выполняется.
Примеры:
.IC V(4)=1.58 V(12)=0.31
.IC V(16)=-1 D(CLOCK)=X
.INCLUDE — включение текстового файла
Формат (для файлов SPICE или ввода схем):
.INCfLUDE] <“имя_файла">
Копирование директив, помещенных в текстовом файле, в текущую схему перед выполнением моделирования. Имя файла может включать имя диска и полный путь к нему. Заключать имя файла в кавычки не обязательно. В основном используется для подключения файлов библиотек математических моделей небольшого размера. В связи с тем, что текстовые файлы включаются в описание схемы целиком, для подключения больших библиотек целесообразнее использовать директиву .LIB, подключающую только описания тех моделей, на которые в схеме есть ссылки.
Пример: .INCLUDE D:\MC7\exdef.txt
.LIB — подключение файлов библиотек компонентов
Формат (для файлов SPICE или ввода схем):
.LIB [“имя файла библиотеки"]
В текстовом файле библиотеки с указанным именем содержится описание встроенных моделей одного или нескольких компонентов (параметры каждого компонента вводятся по директивам .MACRO, .MODEL или .SUBCKT/.ENDS). В этом же файле могут быть помещены комментарии и обращения к другим директивам .LIB. Применение директивы .LIB служит альтернативой и одновременно дополнением размещению описаний моделей непосредственно в окне текста схемы или в файле SPICE. Имя файла может включать имя диска и полный путь к нему. Заключать имя файла в кавычки не обязательно. Расширение имени файлам библиотек по умолчанию не назначаются. Поэтому расширение имени, например .LIB, должно быть указано в явном виде. В этом файле могут быть использованы только директивы .MACRO, .MODEL, .SUBCKT, .ENDS или .LIB. Другие директивы не допускаются. Строки, начинающиеся с символа игнорируются целиком. Игнорируются также фрагменты строк после символа
При указании в задании на моделирование имени какого-либо
121
конкретного компонента, модель которого содержится в библиотечном файле, в ОЗУ загружается не весь файл, а только его часть, относящаяся к данному компоненту.
Если имя файла не указано, то по умолчанию загружается файл NOM.LIB. Но в связи с тем, что этот файл просматривается всегда, то ввод директивы .LIB без указания имени файла имеет смысл применять для изменения порядка просмотра файлов библиотек (см. ниже). Наиболее часто ее применяют для указания имен файлов библиотек, отсутствующих в NOM.LIB или имеющих другой вариант модели какого-нибудь компонента.
Приведем примеры:
.LIB “KT315A.mod” — подключение файла описания модели транзистора КТ315А, находящегося в подкаталоге \MC7\D АТА;
.LIB “D:\MC7\DATA\RUS\d.lib" — подключение библиотеки диодов, находящейся на диске D в подкаталоге \MC7\DATA\RUS.
В каталоге MC7\DАТА\ должен находиться файл NOM.LIB, в котором перечислены директивы подключения всех используемых библиотек. Приведем пример этого файла, поставляемого вместе с МС7 и дополняемого пользователем:
.lib "utility.Ibr"
.lib "diode.lbr"
.lib "linear.lib"
.lib "nation.lib"
.lib "analog.lib"
.lib "digio.llb"
.lib "digOOO.lib"
.LIB "D:\MC7\DATA\RUS\qrus.lib"
.LIB "D:\MC7\DATA\ RUS\d.lib"
.LIB "D:\MC7\DATA\ RUS\digit.lib"
В случае, когда одна и та же модель имеет различные описания находящихся в разных файлов, необходимо учитывать, в каком порядке программа МС7 выполняет поиск моделей:
•	в окне текста;
•	в файле, имя которого указано в атрибуте FILE (если таковой имеется);
•	в файлах, перечисленных в директиве .LIB;
•	в файлах, перечисленных в файле NOM.LIB, просматриваемом по умолчанию
.MACRO — задание определений макросов
Формат (только для ввода схем):
122
.MACRO <имя макроса> <имя параметра схемы(список значений параметров)>
Подобно директиве .DEFINE определяет имя макроса и набор значений параметров, подставляемых в схему. Используется для более компактной записи параметров моделей на схеме, где вместо длинного определения набора параметров записывается имя макроса.
Пример-
.MACRO MY_SCR SCR(50m,40m,1u,1,50,50Meg,30u,105,1) Здесь дано определение макроса MY_SCR, который в описании модели тиристора будет заменен списком параметров SCR(50m,40m,1 и, 1,50,50Мед,30и,105,1).
.MODEL — описание модели компонента
Формат (для файлов SPICE или ввода схем);
.MODEL <имя модели> [АКО:<дмя модели прототипа>] <имя типа модели>
+ ([[<имя параметра>=<значение>] [LOT=<pas6poc1>[%]] [DEV=<pa36poc2>[%]]]‘
+ [Т_АВЗ>=<значенае>] или [T_REL_GLOBAL=<3wa4ewue>] или
+ [T_REL_LOCAL=<3wa4eHi/e>])
Здесь <имя модели> — имя модели компонента схемы, назначаемое пользователем произвольно, например RLOAD, KT315V, D104. После ключевого слова АКО (A Kind Of) помещается ссылка на имя модели прототипа, что позволяет указывать только значения различающихся параметров. Тип компонента определяется <именем типа модели>, как указано в табл. 2.14.
Таблица 2.14
Тип компонентов
Имя типа модели	Тип компонента
Аналоговые компоненты	
RES	Резистор
САР	Конденсатор
CORE	Магнитный сердечник трансформатора
IND	Индуктивность
D	Диод
GASFET	Арсенид-галлиевый полевой транзистор с каналом
	л-типа
123
Имя типа модели	Тип компонента
IGBT	Статически индуцированный биполярный транзистор
NPN	Биполярный л—р—л-транзистор
PNP	Биполярный р—п—р-транзистор
LPNP	Боковой биполярный р—п—р-транзистор
NJF	Полевой транзистор с каналом л-типа
PJF	Полевой транзистор с каналом р-типа
NMOS	МОП-транзистор с каналом л-типа
PMOS	МОП-транзистор с каналом р-типа
TRN	Линия передачи
VSWITCH	Ключ, управляемый напряжением
ISWITCH	Ключ, управляемый током
ОРА*	Операционный усилитель
PUL*	Источник импульсного сигнала
SIN*	Источник синусоидального сигнала
Устройства интерфейса	
DINPUT	Аналого-цифровой интерфейс
DOUTPUT	Цифроаналоговый интерфейс
Цифровые устройства	
UADC	Аналого-цифровой преобразователь
UDAC	Цифроаналоговый преобразователь
UIO	Модель входа/выхода цифрового устройства
UGATE	Стандартный вентиль
UTGATE	Вентиль с тремя состояниями
UBTG	Двунаправленный переключающий вентиль
UEFF	Триггер с динамическим управлением
UGFF	Триггер с потенциальным управлением
UDLY	Цифровая линия задержки
UPLD	Программируемые логические матрицы
* Используются только при графическом вводе схем	
В директиве .MODEL в круглых скобках указывается список значений параметров модели компонента (если этот список отсутствует или не полный, то недостающие значения параметров модели назначаются по умолчанию). Приведем примеры этой директивы:
124
.MODEL RLOAD RES (R=1.5 TC1=0.2 TC2=.OO5)
MODEL D104 D (IS=1E-10)
.MODEL KT315V NPN (IS=1E-11 BF=50 DEV=5% LOT=20%)
.MODEL CK CAP (C=1 DEV=0.1)
.MODEL KT315G AKO:KT315A NPN (BF=130)
.MODEL M1 NMOS (Level=3 VT0=2.5 LOT=30% DEV=1%)
Каждый параметр может принимать случайные значения относительно своего номинального значения, задаваемых с помощью ключевых слов:
DEV — ключевое слово параметров, принимающих независимые случайные значения;
LOT — ключевое слово параметров, принимающих коррелированные случайные значения.
После этих ключевых слов указывается значение разброса случайного параметра в абсолютных единицах или в процентах. Тип закона распределения случайных параметров — равномерный или нормальный — указывается при проведении моделирования.
Температура, при которой измерены параметры компонентов, указывается по директиве .OPTIONS TNOM. В ее отсутствие она принимается равной значению параметра TNOM, устанавливаемому в окне Global Settings (по умолчанию 27° С). Анализ выполняется при температуре, называемой глобальной и устанавливаемой по директиве .TEMP (в ее отсутствие она равна значению параметра TNOM. Кроме того, можно установить физическую температуру каждого компонента, заменяя глобальную температуру с помощью одного из параметров:
1)	значение абсолютной температуры устройства T_ABS;
2)	относительную температуру T_REL_GLOBAL, так что абсолютная температура равна глобальной температуре плюс значение параметра T_REL_GLOBAL;
3)	относительную температуру T_REL_LOCAL, так что абсолютная температура данного устройства равна абсолютной температуре устройства-прототипа (модель АСО) плюс значение параметра T_REL_LOCAL.
.NODESET — задание начального приближения режима по постоянному току
Формат (для файлов SPICE или ввода схем):
.NODESET <\/(аналоговый узел)=значение_ЭДС>*
+ <0(цифровой узел)=логическое_значение>*
По директиве .NODESET установка приближенных начальных значений узловых потенциалов аналоговых узлов и логических состояний цифровых узлов для расчета режима схемы по постоян
125
ному току. В отличие от директивы JC состояния этих узлов фиксируются только во время вычислений одной итерации расчета режима по постоянному току. Это необходимо при расчете устройств с несколькими устойчивыми состояниями (например, триггеров) и полезно для улучшения сходимости при анализе режима по постоянному току сложных схем. Если имеются обе директивы .NODESET и .IC, то директива .NODESET игнорируется.
Примеры:
.NODESET V(12)=3.1 V(34)=1.7V
.NODESET V(7) D(H1)=1
.NOISE — расчет уровня спектральной плотности шума
Формат (для файлов SPICE):
.NOISE \/(<узел 1>[,<узел2>]) <имя источника> [л]
По директиве .NOISE выполняется расчет спектральной плотности уровня шума в режиме малого сигнала. Параметры этой директивы переносятся в соответствующее диалоговое окно Analysis Limits режима AC analysis. В этом окне пользователь должен в графах Y Expression указать имя переменной ONOISE или INOISE, выводимой на графики, а также задать диапазон частот анализа. Заметим, что одновременно со спектральными плотностями шума нельзя выводить на графики другие переменные. Например, нельзя одновременно построить графики ONOISE и V(Out).
Источникам шума служат резисторы, ключи и полупроводниковые приборы, шумовые схемы замещения которых приведены в [6]. На каждой частоте f рассчитывается спектральная плотность выходного напряжения Su вь,х (0. В2/Гц, обусловленная наличием статистически независимых источников внутреннего шума. Точки съема выходного напряжения указываются по спецификации У(<узел1>[,<узел2>]). К входным зажимам цепи подключается независимый источник напряжения или тока, имя которого (<имя источника>) указано в списке параметров директивы .NOISE. Этот источник не является источником реального сигнала, он служит лишь для обозначения входных зажимов цепи, к которым пересчитывается выходной шум. Если ко входу подключается источник напряжения, то на входе рассчитывается эквивалентная спектральная плотность напряжения SUBX3K (/), В2/Гц; если ко входу подключен источник тока, то рассчитывается эквивалентная спектральная плотность тока	А2/Гц. Уровень
шума пересчитывается с выхода на вход делением спектральной плотности выходного напряжения 5ивых на квадрат модуля соответствующей передаточной функции. Заметим, что внутреннее сопротивление реального генератора сигнала Rr должно быть включено в описание цепи как отдельный резистор.
126
Если указан целочисленный параметр <п>, то на каждой л-й частоте в диапазоне анализа будет рассчитываться не только спектральная плотность суммарного шума, но и вклад в нее каждого шумового источника. Если параметр <п> не указан, то этот расчет не производится.
Приведем примеры
.NOISE V(5) Vln
.NOISE V(101) VSRC 20
.NOISE V(4, 5) ISRC
.OP — вывод результатов расчета режима по постоянному току
Формат (для файлов SPICE):
.OP
В программе PSpice эта команда указывает на необходимость вывода в выходной текстовый файл результатов расчета режима по постоянному току. В связи с тем, что в программе МС7 эти данные всегда выводятся в окне Numeric Output и помещаются в файлы <имя схемь/xTNO, < имя схемь/xANO, эта директива не нужна.
.OPTIONS — задание системных параметров
Формат (для файлов SPICE или ввода схем):
.OPTIONS [имя опции]* [<имя опции> = <значение>]*
Директива изменяет для рассматриваемой схемы значения системных параметров, задаваемых в окне Global Setting (см. табл. 2.8). Опции перечисляются в любом порядке. Они подразделяются на два вида: 1) опции, имеющие численное значение; 2) опции, не имеющие численного значения (их можно назвать флагами, находящимися в положении “включено” или “выключено”).
Например:
.OPTIONS GMIN=1 е-9 RELTOL=1 е-4 VNTOL=1 п
.OPTIONS NOOUTMSG
.PARAMETERS — задание параметров схем
Формат (для ввода схем):
.PARAMETERS(<uw? параметра 1>[,<имя параметра>]*)
Задание параметров и констант, передаваемых в макромодель. Эта директива помещается на схеме макромодели в виде текста и определяет значения параметров, которые должны передаваться из основной схемы. В основной схеме все упоминаемые в этой ди
127
рективе параметры должны быть определены с помощью директивы .DEFINE.
Примеры:
.parameters(GBW,Slew,ISC)
.PARAMETERS(Gain)
.PLOT — вывод графиков
Формат (для файлов SPICE):
.PLOT <тип анализа> <выходная переменная>*
+ [(<нижняя граница>,<верхняя граница>)]*
Результаты в виде графиков выводятся в окне Analysis Plot. Параметр <тип анализа> может принимать значения AC, DC, NOISE или TRAN; <выходная переменная> может быть узловым потенциалом, током источника сигнала или состоянием цифрового узла.
Примеры:
.PLOT AC V(10) V(1,2) (0,10)
.PLOT TRAN V(1) D(2)
.PRINT — вывод таблиц
Формат (для файлов SPICE):
.PRINT <тип анализа> <выходная переменная>*
Задание вывода таблиц результатов анализа окне Numeric Output. Параметр <тип анализа> может принимать значения АС, DC, NOISE или TRAN. <выходная переменная> может быть узловым потенциалом, током источника сигнала или состоянием цифрового узла. Содержание окна Numeric Output сохраняется в одном из следующих файлов:
<	имя схемы>.~[ЫО — анализ переходных процессов;
<	имя схемы >.ANO — режим АС;
<	имя схемы >.DNO — режим DC.
Формат данных в таблицах определяется в поле FMT окна Numeric Output, количество знаков в строке — параметром WIDTH. Параметр FMT определяет количество значащих цифр после десятичной точки, например FMT=5.3 — 3 знака после десятичной точки. Он связан с параметром NUMDGT, задаваемого с помощью директивы .OPTIONS (см. табл. 2.8) соотношением FMT=1.(NUMDGT-1).
Примеры:
.PRINT AC V(1) V(1,2)
.PRINT TRAN V(1)D(3)
.SUBCKT — описание макромодели
Формат (для файлов SPICE или ввода в текстовом окне схем):
128
.SUBCKT <имя макромодели> <список узлов>
+ [0PTI0NAL«yse/7 интерфейса>=<значение по умолчанию»*]
+ [PARAMS:«u/w? параметра>=<значение по умолчанию»*]
+ [ТЕХТ:«имя текстовой переменной>=<текст по умолчанию»*]
{описание компонентов}
.ENDS [имя макромодели]
Отдельные фрагменты цепи или схемы замещения компонентов имеет смысл оформлять в виде макромоделей. Описание макромодели начинается директивой .SUBCKT и заканчивается директивой .ENDS. Между ними помещаются описания компонентов, входящих в состав макромодели. Ключевое слово OPTIONAL используется для спецификации одного или более необязательных узлов макромодели — указываются имя узла и его значение по умолчанию. Если при вызове макромодели эти узлы не указываются, используются их значения по умолчанию, что удобно для задания источников питания цифровых устройств. После ключевого слова PARAMS приводится список параметров, значения которых передаются из основной цепи в макромодель. После ключевого слова TEXT — текстовая переменная, передаваемая из описания основной цепи в описание макромодели (используется только при моделировании цифровых устройств).
При использовании графического ввода схем символ макромодели включается в нужное место схемы, как обычный компонент. Включение макромодели в нужное место цепи при использовании текстового ввода осуществляется предложением
Хххх <список узлов> <имя макромодели>
+ [PARAMS:«umh параметра» <значение»*]
+ [ТЕХТ:«имя текста>=<текст»*]
Приведем пример текстового ввода схемы, содержащей макромодель BLOCK:
Х1 8 22 BLOCK
.SUBCKT BLOCK 1 2
R1 1 0 1k
R2 1 2 2k
.ENDS BLOCK
.TEMP — вариация температуры
Формат (для файлов SPICE):
.TEMP <температура>*
5—1361
129
Здесь указывается список значений температуры (по шкале Цельсия), для которых следует выполнить все указанные в задании директивы анализа характеристик. Если указано несколько значений температуры, то все виды анализа проводятся для каждой температуры. Если директива .TEMP не приведена, а в директиве .OPTIONS не указано значение температуры TNOM, то расчеты проводятся для номинальной температуры 27 °C.
.TRAN — анализ переходных процессов
Формат (для файлов SPICE):
.TRAN <шаг вывода данных> <конечное время> + [<начальный момент времени вывода данных> + [<максимальный шаг>]] [UIC]
Переходные процессы всегда рассчитываются с момента t = 0 до момента <конечное время>. Перед началом расчета переходных процессов рассчитывается режим по постоянному току. Шаг интегрирования выбирается автоматически Результаты вычислений выводятся в виде таблиц или графиков с интервалом времени, задаваемым параметром <шаг вывода данных> (применяется квадратичная интерполяция между дискретными отсчетами). Если задан параметр <начальный момент времени вывода данных>, то вывод результатов расчетов подавляется на интервале времени от f=0 до указанного значения. Максимальное значение шага интегрирования устанавливается параметром максимальный шаг>\ если он не указан, то максимальный шаг интегрирования устанавливается равным <конечное время>/50.
Режим по постоянному току определяет начальные условия для расчета переходных процессов. Это связано с тем, что значения источников сигналов в момент t=0 могут отличаться от их постоянных составляющих. Если в конце директивы .TRAN указать параметр UIC (Use Initial Conditions), то расчет режима по постоянному току отменяется. При этом начальные значения напряжений на емкостях и токов через индуктивности указываются в опциях вида 1С= .... включенных в описания конденсаторов и индуктивностей, а начальные значения узловых потенциалов указываются в директиве ,1С.
При моделировании смешанных аналого-цифровых цепей шаги интегрирования в аналоговых и цифровых устройствах выбираются разными независимо друг от друга. Шаг интегрирования цифровой части определяется значениями задержек в цифровых компонентах. Его минимальное значение определяется параметром DIGFREQ, задаваемым в окне Global Setting (см. табл. 2.8), оно равно 1/DIGFREQ. По умолчанию DIGFREQ=10 ГГц, но не более 101s/TSTOP. Задержками меньше половины шага интегрирования пренебрегают.
130
При отсутствии сходимости расчета переходного процесса рекомендуется в окне Global Setting увеличить максимальное количество итераций на одном временном шаге ITL4 (по умолчанию ITL4=10).
Допустимая относительная ошибка расчета токов и напряжений задается опцией RELTOL (по умолчанию 1СГ3), а абсолютные ошибки токов, зарядов и напряжений — опциями ABSTOL (по умолчанию 10“12 A), CHGTOL (по умолчанию 1СГ14 Кл), VNTOL (по умолчанию 1СГ6 В). Однако нельзя устанавливать абсолютные ошибки чрезмерно малыми. В частности, при анализе сильноточных или высоковольтных цепей задание абсолютных ошибок по умолчанию может привести к потере точности вычислений из-за ограниченности разрядной сетки ЭВМ.
Приведем примеры:
.TRAN 5ms 500ms
.TRAN 5ms 500ms 100ms 2.5ms UIC
Замечания. 1. В версиях старше Design Center 6.2 вместо UIC используется ключевое слово SKIPBP (Skip Bias Point).
2. Параметры директивы .TRAN переносятся в окно Transient Analysis Limits программы MC7 и при их редактировании может быть задано не нулевое начальное время моделирования (см. разд. 3.1).
131
Глава 3
ВЫПОЛНЕНИЕ МОДЕЛИРОВАНИЯ
После того, как нарисована принципиальная схема или создано ее текстовое описание, переходят к расчету характеристик, выбирая в меню Analysis (см. рис. 1.1) один из видов анализа:
Transient, Alt+1 — расчет переходных процессов;
AC, Alt+2 — расчет частотных характеристик;
DC, Alt+3 — расчет передаточных функций по постоянному току (при вариации постоянной составляющей одного или двух источников сигналов, вариации температуры или параметров моделей компонентов);
Dynamic DC, Alt+4 — расчет режима по постоянному току и динамическое отображение на схеме узловых потенциалов, токов ветвей и рассеиваемой мощности;
Transfer Function, Alt+5 — расчет малосигнальных передаточных функций в режиме по постоянному току;
Sensitivity, Alt+6 — расчет чувствительности режима по постоянному току.
3.1.	Анализ переходных процессов (Transient Analysis)
После перехода в режим анализа переходных процессов программа МС7 проверяет правильность составления схемы. При наличии ошибок выводится информационное сообщение, пример которого показан на рис. 3.1.
Рис. 3.1. Сообщение об отсутствии модели диода KD220A
132
При отсутствии ошибок в схеме программа составляет ее топологическое описание, выполняет подготовку к численному расчету переходных процессов и открывает окно задания параметров моделирования Transient Analysis Limits.
3.1.1.	Задание параметров моделирования Transient Analysis Limits
В окне задания параметров расчета переходных процессов, показанном на рис. 3.2 и открывающимся нажатием клавиши F9 или
пиктограммы имеются следующие разделы.
Рис. 3.2. Задание параметров расчета переходных процессов
Команды:
Run — начало моделирования (щелчок на пиктограмме в строке инструментов или нажатие F2 также начинает моделирование). Моделирование может быть остановлено в любой момент нажатием на пиктограмму ПЕ] или клавишу Esc. Последовательные нажатия на пиктограмму LllJ прерывают и затем продолжают моделирование;
Add— добавление еще одной строки спецификации вывода результатов после строки, отмеченной курсором. На этой строке устанавливается способ отображения результатов и аналитические выражения для построения графиков. При наличии большого количества строк, не умещающихся на экране, появляется линейка прокрутки;
Delete — удаление строки спецификации вывода результатов, отмеченной курсором;
133
Expand — открытие дополнительного окна для ввода текста большого размера при расположении курсора в одной из граф, содержащих выражения, например Y Expression;
Stepping — открытие диалогового окна задания вариации параметров (см. разд. 3.4 и рис. 3.13);
Properties — открытие диалогового окна, имеющего 5 или 6 закладок: Plot— управление выводом графиков на экран и на принтер, Scales and Formats — выбор масштабов по осям координат, Colors, Fonts and Lines — выбор цвета объектов, параметров шрифта и типа линий, Header— нанесение заголовков в выходных числовых данных, Save Curves выбор одной или нескольких переменных для сохранения в файлах User Source (рис. 3.3), только в режимеиТгапэ1еп1, Tool Ваг— нанесение пиктограмм команд на панель инструментов);
Help— вызов раздела Transient Analysis системы помощи.
Рис. 3.3. Диалоговое окно Properties (закладка Save Curves)
Числовые параметры:
Time Flange — спецификация конечного и начального времени расчета переходных процессов по формату Ттах[, Tmin]', по умолчанию назначается Ттт=0 (отрицательные значения моментов времени недопустимы). Например, спецификация “1.2ms,0.4ms” задает интервал моделирования от 0,4 до 1,2 мс;
Maximum Time Step — максимальный шаг интегрирования. Расчет переходных процессов ведется с переменных шагом, выбираемым автоматически, величина которого определяется допустимой относительной ошибкой RELTOL. Максимальная величина ша
134
га интегрирования равна заданному значению. Если этот шаг не задан (или задан равным нулю), то максимальный шаг интегрирования полагается равным (Ттах—7777/л)/5О;
Number of Points— количество точек, выводимых в таблицы, т. е. количество строк в таблице вывода результатов по умолчанию принимается равным 51, минимальное значение 6. Если заданные моменты времени не совпадают со значениями, при которых проводился численный расчет, то производится интерполяции Интервал вывода данных равен
(Tmax—Tmiri)/(<Number of Points>—]).
Эта опция доступна, если нажатием на одну из пиктограмм И. выбран вывод переменных в текстовый выходной файл (Numeric Output).
Temperature — диапазон изменения температуры в градусах Цельсия; при выборе параметра Linear имеет формат High^Low^Step]]-, если параметр Step (шаг) опущен, то выполняется анализ при двух значениях температуры Low (минимальной) и High (максимальной), если опущены оба параметра Low и Step, то расчет проводится при единственной температуре, равной High', при выборе параметра List указывается список температур, разделяемых запятыми. При изменении температуры изменяются параметры компонентов, имеющие ненулевые температурные коэффициенты ТС, а также ряд параметров полупроводниковых приборов. Значение установленной здесь температуры может использоваться в различных выражениях, она обозначается как переменная TEMP.
Вывод результатов моделирования:
Ниже раздела “Числовые параметры" и слева от раздела “Выражения” расположена группа пиктограмм. Нажатие каждой пиктограммы определяет характер вывода данных, задаваемых в той же строке. Имеются следующие возможности:
Щ [И х Log/Linear Scale — переключение между логарифмической и линейной шкалой по оси X. При выборе логарифмической шкалы диапазон изменения переменной должен быть положительным;
JSI IHU Y Log/Linear Scale — переключение между логарифмической и линейной шкалой по оси Y. При выборе логарифмической шкалы диапазон изменения переменной должен быть положительным;
 Color — вызов меню для выбора одного из 16 цветов для окрашивания графиков. Кнопка окрашивается в выбранный цвет;
135
Numeric Output— при нажатии этой кнопки в текстовый выходной файл заносится таблица отсчетов функции, заданной в графе Y Expression. Запись производится в файл “<имя схе-мь/xTNO”. Таблица просматривается в окне Numeric Output (открывается нажатием клавиши F5). Количество отсчетов функции (число строк в таблице) задается параметром Number of Points в разделе Числовые параметры;
Plot Group — в графе Р числом от 1 до 9 указывается номер графического окна, в котором должна быть построена данная функция. Все функции, помеченные одним и тем же номером, выводятся в одном окне. Если это поле пусто, график функции не строится.
Выражения:
X Expression — имя переменной, откладываемой по оси X. Обычно при анализе переходных процессов по этой оси откладывается время (переменная Т), однако это не всегда так. Так при расчете спектра сигнала с помощью преобразования Фурье (FFT) по оси X откладывается частота (переменная F, как показано на рис. 3.2), а при расчете петли гистерезиса ферромагнетика — напряженность магнитного поля, например Н(К1);
Y Expression — математическое выражение для переменной, откладываемой по оси Y. Это может быть простая переменная типа напряжения в узле V(5), падения напряжения на двухполюсном компоненте V(L1), тока ветви 1(2,3), 1(1-1) или математическое выражение, например V(VCC)*I(VCC) (см. разд. 2.5). После щелчка правой копки мыши при расположении курсора в любой графе Y Expression открывается показанное на рис. 3.2 всплывающее меню, позволяющее выбрать из предлагаемых списков переменные и константы, размещаемые в этих графах;
X Range — максимальное и минимальное значение переменной X на графике по формату High[,Low]. Если минимальное значение Low равно нулю, его можно не указывать. Для автоматического выбора диапазона переменных в этой графе указывается Auto. В этом случае сначала выполняется моделирование, в процессе которого графики строятся в стандартном масштабе и затем автоматически перестраиваются;
Y Range — максимальное и минимальное значение переменной Y на графике; если минимальное значение равно нулю, его можно не указывать. Для автоматического выбора диапазона переменных в этой графе указывается Auto.
Опции:
Run Options— управление выдачей результатов расчетов;
136
Normal — результаты расчетов не сохраняются,
Save — сохранение результатов расчетов в бинарном файле <имя схемы>.Т8А,
Retrieve — считывание последних результатов расчета из фай-па<имя схемы>.Т5А, созданного ранее, При этом производится построение графиков и таблиц переходных процессов, как после обычного расчета.
State Variables — установка начальных условий:
Zero — установка нулевых начальных условий для потенциалов всех аналоговых узлов и токов через индуктивности и неопределенных логических состояний “X" для цифровых узлов,
Read — чтение начальных условий из бинарного файла <имя схемы>.ТОР, созданного с помощью State Variables Editor, перед каждым вариантом расчета при изменении температуры или другого параметра,
Leave — установка в качестве начальных условий значений, полученных при окончании расчета предыдущего варианта. При расчете первого варианта они полагаются нулевыми. Если в предыдущем варианте рассчитывался только режим по постоянному току, то в качестве начальных значений будут приняты параметры этого режима.
Operation Point — выполнение расчета режима по постоянному току перед началом каждого расчета переходных процессов. Данные этого режима заменяют значения всех начальных условий, если они были установлены;
Operation Point Only — расчет только режима по постоянному току (расчет переходных процессов не производится);
Auto Scale Panges — присвоение признака автоматического масштабирования “Auto” по осям X, Y для каждого нового варианта расчетов. Если эта опция выключена, то принимаются во внимание масштабы, указанные в графах X Pange, Y Range
Щелчок курсором по одной их панелей Р, X Expression,..., ... Y Range открывает всплывающие окна, пример которых приведен на рис. 3.2.
Еще одна кнопка на панели инструментов открывает режим анимации (Animate);
3.1.2.	Использование клавиши Р
После выполнения команды Run начинается моделирование, и в процессе получения результатов на экран выводятся их графики После нажатия клавиши Р в нижней части графического окна справа от обозначения каждой переменной выводятся их текущие чис-
137
ленные значения (рис. 3.4). Этот способ удобен для контроля за моделированием медленно протекающих процессов, диапазон изменения которых заранее не известен (так что текущие результаты могут быть не видны на экране). Однако моделирование при этом значительно замедляется, поэтому после просмотра наиболее интересного фрагмента данных следует выключить этот режим повторным нажатием клавиши Р.
Рис. 3.4. Нажатие клавиши Р выводит на экран текущие численные значения всех переменных
3.1.3.	Меню режимов расчета переходных процессов Transient
После перехода в режим расчета переходных процессов меняется состав меню команд (см. рис. 1.6). Появляется новый режим Transient, имеющий следующие команды.
ЛЛ> лл>
Run (F2) — выполнение моделирования;
Limits (F9) — задание пределов моделирования и построе-
нияi графиков (см. разд. 3.1.1);
Stepping (F11) — вариация параметров (см. разд. 3.4);
Optimize (Ctrl+F11) — параметрическая оптимизация;
Analysis Window (F4) — открытие графического окна ре
138
зультатов моделирования;
Watch (Ctrl+W) — одновременный просмотр схемы, результатов моделирования и текстовых выражений в трех окнах;
Breackpoint (Alt+F9) — остановка моделирования в заданной точке;
3D Windows (трехмерная графика):
JS Add 3D Window — добавление окна трехмерной графики (см. рис. 1.13),
а Delete 3D Window — удаление окна трехмерной графики;
Performance Windows (параметрическая зависимость [8]):

Add Performance Window — добавление окна параметриче-
ской зависимости,
IT
Delete Performance Window — удаление окна параметриче-
ской зависимости;
±=±1 Thumb Nail Plot — размещение графика текущего окна малого размера в верхнем правом углу экрана;
Numeric Output (F5) — вывод на экран численных результатов в табличной форме (см. разд. 3.1.5);
_£J State Variables Editor (F12) — вызов редактора значений переменных состояния (см. разд. 3.1.4);
DSP Parameters — открытие диалогового окна DSP (Digital Signal Processing — цифровая обработка сигналов), в котором задаются границы интервала времени для расчета спектральных плотностей (Upper Time Limit, Lower Time Limit) и количество отсчетов Number of Points (должно быть в точности равно степени числа 2 в интервале от 64 до 8192), рис. 3.5. Заданные значения принимаются во внимание, если в графе Status нажать кнопку Оп. Эти данные необходимы для того, чтобы при расчете спектров периодических процессов выделить на участке установившегося режима интервал времени, равный периоду первой гармоники;
Reduce Data Points — открытие диалогового окна сокращения объема информации, выводимой на графиках и сохраненной в файлах, рис. 3.6;
Exit Analysis (F3) — завершение режима анализа и возвращение в окно схем.
139
Рис. 3.5. Окно задания интервала времени для спектрального анализа
Рис. 3.6. Окно сокращения объема выводимой информации
3.1.4.	Задание начальных значений и редактирование переменных состояния
Состояние электрической схемы полностью описывается потенциалами ее аналоговых узлов, токами через индуктивности и логическими состояниями цифровых узлов. Перед началом расчета переходных процессов их значения должны быть определены. В программе МС7 для этого используются следующие возможности.
Перед первым расчетом переходных процессов какой-нибудь схемы, если не используется редактор State Variables Editor, все переменные состояния полагаются равными нулю, а состоянию цифровых узлов присваивается состояние неопределенности "X'.
При последующих расчетах той же схемы, выполняемых нажа
140
тием клавиши F2 или кнопки Run, начальные условия устанавливаются в соответствии со значениями параметра State Variables, установленного в окне Analysis Limits. Здесь имеются три варианта:
Zero — установка нулевых начальных условий для потенциалов всех аналоговых узлов и токов через индуктивности. Цифровым узлам присваиваются неопределенные логические состояния “X”, а выходным состояниям триггеров Q, QB присваиваются значения “О”, “1” или “X” в соответствии со значением глобального параметра DIGINITSTATE, устанавливаемым в окне Global Setting;
Read — чтение начальных условий из бинарного дискового файла <имя схемы>.ТОР, созданного ранее с помощью State Variables Editor, перед каждым вариантом расчета при изменении температуры или другого параметра,
Leave — программа МС7 ничего не делает с начальными условиями, она оставляет их без изменений. Здесь возможны три варианта:
первый расчет — если не используется редактор State Variables Editor, все переменные состояния полагаются равными нулю,
последующие расчеты — установка в качестве начальных условий значений, полученных при окончании расчета переходного процесса в предыдущем варианте;
редактирование — если переменные состояния редактировались с помощью State Variables Editor, то их значения принимаются в качестве начальных условий.
Используя эти начальные условия, производится расчет режима по постоянному току при включении источников питания (если включена опция Operation Point, см. рис. 3.2), начальные условия переопределяются и затем рассчитываются переходные процессы при включении источников переменных сигналов. Если же опция Operation Point выключена, то переходные процессы рассчитываются с первоначально заданными начальными условиями. При включении опции Operation Point Only переменные состояния принимают значения, определенные в режиме по постоянному току и отображаются в окне State Variables Editor.
Окно State Variables Editor. Редактор значений переменных vx
состояния, вызываемый нажатием пиктограммы ._2J, имеет меню,
показанное на рис. 3.7. В трех колонках располагаются значения узловых потенциалов Node Voltages, токов через катушки индуктивностей Inductor Currents и логическими состояниями цифровых узлов Node Levels. Узловые потенциалы помечаются именами узлов, а в их отсутствие номерами узлов, токи через катушки индуктивностей — их позиционными обозначениями, логические состоя-
141
Рис. 3 7 Редактор переменных состояния State Variables Editor
ния цифровых узлов (если они имеются в схеме) — именами или номерами узлов. В начальный момент времени эти переменные полагаются равными нулю или состоянию неопределенности “X”. После окончания моделирования в этом окне приведены конечные значения переменных состояния. Обычно не требуется редактировать эти значения. Однако это можно сделать, подводя курсор мыши в нужную строку или нажимая [Тab] для перевода курсора в следующую строку.
В нижней части экрана расположены кнопки команд:
Close — закрытие диалогового окна;
Clear — присвоение нулевых значений всем переменным состояния;
Read — чтение переменных состояния из файла <имя схе-мы>.ТОР (создается по команде Write);
Write — немедленная запись значений переменных состояний в бинарный дисковый файл <имя схемы>.ТОР;
Print —запись значений переменных состояний в текстовый файл <имя схемы>.8\/\/, содержание которого немедленно выводится в текстовое окно;
,1С — запись существующих переменных состояния в виде директивы .IC и запись ее в текстовом окне;
Help — вызов раздела State Variables Editor системы помощи
3.1.5.	Вывод численных данных
Результаты расчета схемы по постоянному току и таблицы отсчетов переменных, отмеченных нажатием кнопок Я в соответст
142
вующих строках окна Transient Analysis Limits, заносятся в текстовый файл <имя cxe/wb/>.TNO. Просмотр его содержания выполняется нажатием на пиктограмму Щ. или по команде Numeric Output (F5) в меню Transient, как иллюстрируется на рис. 3.8.
	T ransient	Analysis of AMPLDET
Time Range	2m	
Maximum Time Step	0.005m	
Number of Points	0	
Tempe rature	List 27	
Run Options	Normal	State Variables	Zer
Operating Point	On	Operating Point Only Off
Temperature = 27 Case = 1
		DC Ope rati	Lng Point Values	
			Diodes	
	Dl	XIS.Dl	X1S.D2	Z1S.D3
ID	95Э.53u	-377.3 If	24.55p	24.55p
VD	7 67.2 Bm	-3 67.2 8m	201. 67m	201. 67m
REQ	33.69	973.50G	1. 0 6G	1. 0 6G
CAP	252.15p	4.28p	5. 6 0 p	5.6 0 p
Power Terms: PD	352.42u	138.60f	4. 95p	4. 95p
PS	0. 00	0. 00	0.00	0.00
Pin Currents: Id Condition:	95 9.53m	-377.31f	24.55p	24.55p
State	ON	OFF	IFF	OFF
Рис. 3.8. Просмотр файла результатов моделирования
В начале файла результатов моделирования, как и для программы PSpice [8], помещаются значения режима по постоянному току (узловые потенциалы, токи через индуктивности и логические состояния цифровых узлов, состояния полупроводниковых р--л-переходов). Далее приведены параметры линейных моделей диодов и транзисторов, рассчитанных в режиме по постоянному току. В заключение — таблица дискретных отсчетов выходных переменных, графики которых изображены в окне Analysis Plot (на рис. 3.6 не показаны). Количество строк в этой таблице равно значению параметра Number of Points в меню Transient Analysis Limits.
3.2.	Расчет частотных характеристик (AC Analysis)
В режиме АС сначала рассчитывается режим схемы по постоянному току, затем линеаризуются все нелинейные компоненты (пассивные компоненты с нелинейными параметрами, диоды, транзисторы, нелинейные управляемые источники) и выполняется расчет комплексных амплитуд узловых потенциалов и токов ветвей. При линеаризации цифровые компоненты заменяются их
143
входными и выходными комплексными сопротивлениями, передача сигналов через них не рассматривается.
Ко входу схемы должен быть подключен источник синусоидального SIN или импульсного сигнала PULSE или сигнала USER, форма которого задается пользователем.
При расчете частотных характеристик комплексная амплитуда этого сигнала автоматически полагается равной 1 В, начальная фаза нулевая (независимо от того, как заданы значения параметров модели сигнала), а частота меняется в пределах, задаваемых в меню AC Analysis Limits. Возможно также подключение независимых источников напряжения V или тока I в формате SPICE, для которых задаются значения амплитуды и фазы. Если имеется один источник сигнала, то выходные напряжения будут совпадать с частотными характеристиками устройства. Если же источников сигнала несколько, то отклики от каждого сигнала будут складываться как комплексные величины.
После перехода в режим анализа частотных характеристик программа МС7 проверяет правильность составления схемы. При отсутствии ошибок в схеме программа составляет ее топологическое описание, выполняет подготовку к численному решению системы линейных алгебраических уравнений и открывает окно задания параметров моделирования AC Analysis Limits.
3.2.1.	Задание параметров моделирования AC Analysis Limits
В окне задания параметров расчета переходных процессов, показанном на рис. 3.9, имеются следующие разделы.
Команды:
Run — начало моделирование. Щелчок на пиктограмме в строке инструментов или нажатие F2 также начинает моделирование. Моделирование может быть остановлено в любой момент
нажатием на пиктограмму
или клавишу Esc. Последователь-
ные нажатия на пиктограмму
II
прерывают и затем продолжают
моделирование;
Add—добавление еще одной строки спецификации вывода результатов после строки, отмеченной курсором. На этой строке устанавливается способ отображения результатов и аналитические выражения для построения графиков. При наличии большого количества строк, не умещающихся на экране, появляется линейка прокрутки;
Delete — удаление строки спецификации вывода результатов, отмеченной курсором;
144
Рис. 3.9. Задание параметров расчета в режиме АС
Expand — открытие дополнительного окна для ввода текста большого размера при расположении курсора в одной из граф, содержащих выражения, например Y Expression;
Stepping — открытие диалогового окна задания вариации параметров;
Properties — открытие диалогового окна задания параметров (см. разд. 3.1.1);
Help— вызов раздела AC Analysis системы помощи.
Числовые параметры:
Frequency Range — спецификация конечной и начальной частоты по формату Fmax.Fmin. Отрицательные значения частоты не допускаются. Если значение Fmin не указано, то расчет не производится;
Number of Points — количество точек по частоте (Nf), в которых производится расчет частотных характеристик. Минимальное значение равно 5 В связи с тем, что в режиме АС не производится интерполяции, то в таблицы и на графики выводятся все данные, полученные при расчете. Значения частот, на которых производится расчет характеристик, зависит от параметров, установленных в разделе "Опции”: Auto, Linear, Log. В режиме Auto параметр Number of Points во внимание не принимается и количество точек определяется величиной Maximum Change. Если принят линейный шаг (Linear), то шаг приращения частоты равен
Fk+ 1 - Fk = (Ялах - Fmin)/(A/f- 1).
Если принят логарифмический масштаб (Log), то отношение со
145
седних частотных точек равно
Fk + ]/Fk= (Fmax/Fmin)1/(/W- 1).
Temperature — диапазон изменения температуры в градусах Цельсия. При выборе параметра Linear имеет формат High[,Low[,Step]]', если при этом параметр Step (шаг) опущен, то выполняется анализ при двух значениях температуры Low (минимальной) и High (максимальной), если опущены оба параметра Low и Step, то расчет проводится при единственной температуре, равной High. При выборе параметра List указывается список температур, разделяемых запятыми. При изменении температуры изменяются параметры компонентов, имеющие ненулевые температурные коэффициенты ТС, а также ряд параметров полупроводниковых приборов. Значение установленной здесь температуры может использоваться в различных выражениях, она обозначается как переменная TEMP.
Maximum Change,% — максимально допустимое приращение графика первой функции на интервале шага по частоте (в процентах от полной шкалы). Принимается во внимание только при выборе опции Auto. Если график функции изменяется быстрее, то шаг приращения частоты автоматически уменьшается,
Noise Input— имя источника сигнала, подключенного к входным зажимам цепи При указании переменной INOISE в графе Y expression выводится график квадратного корня спектральной плотности напряжения или тока внутренних шумов цепи, пересчитанной к этим зажимам. Если в качестве источника входного сигнала включается источник напряжения, то на вход пересчитывается спектральная плотность напряжения, а если источник тока, то спектральная плотность тока;
Noise Output — номера узлов выходных зажимов цепи, в которых вычисляется спектральная плотность напряжения выходного шума цепи. Формат: узел1[,узел2].
Вывод результатов моделирования:
Ниже раздела “Числовые параметры” и слева от раздела “Выражения” расположена группа пиктограмм. Нажатие каждой пиктограммы определяет характер вывода данных, задаваемых в той же строке. Имеются следующие возможности:
__ [2Z X Log/Linear Scale — переключение между логарифмической и линейной шкалой по оси X. При выборе логарифмической шкалы диапазон изменения переменной должен быть положительным,
 Y Log/Linear Scale — переключение между логарифми-
146
ческой и линейной шкалой по оси Y. При выборе логарифмической шкалы диапазон изменения переменной должен быть положительным;
 Color— вызов меню для выбора одного из 16 цветов для окрашивания графиков Кнопка окрашивается в выбранный цвет;
|ДИ| Numeric Output — при нажатии этой кнопки в текстовый выходной файл заносится таблица отсчетов функции, заданной в графе Y Expression. Запись производится в файл “<имя схе-мь/xANO”. Таблица просматривается в окне Numeric Output (от
крывается нажатием клавиши F5). Количество отсчетов функции (число строк в таблице) задается параметром Number of Points
в разделе Числовые параметры;
___ Rectungular — вывод графиков в прямоугольной (декарто-
вой) системе координа,,

Polar — вывод графиков в полярной системе координат;
Smith chart plot — вывод графиков на круговой диаграмме
(диаграмме Смита);
Plot Group — в графе Р числами от 1 до 9 указывается номер графического окна, в котором должна быть построена данная функция. Все функции, помеченные одним и тем же номером, выводятся в одном окне. Если это поле пусто, график функции не
строится.
Выражения:
X Expression — имя переменной, откладываемой по оси X. Обычно при анализе переходных процессов по этой оси откладывается частота (переменная F), однако это не обязательно. Так при расчете импульсной характеристики с помощью преобразования Фурье по этой оси откладывается время (переменная Т), а при построении годографа для анализа устойчивости по методу Найквиста — действительная часть комплексного напряжения;
Y Expression — математическое выражение для переменной, откладываемой по оси Y. Это может быть простая переменная типа напряжения в узле V(5), падения напряжения на двухполюсном компоненте V(L1) или тока ветви l(2,3), l(L1), произведения комплексных величин, например V(VCC)*I(\/CC), и другие выражения (см. разд. 2 5). Для расчета уровня внутреннего шума в графе Y Expression помещают имена переменных ONOISE, INOISE; при этом графики других переменных нельзя одновременно выводить на экран;
X Range — максимальное и минимальное значение переменной
147
X на графике по формату High[,Low]. Если минимальное значение Low равно нулю, его можно не указывать. Для автоматического выбора диапазона переменных в этой графе указывается Auto. В этом случае сначала выполняется моделирование, в процессе которого графики строятся в стандартном масштабе и затем автоматически перестраиваются;
Y Range — максимальное и минимальное значение переменной Y на графике; если минимальное значение равно нулю, его можно не указывать. Для автоматического выбора диапазона переменных в этой графе указывается Auto.
Опции:
Run Options — управление выдачей результатов расчетов:
Normal — результаты расчетов не сохраняются,
Save — сохранение результатов расчетов в бинарном дисковом файле <имя схемы>А8А,
Retrieve — считывание последних результатов расчета из дискового файласимя схемы>.ASA, созданного ранее. При этом производится построение графиков и таблиц, как после обычного расчета;
State Variables (Zero, Read, Leave) — установка начальных условий;
Frequency Step — шаг изменения частоты;
Auto — автоматический выбор шага по частоте, выбираемого на основе контроля максимального приращения функции первого графика;
Linear — расчет с постоянным линейным шагом по частоте;
Log — расчет с постоянным шагом на логарифмической шкале частоты;
Operation Point — предварительное выполнение расчета режима по постоянному току;
Auto Scale Ranges — присвоение признака автоматического масштабирования “Auto” по осям X, Y для каждого нового варианта расчетов. Если эта опция выключена, то принимаются во внимание масштабы, указанные в графах X Range, Y Range
3.2.2.	Использование клавиши Р
После выполнения команды Run начинается расчет частотных характеристик, и в процессе получения результатов на экран выводятся их графики. После нажатия клавиши Р в нижней части графического окна справа от обозначения каждой переменной выводятся их текущие численные значения (рис. 3.4). Этот способ удобен для контроля за длительными расчетами частотных характеристик, диапазон изменения которых заранее не известен (так что текущие результаты могут быть не видны на экране). Однако моде-
148
пирование при этом значительно замедляется, поэтому после просмотра наиболее интересного фрагмента данных следует выключить этот режим повторным нажатием клавиши.
3.2.3.	Меню режимов расчета частотных характеристик АС
После перехода в режим расчета частотных характеристик меняется состав меню команд (см. рис. 1.10). Появляется новый режим АС, имеющий следующие команды.
J Run (F2) — выполнение моделирования;
=□2
L-SJ Limits (F9) — задание пределов моделирования и построения графиков (см. разд. 3.2.1);
ЛА,1 Stepping (F11) — вариация параметров (см. разд. 3.4);
± Optimize (Ctrl+F11) — параметрическая оптимизация;
И Analysis Window (F4) — открытие графического окна результатов моделирования;
Watch (Ctrl+W) — одновременный просмотр схемы, результатов моделирования и текстовых выражений в трех окнах;
Breackpoint (Alt+F9) — остановка моделирования в заданной точке;
3D Windows (трехмерная графика):
0I Add 3D Window — добавление окна трехмерной графики (см. рис. 1.13),
|0| Delete 3D Window — удаление окна трехмерной графики;
Performance Windows (параметрическая зависимость [8]):
I.Ki Add Performance Window — добавление окна параметрической зависимости,
JzJ Delete Performance Window — удаление окна параметрической зависимости;
Я. Thumb Nail Plot — размещение графика текущего окна ма-лого размера в верхнем правом углу экрана;
Я. Numeric Output (F5) — вывод на экран численных результатов в табличной форме (см. разд. 3.1.5);
__®J State Variables Editor (F12) — вызов редактора значений переменных состояния (см. разд. 3.1.4);
149
DSP — открытие диалогового окна DSP (Digital Signal Processing — цифровая обработка сигналов), в котором задаются границы интервала частот для расчета преобразования Фурье (Upper Frequency, Lower Frequency) и количество отсчетов Number of Points (должно быть в точности равно степени числа 2 в интервале от 64 до 8192), рис. 3 10. Заданные значения принимаются во внимание, если в графе Status нажать кнопку On. При расчете импульсных характеристик путем преобразования Фурье комплексных коэффициентов передачи эта возможность практически не используется;
Reduce Data Points — открытие диалогового окна сокращения объема информации, выводимой на графиках и сохраненной в файлах, рис. 3.6;
Exit Analysis (F3) — завершение режима анализа и возвращение в окно схем.
Рис. 3.10. Окно задания диапазона частот для расчета преобразования Фурье
3.2.4.	Вывод численных данных
Результаты расчета схемы по постоянному току и таблицы значений переменных, отмеченных нажатием кнопок Iffl в соответствующих строках окна AC Analysis Limits, заносятся в текстовый файл <имя схемы>.ANO. Просмотр его содержания выполняется нажатием на пиктограмму ИЗ в меню АС, как иллюстрируется рис. 3.11.
В начале файла результатов моделирования, как и в режиме Transient Analysis, помещаются значения режима по постоянному току (узловые потенциалы, токи через индуктивности и логические состояния цифровых узлов). Далее приведены параметры линей-
150
Node
4
Voltage
5. 00
5. 00
AU Analysis of AMPLDET Temperature = 27 C2.Value = 1.5e-0t6 DC Operating Point Values DC Operating Point Voltages
Node	Voltaae Node	Vcltage
2	2.44	3	1.75
5	-3.03E-O2O 6	O.OH
DC operating Point Inductor Current
Inductor Current
LI	6. 95iu
Diodes
Dl
ID	1.33E-018
VD	-3.8SE-020
REQ	2.8PM
CAP	164.5 Op
Power Terms:
PD	5.11E-030
PS	0.00
Pin Currents:
Id	1.33E-C18
Condition:
|State	OFF
Рис. 3.11. Просмотр текстовых результатов расчетов в режиме АС ных моделей диодов и транзисторов, рассчитанных в режиме по постоянному току и состояния р—л-переходов. В заключение — таблица дискретных отсчетов выходных переменных, графики которых изображены в окне Analysis Plot. Количество строк в этой таблице равно значению параметра Number of Points в меню АС Analysis Limits, если выбран постоянный шаг по частоте или определяется в соответствии с заданной точностью расчетов.
Примечание. Отметим, что при включении опции Auto Scale Ranges и выборе Frequency Step=Auto масштаб графиков выбирается автоматически совершенно правильно, но при перестроении графиков после определения масштаба шаг по частоте выбирается слишком крупным и графики строятся грубо. Поэтому в этом случае приходится проводить моделирование дважды: сначала при включенной опции Auto Scale Ranges автоматически определить масштаб, а затем выключить эту опцию и повторить моделирование — графики будут построены с обеспечением заданной точности.
3.2.5.	Расчет уровня внутреннего шума
В математических моделях компонентов, принятых в программе МС7, так же как и в программе PSpice, учитываются тепловые, дробовые и низкочастотные фликкер-шумы (см. подробности в [8]).
151

Спектральные плотности шума от отдельных источников суммируются. В качестве спектральной плотности выходного шума (размерность Вг/Гц) рассчитывается спектральная плотность напряжения между узлами схемы, указанных в спецификации Noise Output. Если в качестве источника входного сигнала включается источник напряжения, то на вход пересчитывается спектральная плотность напряжения, а если источник тока, то спектральная плотность тока. В результате расчету уровня шума на графиках и в таблицах выводятся значения квадратного корня из спектральной плотности напряжения шума (размерность ВЛ/Гц) или спектральной плотности тока шума (размерность АЛ/Гц).
3.3.	Расчет передаточных функций по постоянному току (DC Analysis)
В режиме DC рассчитываются передаточные характеристики по постоянному току. Ко входам цепи подключаются один или два независимых источников постоянного напряжения или тока. В качестве выходного сигнала может рассматриваться разность узловых потенциалов или ток через ветвь, в которую включен резистор. При расчете режима DC программа закорачивает индуктивности, исключает конденсаторы и затем рассчитывает режим по постоянному току при нескольких значениях входных сигналов. Например, при подключении одного источника постоянного напряжения может рассчитываться передаточная функция усилителя, а при подключении двух источников — семейство статических выходных характеристик транзистора.
После перехода в режим DC программа МС7 проверяет правильность схемы. При отсутствии ошибок программа составляет топологическое описание схемы, выполняет подготовку к численному расчету нелинейных уравнений итерационным методом Нью-тона-Рафсона и открывает окно задания параметров моделирования DC Analysis Limits.
3.3.1.	Задание параметров моделирования DC Analysis Limits
В окне задания параметров расчета передаточных характеристик по постоянному току, показанном на рис. 3.12, имеются следующие разделы.
Команды:
Run — начало моделирование. Щелчок на пиктограмме I—д в строке инструментов или нажатие F2 также начинает моделирование. Моделирование может быть остановлено в любой момент
152
Рис. 3.12. Задание параметров расчета в режиме DC
времени нажатием на пиктограмму
вательные нажатия на пиктограмму
или клавишу Esc. Последо-
прерывают и затем про-
должают моделирование;
Add — добавление еще одной строки спецификации вывода результатов после строки, отмеченной курсором. На этой строке устанавливается способ отображения результатов и аналитические выражения для построения графиков. При наличии большого количества строк, не умещающихся на экране, появляется линейка прокрутки;
Delete — удаление строки спецификации вывода результатов, отмеченной курсором;
Expand — открытие дополнительного окна для ввода текста большого размера при расположении курсора в одной из граф, содержащих выражения, например Y Expression;
Stepping — открытие диалогового окна задания вариации параметров;
Properties — открытие диалогового окна задания параметров (см. разд. 3.1.1);
Help — вызов раздела DC Analysis системы помощи.
Числовые параметры:
Variable 1 — задание первой варьируемой переменной. В графе Method выбирается метод варьирования переменной (Auto — выбираемый автоматически; Linear — линейный, задаваемый в гра
153
фе Range по формату Final[,lnitial[,Step]], если опустить параметр Step (шаг), то шаг будет принят равным {Final— lnitial)/50, если опустить параметр Initial, то начальное значение будет положено равным нулю, если изменяется только один источник, то можно оставить строку пустой; Log — логарифмический; List — в виде списка значений, разделяемых запятыми). В графе Name из списка, открываемого нажатием на кнопку S, выбирается имя варьируемой переменой — величины источника постоянного напряжения или тока, температуры или имени одного из компонентов, имеющих математические модели; при выборе в графе Name имени такого компонента в расположенном справа окне выбирается варьируемый параметр его математической модели.
Variable 2— задание второй варьируемой переменной. Если она отсутствует, то в графе Method выбирается None.
Number of Points — количество точек, выводимых в таблицы, т. е. количество строк в таблице вывода результатов, минимальное значение равно 5. При выводе в таблицы применяется линейная интерполяция.
Temperature — диапазон изменения температуры в градусах Цельсия; при выборе параметра Linear имеет формат High[,Lo^,Step]]-, если параметр Step (шаг) опущен, то выполняется анализ при двух значениях температуры Low (минимальной) и High (максимальной), если опущены оба параметра Low и Step, то расчет проводится при единственной температуре, равной High] при выборе параметра List указывается список температур, разделяемых запятыми. При изменении температуры изменяются параметры компонентов, имеющие ненулевые температурные коэффициенты ТС, а также ряд параметров полупроводниковых приборов. Значение установленной здесь температуры может использоваться в различных выражениях, она обозначается как переменная TEMP.
Maximum change, % — максимально допустимое приращение графика первой функции на одном шаге (в процентах от полной шкалы). Если график функции изменяется быстрее, то шаг приращения первой переменной автоматически уменьшается.
Опции:
Hun Options — управление выдачей результатов расчетов; Normal — результаты расчетов не сохраняются,
Save — сохранение результатов расчетов в бинарном дисковом файле <имя схемь/xDSA,
Retrieve — считывание последних результатов расчета из дискового файла<имя схемы>.DSA, созданного ранее. При этом производится построение графиков и таблиц, как после обычного расчета.
154
Auto Scale Flanges — присвоение признака автоматического масштабирования “Auto” по осям X, Y для каждого нового варианта расчетов. Если эта опция выключена, то принимаются во внимание масштабы, указанные в графах X Flange, Y Flange.
Вывод результатов моделирования:
Ниже раздела “Числовые параметры" и слева от раздела “Выражения" расположена группа пиктограмм. Нажатие каждой пиктограммы определяет характер вывода данных, задаваемых в той же строке Имеются следующие возможности:
Ш ____X Log/Lmear Scale — переключение между логарифми-
ческой и линейной шкалой по оси X. При выборе логарифмической шкалы диапазон изменения переменной должен быть положительным]
Ж.  Y Log/Linear Scale — переключение между логарифмической и линейной шкалой по оси Y. При выборе логарифмической шкалы диапазон изменения переменной должен быть положительным;
 Color— вызов меню для выбора одного из 16 цветов для окрашивания графиков. Кнопка окрашивается в выбранный цвет;
Я Numeric Output — при нажатии этой кнопки в текстовый выходной файл заносится таблица отсчетов функции, заданной в графе Y Expression. Запись производится в файл “<имя схе-Mb/>.ANO”. Таблица просматривается в окне Numeric Output (открывается нажатием клавиши F5). Количество отсчетов функции (число строк в таблице) задается параметром Number of Points в разделе Числовые параметры;
Plot Group — в графе Р числом от 1 до 9 указывается номер графического окна, в котором должна быть построена данная функция. Все функции, помеченные одним и тем же номером, выводятся в одном окне. Если это поле пусто, график функции не строится.
Выражения:
X Expression — математическое выражение переменной, откладываемой по оси X.
Y Expression — математическое выражение переменной, откладываемой по оси Y.
X Range — максимальное и минимальное значение переменной X на графике по формату High[,Low], Если минимальное значение Low равно нулю, его можно не указывать. Для автоматического выбора диапазона переменных в этой графе указывается Auto. В этом случае сначала выполняется моделирование, в процессе которого
155
графики строятся в стандартном масштабе и затем автоматически перестраиваются;
Y Range— максимальное и минимальное значение переменной Y на графике; если минимальное значение равно нулю, его можно не указывать. Для автоматического выбора диапазона переменных в этой графе указывается Auto.
3.3.2.	Использование клавиши Р
После выполнения команды Run начинается расчет передаточных функций, и в процессе получения результатов на экран выводятся их графики. После нажатия клавиши Р в нижней части графического окна справа от обозначения каждой переменной выводятся их текущие численные значения. Этот способ удобен для контроля за длительными расчетами передаточных функций, диапазон изменения которых заранее не известен (так что текущие результаты могут быть не видны на экране). Однако моделирование при этом значительно замедляется, поэтому после просмотра наиболее интересного фрагмента данных следует выключить этот режим повторным нажатием клавиши Р.
3.3.3.	Меню режимов расчета передаточных функций ОС
После перехода в режим расчета передаточных функций меняется состав меню команд (см. рис. 1.15). Появляется новый режим
DC, имеющий следующие команды.
Run (F2) — выполнение моделирования;
Limits (F9) — задание пределов моделирования и построения графиков (см. разд. 3.2.1);
ЛЛ>|
Stepping (F11) — вариация параметров (см. разд. 3.4);
Я Analysis Window (F4) — открытие графического окна ре
"□°
зультатов моделирования;
Watch (Ctrl+W) — одновременный просмотр схемы, результатов моделирования и текстовых выражений в трех окнах;
Breackpoint (Alt+F9) — остановка моделирования в заданной точке;
3DWindows (трехмерная графика):
Add 3D Window — добавление окна трехмерной графики (см. рис. 1.13),
1^1 Delete 3D Window — удаление окна трехмерной графики;
Performance Windows (параметрическая зависимость [8]):
156
_!=1 Add Performance Window — добавление окна параметрической зависимости,
Delete Performance Window — удаление окна параметрической зависимости;
J=1 Thumb Nail Plot — размещение графика текущего окна ма-лого размера в верхнем правом углу экрана;
SJ Numeric Output (F5) — вывод на экран численных результатов в табличной форме (см. разд. 3.1.5);
V-
_£1 State Variables Editor (F12) — вызов редактора значении переменных состояния (см. разд. 3.1.4). В окне этого редактора
DC Analysis о£ CURVES.CIR
Temperature» 27 Case= 1
Vce(Ql)	Ic(QI)
(V)	(mA)
0.000	-0.482
0.500	27.411
1.000	27.717
1.500	28.024
2.000	28.330
2.500	28.636
3.000	28.942
3. 500	29.248
4.000	29.554
4. 500	29.861
5.000	30.167
Temperature» 27 Case= 1
Vce(Ql)	Ic(QI)
(V)	(mA)
0.000	-0.970
0.500	51.829
1.000	52.388
1.500	52.950
2.000	53.543
Рис. 3.13. Просмотр файла результатов расчетов в режиме DC
157
можно только просмотреть значения переменных состояния, полученных при предыдущем анализе режима по постоянному току. Кнопки Clear, Read и Write в данном режиме недоступны;
Reduce Data Points — открытие диалогового окна сокращения объема информации, выводимой на графиках и сохраненной в файлах, рис. 3.6;
Exit Analysis (F3) — завершение режима анализа и возвращение в окно схем.
3.2.4. Вывод численных данных
Результаты расчета передаточных функций по постоянному току, отмеченных нажатием кнопок Н. в соответствующих строках окна DC Analysis Limits, заносятся в текстовый файл <имя схе-Mb/>.DNO. Просмотр его содержания выполняется нажатием на пиктограмму И в меню DC, как иллюстрируется рис. 3.13.
В файле выходных данных помещены таблицы передаточных функций по постоянному току. Каждая таблица построена для одного значения второго источника. В заключение — таблица дискретных отсчетов выходных переменных, графики которых изображены в окне Analysis Plot. Количество строк в каждой таблице равно значению параметра Number of Points в меню DC Analysis Limits.
3.4.	Многовариантный анализ
В меню трех видов анализа Transient, АС и DC имеется диалого-j ZVXj | вое окно Stepping (вызывается также нажатием пиктограммы l/Wl), с помощью которого производится вариация от одного до 20 параметров, показанное на рис. 3.14. В нем содержатся следующие строки.
• Step What — на верхней строке указывается имя компонента и имя его варьируемого. Содержание этой строки зависит от выбранного ниже типа параметра: Component или Model.
ParameterType — тип вариации параметров. Если выбран тип
Component, то нажатие на кнопку _ в первой строке открывает
список имен компонентов, содержащихся в схеме, например, С1, С2, СЗ, D1, L1, R1, V2, V3. Если в этом списке выбрать простой компонент, имеющий единственный параметр, например конденсатор, то справа на первой строке появится стандартное имя Value (обозначающее значение параметра). Если же выбранный компонент имеет модель или макромодель (описываемую по директивам .MODEL или .SUBCKT), то справа на первой строке нужно выбрать имя ее параметра.
158
Рис. 3.14 Варьирование параметров компонентов и их моделей
Причем если компонент входит в состав макромодели, то используется составное имя: сначала указывается имя макромодели, затем после десятичной точки имя входящего в нее компонента, например X1.D13, X2.DIN555. Причем если в окне Global Settings включены опции PRIVATEANALOG/PRIVATEDIGITAL, то варьироваться будут только параметры индивидуально выбранного компонента; параметры других компонентов, имеющих те же модели, варьироваться не будут. При выключении этих опций варьироваться будут параметры всех компонентов, имеющих ту же модель. При вариации параметров моделей все подобные модели, содержащие параметр АКО, варьироваться не будут и вариация температур T_MEASURED, T_ABS, T_REL_GLOBAL, T_REL_LOCAL недоступна;
Если выбран тип Model, то нажатие на кнопку LJ в первой строке открывает список имен моделей, и справа на первой строке нужно выбрать имя варьируемого параметра выбранной модели. Например, в первой строке слева можно указать тип модели D KD220A (диод), а справа — имя одного из его параметров, например RS (объемное сопротивление). По этому способу варьируются параметры всех компонентов, имеющих выбранную модель. Так в данном примере варьируются параметры RS всех диодов, имеющих модель KD220A. При этом будут варьироваться параметры всех компонентов, имеющих указанную модель. При вариации параметров моделей все подобные модели, содержащие параметр АКО, будут варьироваться и вариация температур T_MEASURED, T_ABS, T_REL_GLOBAL, T_REL_LOCAL будет доступна.
Если выбран тип Symbolic, то становится доступен список параметров, определенных по директиве .define.
159
•	From — начальное значение параметра. При выборе логарифмической шкалы оно должно быть больше нуля.
•	То — конечное значение параметра. При выборе логарифмической шкалы оно должно быть больше нуля.
•	Step Value — величина шага параметра. При линейной шкале оно прибавляется к начальному значению, а при логарифмической шкале умножается на текущее значение параметра.
•	Step It — включение режима вариации параметров (Yes) или его выключение (No).
•	Method — характер параметра:
Linear—линейная шкала;
Log—логарифмическая шкала;
List— список значений.
• Change — метод изменения нескольких параметров:
Step all variables simultaneously — одновременное изменение всех варьируемых параметров,
Step variables in nested loops — поочередное (вложенное) изменение варьируемых параметров.
Перед выполнением вариации параметров рекомендуется убедиться, что моделирование выполняется без ошибок при номинальном значении параметров. Одновременная вариация параметров в режиме Stepping и статистический анализ по методу Монте-Карло невозможен.
Пример многовариантного расчета частотных характеристик при вариации емкости конденсатора приведен на рис. 1.12.
Приведем список ограничений вариации параметров:
Нельзя одновременно варьировать параметры компонентов типа Transformer, User source, Laplace source, Function source, зависимых источников SPICE (типа E, F, G и H).
Нельзя варьировать некоторые отдельные параметры моделей компонентов, если в описании моделей им присвоены нулевые значения; в моделях операционных усилителей нельзя варьировать параметры Level и Туре (параметр Level для всех полупроводниковых приборов может варьироваться).
При вариации параметров резисторов, конденсаторов или индуктивностей, описываемых математическими выражениями, эти выражения не принимаются во внимание, и параметры принимают значения, назначаемые в режиме Stepping.
3.5.	Параметрическая оптимизация
Параметрическая оптимизация выполняется в программе МС7 методом Пауэлла (Powell) в любом из видов анализа: анализ переходных процессов, малосигнальный АС-анализ и расчет DC характе
160
ристик. Проиллюстрируем последовательность действий при оптимизации на примере схемы Opt4.cir, изображенной на рис, 3.15.
Рис. 3.15. Пример схемы Opt4.cir
Сначала в меню Analysis выберем режим АС и нажмем на клавишу Run (F2) и затем на клавишу F8, чтобы вывести маркеры для считывания с графиков численных значений (рис. 3.16). Заметим, что на частотах 2 и 10 МГц переменная db(V(Out)) равна 1,398 и -9,583 дБ соответственно.
После этого нажатием на кнопку _L (Ctrl+F11) открывают диалоговое окно, показанное на рис. 3.17.
В этом диалоговом окне вводиться следующая информация.
Find:
Parameter — выбор оптимизируемых параметров;
Low — минимальное значение оптимизируемого параметра;
High — максимальное значение оптимизируемого параметра;
Step — шаг изменения оптимизируемого параметра;
Current — текущее значение оптимизируемого параметра;
Optimized — поиск наиболее подходящего значения оптимизируемого параметра;
That — выбор критерия оптимитзации.
Method — выбор метода оптимизации:
Standard Powell— стандартный метод оптимизации Пауэлла,
Stepping Powell — согласно этому методу параметры изменяются от значения Lowpo значения High с шагом Step.
На каждом шаге изменения параметров применяется стандартный метод Пауэлла.
Total Error — корень квадратный из суммарной ошибки (разности между целевой функцией и ее фактической величиной).
Constraints — ограничения типа неравенств и равенств, записываемые на четырех строках, например,
PD(R1)<=100m
V(0ut)>=1.2
VCE(Q1)*IC(Q1)<=200m
6—1361
161
Рис. 3.16. Результаты АС-анализа, выполненного перед оптимизацией
Optimize
XI
Find:
High
Performance Function Expression (Equates -| - | . | Get||Y_Level(DBMDUT)).1.1.2e+006) I Equates	. | Get||Y_Level(DBMtJUT)).1.1 4 .006]
|iodu
| Equates • | * | Get j |Y_Leve|DB(V(OUT)),1,1,6e+006) (Equates -| - | < | Get||Y_Level(DB(V(GUT)).1.1.8e+00B)
Method: -
Standard Powell Stepping Powell
Constraints
Step
To
|2188
|1O 449
|-1.69B
“ | aiO3
Current
Current
Format J
|f' Optimize |
‘Constraint specified as a Boolean exnression such as V(VCCri(VCCl<=1 OOmW
Optimized
Optimized
Jose J
Error
d
Total Error
0
Help- j
Рис. 3.17. Диалоговое окно составления задания на оптимизацию
163
Optimize — начало оптимизации.
Stop — остановка оптимизации.
Apply — изменение на схеме значения параметров в соответствии с результатами оптимизации.
Format — выбор формы представления чисел.
Close — завершение режима оптимизации.
После заполнения диалогового окна нажатием на панель Optimize выполняют оптимизацию и затем нажатием на панель Apply переносят найденные оптимальные значения параметров на схему.
3.6.	Статистический анализ по методу Монте-Карло
При выборе режимов моделирования Transient, АС или DC становится доступен подрежим Monte Carlo для расчета характеристик цепей при случайном разбросе параметров:
Options — установка параметров метода Монте-Карло (активизируется также нажатием пиктограммы , см. рис. 3.18);
i Add Histogram — добавление окна гистограмм (доступно после проведения моделирования);
.Ж 103.bmp Delete Histogram — удаление окна гистограмм (доступно после проведения моделирования);
Statistics — статистическая обработка результатов (доступно после проведения моделирования).
В диалоговом окне Monte Carlo Options (рис. 3.18,а), открываемом по команде Monte Carlo>Options, указывается количество статистических испытаний Number of Runs (не более 30 000) и характер закона распределения случайных параметров: Uniform равномерное распределение, Gauss — гауссово, Worst Case — наихудший случай. Напомним, что в окне Global Settings задается отношение разброса случайных параметров к среднеквадратическому отклонению SD. На строке Report When указывается условие, при выполнении которого выводится предупреждающее сообщение в тестовый файл результатов моделирования, имеющий расширение имени ‘.OUT. Имя указываемой на этой строке функции может быть выбрано в списке доступных функций (рис. 3.18,6), открываемом нажатием на клавишу Function. Перед выполнением расчетов по методу Монте-Карло следует поставить переключатель Status в положение On. Выделение параметров, имеющих случайный разброс, выполняется с помощью ключевых слов LOT и/или DEV, как показано на изображенной на рис. 3.19 схеме.
164
Get Perfomance Function
Performance |
-unction_________ Expression_______ Boolean N__________
Period 2 M1)	П
Default Parameters
Рис. 3.18. Диалоговое окно Monte Carlo Options (а) и окно задания функций (6)
Для расчета разброса значений параметров, имеющих разброс LOT и DEV, используются различные датчики случайных чисел. В свою очередь параметры, имеющие признак DEV, получают независимые случайные значения, а имеющие признак LOT — коррелированные случайные значения в пределах параметров одного элемента. Ключевые слова LOT и DEV помещаются после номинального значения параметра и имеют формат:
[ЕОТ=<разброс>[%]] [DEV=<pa36poc>[%]]
Указывается либо абсолютное, либо относительное значение разброса в процентах (в последнем случае надо ввести знак %).
Разброс параметров компонентов указывается в директиве .MODEL с помощью ключевых слов LOT и DEV (рис. 3.19), например:
.model VIN SIN (F=10kHz A=10mV LOT=10% DC=0 PH=0 RS=1)
.model KT316B NPN (IS=2.8f LOT=5% BF=75 LOT=5% DEV=20%)
165
'DELPUL3EF ZEF'.'-i "NE- PbP.NF iinrqPj-..* jfjF4=510NP5=1C'J3N MTCLINDI IND (L - г i : T-b 4 MODEL CAP "bi i l1LJT=1U". )
Рис. 3.19. Включение в директиву .MODEL значений разброса параметров DEV и LOT
В первом примере параметр BF имеет некоррелированный разброс DEV=20% и, кроме того, разброс LOT = 5%, коррелированный с изменением параметра IS.
Допустим, что в режимах Transient, АС или DC анализируется некоторая функция цепи у = f(x), где х— независимая переменная (время, частота, входное постоянное напряжение или ток), у— зависимая переменная (узловой потенциал, входное сопротивление и т. п.). Для каждой реализации процесса у(х) рассчитывается глобальная характеристика F (the collaction function), например максимальное значение реализации F = тах{у('х)}. Название характеристики F вводится, на строке Report When или выбирается из окна Functions (рис. 3.18, б), открываемого нажатием на клавишу Functions (см. рис. 3.18, а).
После установки параметров начинают моделирование выбором пункта Run в меню моделирования выбранного типа или нажатием F2. Реализации характеристик цепи у(х) выводятся на экран дисплея в виде семейства графиков, как в качестве примера показано на рис. 3.20 при расчете частотных характеристик.
Статистическая обработка результатов моделирования производится по команде Monte CarloHistograms/Add Histograms, дублируемой нажатием на пиктограмму i. Ее результаты представляются в виде гистограммы, примерный вид которой показан на рис. 3.21. Двойной щелчок курсором мыши, расположенном в окне гистограмм, открывается диалоговое окно задания параметров Properties (закладка Plot), рис. 3.22, оно же открывается в начале выполнения команды Add Histograms. В нем в строке Function указывается имя анализируемой функции F, а в строке Expression — имя характеристики цепи у.
На графике гистограммы по горизонтальной оси откладываются значения характеристики F, по вертикали — вероятности в процентах.
166
10 00
CARLO CIR Temperature = 27 Case= 100
Рис. 3.20. Семейство реализаций переходного процесса при случайном разбросе индуктивности
Рис. 3.21. Окно построения гистограмм
167
Рис. 3.22. Окно конфигурирования гистограмм
Monte Carlo AC Analysis of CARLO
100 Runs
Summary
Rise_Time(db(v(1)) , 1,1,1,2)
Low=721 733K Mean=777.931K High=859.501K Standard Deviation=29.322K
Individual run statistics
1	749.894K
2	785.551K
3	752.566K
4	77S.191K
5	769.751K
6	736.294K
7	745.375K
8	799.033K
9	796.853K
10	768 698K
11	742.B26K
12	771.34K
13	7S3.589K
14	797.23K
15	734.686K
16	772.492K
17	795.661K
18	752 938K
19	778.605K
Рис. 3.23. Вывод результатов статистической обработки в текстовом виде
168
Значения характеристики F во всех реализациях выведены в окне в правой части экрана. Ниже него приведено окно, в котором можно задать количество интервалов разбиения области определения анализируемой характеристики F (Intervals) и значения ее границ (Low, High).
В нижней части экрана слева помещается следующая статистическая информация: Low — минимальное значение характеристики, Mean — ее среднее значение, High — максимальное значение, Sigma — среднеквадратическое отклонение случайной величины F.
Результаты статистической обработки заносятся также в текстовый файл после выбора подкоманды Monte Carlo>Histograms /Statistics. Текстовая информация размещается в файлах, имеющих то же имя, что и имя схемы, и расширения имени .АМС, .DMC, .ТМС в зависимости от вида анализа. В них помещаются результаты статистической обработки, как показано на рис. 3.23.
3.7.	Расчет режима по постоянному току (Dynamic DC)
По команде Analysis>Dynamic DC производится расчет режима по постоянному току и его отображение на чертеже семы. Причем если на закладке Common команды Options>Preferences включен параметр Options Show Slider, то на схеме у изображений батарей и резисторов размещаются движковые регуляторы — при их перемещении движением курсора изменяются номинальные значения этих компонентов, и на схеме отображаются новые значения режима по постоянному току, как показано на рис. 3.24. Минимальные и максимальные значения номинальных значений определяются с помощью атрибутов SLIDER_MIN, SLIDER_MAX каждого компонента, см. рис. 2.2.
Рис. 3.24. Отображение результатов расчета режима по постоянному току
169
Объем выводимой на схему информации определяется нажатием пиктограмм:
— номера узлов;

I~..J — напряжения аналоговых узлов или логические состояния цифровых узлов;
— токи ветвей;
—мощности, рассеиваемые в ветвях;
он
^7.1 — состояния р—л-переходов; LIN — линейный режим, ON — переход открыт, OFF— переход закрыт, SAT — находится в режиме насыщения,
При выполнении команды Analysis>Dynamic DC по умолчанию
, остальные пользователи включают по
нажата пиктограмма
мере надобности. Отметим, что при использовании этих пиктограмм при анализе переходных процессов на схеме отображаются не значения режима по постоянному току, а значения переходных процессов в последний момент времени, если не выбрана опция Operation Point Only. После завершения расчетов по командам Analysis>AC, DC на схеме отображаются значения режима по постоянному току, рассчитанного последним.
3.8.	Расчет малосигнальных передаточных (Transfer Function)
По команде Analysis>Transfer Function выполняется расчет малосигнальных передаточных функций в режиме по постоянному току, которые рассчитываются после линеаризации схемы в окрестности рабочей точки. Задание на расчет составляется в диалоговом окне, показанном на рис. 3.25, а. На строке Output Expression указывается выражение для выходной переменной, на строке Input Source Name — имя входной переменной. В качестве выходной переменной может использоваться любая переменная или функция, имеющие смысл при анализе режима по постоянному току, например, напряжение V(A,B) или ток l(R1). В качестве входной переменной может быть использовано напряжение или ток источника напряжения или тока, например VIN. Расчет производится после нажатия на панель Calculate. Результаты расчета передаточной функции, например dV(A,B)/dVIN, указывается на строке Transfer Function. Кроме того, на строках Input(Output) Impedance указываются значения входного (выходного) сопротивлений. При выборе опции Place Text результаты расчета помещаются в виде текста непосредственно на схему (рис. 3.25, б).
170
a)
Output Expression =V(1)
Input Source Name=V1
Transfer Function = I Input Impedance =50
6)
Рис. 3.25. Диалоговое окно Transfer Function (а) и текстовая информация о результатах расчетов (б)
3.9.	Расчет чувствительностей по постоянному току (Sensitivity)
Чувствительность режима по постоянному току рассчитывается по команде Analysis>Sensitivity. Чувствительность рассчитывается после линеаризации схемы в окрестности рабочей точки. При этом рассчитывается чувствительность одной или нескольких выходных переменных к изменению выбранных параметров схемы. Диалоговое окно команды показано на рис. 3.26.
В графе Output указывается одно или несколько выражений для выходных переменных, каждое выражение на отдельной строке, например l(R1). В окне Input Variable выбирается один входной параметр, например R1 (несколько параметров здесь выбирать не разрешается). Результаты расчета чувствительности, в приведенном выше примере это с//(Я1)/Я1, после нажатия на панель Calculate помещаются в графу Sensitivity. В графе Sensitivity %/% помещается значение приращения выходной переменной в процентах, разделенное на изменение входного параметра в процентах. Тип входных переменных выбирается с по
171
мощью кнопок Component, Model и Symbolic (см. разд. 3.4). При выборе переменной типа Model можно в качестве входных выбрать несколько параметров математической модели указанного компонента (все параметры выбираются нажатием на кнопку АП On, при этом результаты расчета чувствительностей заносятся в текстовый файл с расширением имени *.sen, как показано на рис. 3.27).
Рис. 3.26. Диалоговое окно Sensitivity
3.10.	Просмотр и обработка результатов моделирования
По завершении моделирования в графическом окне (см. рис. 1.6) выводятся графики характеристик схемы. Дальнейшая обработка графиков может выполняться в нескольких режимах.
172
Name	Value
VT1.IS	1.434e-014
VT1.BF	210.6
VT1.NF	1
VT1.VAF	125
VT1.I KF	0.2999
VT1.ISE	1.573e-013
VT1.NE	1.558
VT1.BR	1
VT1.NR	1
VT1.IKR	3.163
VT1.ISC	1.586e-014
VT1.NC	1.022
VT1.NK	0.5
VT1.NS	1
VT1.RE	15
VT1.REM	15
VT1.RC	3.748
VT1.VJE	0.75
VT1.MJE	0.33
VT1.VJC	0.75
Рис. 3.27. Вывод результатов расчета чувствительностей в текстовом виде
3.10.1.	Окно отображения результатов моделирования
В этом разделе расскажем о средствах отображения, просмотра, обработки сигналов и нанесения надписей на их графики непосредственно после завершения моделирования.
Во-первых, двойной щелчок курсором мыши в поле графиков открывает диалоговое окно Properties (см. рис. 3.22). Во-вторых, нажатие на пиктограммы в меню инструментов включает один из следующих режимов
iO Scale (F7) — вывод на весь экран части графика, заключен
ного в рамку
И Cursor (F8) — режим электронного курсора для считывания координат одной или двух точек на графике, имя переменной которой подчеркнуто. Расположение точек на графике изменяется их буксировкой правой и левой кнопками мыши.
I.D
JEi Point Tag— нанесение на график значений координат X, Y
173
выбранной точки Формат представления чисел задается параметром Analysis Plot Tags на закладке Format окна Preferences.
Horizontal Tag — нанесение расстояния по горизонтали между двумя выбранными точками графика. Формат представления чисел задается параметром Analysis Plot Tags на закладке Format окна Preferences.
I r yd
Vertical Tag — нанесение расстояния по вертикали между двумя выбранными точками графика. Формат представления чисел задается параметром Analysis Plot Tags на закладке Format окна Preferences.
Т. Text Mode — ввод текста (в абсолютных и относительных координатах).
Properties (F10) — просмотр и редактирование свойств объектов.
3.10.2.	Панорамирование окна результатов моделирования
Панорамированием называется перемещение окна без изменения масштаба изображения. Оно выполняется с помощью клавиатуры или мыши.
Клавиатура. Одновременное нажатие клавиш С1г\+<клавиша стрелою? перемещает графики активного окна в направлении стрелки. Например, нажатие Ctrl+-> перемещает все графики вправо. Активным является окно графиков, в котором щелчком курсора выбрано имя одного из графиков (оно помечается подчеркиванием).
Мышь. Щелчок и буксировка правой кнопки мыши перемещает график движением мыши (курсор при этом принимает форму руки Л
4 '). Однако панорамирование графиков в режиме электронного курсора Cursor Mode с помощью мыши невозможно.
3.10.3.	Масштабирование окна результатов моделирования
Масштабирование графиков выполняется с помощью команд меню Scope, дублируемых следующими пиктограммами или функциональными клавишами:
Auto Scale, F6 — автоматическое масштабирование графиков выбранного окна так, чтобы они заняли все окно.
Restore Limit Scales, Ctrl+Home — перечерчивание всех графиков в масштабе, указанном в окне Analysis Limits.
174
3.10.4.	Режим электронной лупы Scope
Характер оформления графиков, представления на них информации и команды управления электронным курсором определяется в меню режима Scope, команды которого приведены в табл. 3.1.
Таблица 3.1
Команды режима Scope
Команда	Назначение	
Delete All Objects	Удаление всех значений координат, текста и всех графических объектов, нанесенных ранее (для удаления индивидуального объекта он выбирается щелчком курсора и затем удаляется нажатием клавиши Delete или Ctrl+X)	
Auto Scale (F6)	Автоматическое масштабирование графиков выбранного окна	
Restore Limit Scales (Ctrl+Home)	Перечерчивание графиков всех окон в масштабе, указанном на закладке Scale диалогового окна Properties	
View	Характер отображения информации:	
	Data Points	Отображение на графиках расчетных точек
	Tokens	Нанесение на графики специальных значков для облегчения их распознавания
	Ruler	Нанесение разметки координатных осей вместо изображения сетки
	Plus Mark	Замена изображения сетки знаками "+"
	Horizontal Axis Grids	Нанесение сетки по горизонтальной оси координат
175
Команда			Назначение		
			Vertical Axis Grids	Нанесение сетки по вертикальной оси координат	
			Minor Log Grids	Нанесение более мелкой логарифмической сетки на всех осях координат, размеченных в логарифмическом масштабе	
			Baseline	Нанесение нулевой линии на выбранный график	
			Horizontal Cursor	Проведение горизонтальной линии от текущего положения курсора	
Trackers			Управление изображением координат графиков:		
			Cursor (Ctrl+Shift+C)	Нанесение на графики координат точек, на которые указывает курсор	
	Л		Intercept (Ctrl+I)		Указание координат маркера, перемещаемого по выбранному графику движением курсора (координаты X и Y помещаются в прямоугольных ярлыках 401 б|?
			Mouse (Ctrl+M)		Указание координат маркера, перемещаемого по окну графиков движением курсора (координаты X, Y помещаются в прямо-угольном ярлыке 1.490m, 5.3591 справа от курсора)
176
Команда	Назначение	
Cursor Functions	Перемещение курсора к характерным точкам выбранного графика (команды доступны в режиме Cursor Mode, активизируемым нажатием пиктограммы Ы):	
*?*	Next Simulation Data Point	Перемещение курсора к следующей точке данных
	Next Interpolation Data Point	Перемещение курсора к следующей точке интерполированных данных
|yT\J	Peak	Перемещение курсора к следующему пику, расположенному слева или справа от текущего положения курсора нажатием клавиш —<- соответственно
	Valley	Перемещение курсора к следующей впадине, расположенной слева или справа от текущего положения курсора нажатием клавиш <-соответственно
|лА|	High	Перемещение курсора нажатием клавиш <— к наиболее высокой точке
a	Low	Перемещение курсора нажатием клавиш <— к наиболее низкой точке
	Inflection	Перемещение курсора к следующей точке перегиба (точке, в которой производная графика изменяет знак).
	Top (Alt+Home)	Активизация графика, расположенного сверху
177
Команда					Назначение	
					Bottom (Alt+End)	Активизация графика, расположенного снизу
			3s	I	Global High	Перемещение курсора нажатием клавиш <— к наиболее высокой точке семейства графиков (наиболее эффективно при многовариантном анализе или статистическом анализе по методу Монте-Карло)
					Global Low	Перемещение курсора нажатием клавиш <-к наиболее низкой точке семейства графиков (наиболее эффективно при многовариантном анализе или статистическом анализе по методу Монте-Карло)
Lebel Brabches					Простановка параметров графиков при многовариантном анализе	
Lebel Frequency Point					Перемещение маркера в точку с заданной частотой	
		Animate Options...			Открытие диалогового окна Animate Options для задания параметров анимации	
Normalize at Cursor (Ctrl+N)					Нормализация выбранного графика (деление всех его ординат Y на значение ординаты Y точки графика, отмеченной курсором)	
		Go To X... (Shift+Ctrl+X)			Перемещение левого или правого курсора в точку с заданной координатой по оси X	
	-4^	Go To Y... (Shift+Ctri+Y)			Перемещение левого или правого курсора в точку с заданной координатой по оси Y	
		Go to Performance...			Перемещение левого или правого курсора в точку с заданной координатой по оси Y	
178
Команда			Назначение
		Go to Branch	Размещение текущих графиков в отдельном окне в мелком масштабе
Tag Left Cursor (Ctrl+L)			Нанесение на график значений координат левого курсора
Tag Right Cursor (Ctrl+R)			Нанесение на график значений координат правого курсора
Tag Horizontal (Shift+Ctrl+H)			Нанесение на график размерных линий между точками графика, отмеченными левым и правым курсором и простановка расстояния между ними по горизонтали
Tag Vertical (Shift+Ctrl+V)			Нанесение на график размерных линий между точками графика, отмеченными левым и правым курсором и простановка расстояния между ними по вертикали. Пример нанесения размеров на графики см. на рис. 1.12
Align Cursors			Синхронное перемещение курсора и считывание координат всех графиков, расположенных во всех графических окнах
Keep Cursors on Same Branch			Поддержание перемещения левого и правого курсоров по одному и тому же графику результатов многовариантного анализа
Same Y Scales			Перестроение всех графиков так, чтобы они имели общую ось Y
	pH]	Trumb Nail Plot	Изображение текущих графиков в отдельном окне в мелком масштабе
При включении режима Cursor mode в начале координат появляются изображения двух перекрестий, помещаемых в определенные точки графиков нажатием левой и правой кнопок мыши для проведения различных измерений. Курсоры привязываются к графикам, имена которых также выбираются кнопками мыши — выбранные имена подчеркиваются (см. рис. 1.7). Перемещение курсоров по выбранным графикам осуществляется с помощью кнопок мыши или клавиатуры (что обеспечивает более точную настройку): первый курсор перемещается влево или вправо нажатием клавиш <- или —второй — одновременным нажатием клавиш Shift+<-, Shift+—>. Снизу от каждого окна графиков располагается таблица, число строк которой равно числу построенных графиков плюс одна
179
строка, в которой размещаются значения независимой переменной, откладываемой по оси X (время, частота и т. д.). В колонках таблицы располагается информация:
Имя переменной, выведенной на график,
Left — значение переменной, помеченной левым курсором, Right — значение переменной, помеченной правым курсором, Delta — разность значений координат курсора,
Slope — тангенс угла наклона прямой, соединяющей два курсора.
Перемещение левого курсора между несколькими графиками результатов многовариантного анализа выполняется нажатием клавиш 1, Т, перемещение правого курсора производится при дополнительном нажатии клавиши Shift.
3.11.	Вывод графиков характеристик в режиме Probe
Характерная особенность программы Micro-Cap, отличающая ее от других программ типа PSpice — построение графиков не после окончания всех расчетов, а в процессе моделирования (хотя в последних версиях PSpice эта возможность уже реализована [8]). Во-первых, наблюдение на экране процесса построения графиков скрашивает томительные минуты ожидания. Во-вторых, позволяет прервать моделирование при обнаружении явно ошибочных результатов. Однако такой метод имеет и недостаток, связанный с необходимостью до начала моделирования перечислять имена переменных, выводимых на график, и их масштабы. Для построения графиков других переменных необходимо повторить моделирование. Поэтому в программе МС7 предусмотрен специальный режим Probe для создания файла данных, в который заносятся потенциалы всех узлов схемы, что позволяет после завершения моделирования построить график любой переменной. Просмотр графиков в режиме Probe производится в следующем порядке.
В меню команды Analysis выбирается один из видов анализа и заполняются все графы окна Analysis Limits, обращая особое внимание на задание пределов изменения независимой переменной (времени, частоты и т. п.). Далее в меню команды Analysis выбирается режим Probe с тем же видом анализа: Probe Transient, Probe AC, Probe DC. В этом режиме экран делится на две части. Справа размещается окно с изображением схемы, а слева окно построения графиков характеристик. При этом содержание строки команд изменяется. В меню команды Probe выбирается строка New run для выполнения моделирование, все результаты которого (узловые потенциалы аналоговых узлов и токи ветвей с индуктивностями, логические состояния цифровых узлов) заносятся в дисковый файл, что позволяет вывести на экран график любой харак
180
теристики. При этом если в режиме статистического анализа Monte Carlo указано количество реализаций л>1, то все равно будет доступна только первая реализация при номинальных значениях параметров, Далее курсором на схеме указывается узел схемы, вывод компонента или сам компонент (указывать промежуточные точки цепей нельзя) — в левой части экрана немедленно вычерчивается его характеристика, как показано на рис. 3.28. Тип переменных, откладываемых по осям графиков, предварительно выбирается в пунктах меню Vertical, Horizontal. Если при этом в окне не видна нужная часть схемы, то окно схемы можно открыть полностью. После выбора нужного узла окно схемы минимизируется и вновь появляется окно графиков с нанесенной новой характеристикой. Перед работой в режиме Probe рекомендуется пометить номера узлов схе-Sv
мы, выбрав щелчком на пиктограмме И—режим Node number, чтобы легко идентифицировать графики результатов.
Описание всех команд режима Probe приведено в табл. 3.2.
Таблица 3.2
Описание команд режима Probe
Команда	Назначение
Меню Probe	
New Run (F2)	Выполнение нового моделирования.
Delete Plots...	Удаление графиков переменных, имена которых указываются дополнительно
Delete All (Ctrl+F9)	Удаление графиков всех переменных
Separate Analog and Digital	Размещение графиков аналоговых и цифровых переменных в разных окнах
One Trace	Построение только одного графика
Many Traces	Построение нескольких графиков
Save All	Сохранение всех переменных. Используется при построении графиков заряда, магнитного потока, емкости, индуктивности, магнитной индукции и напряженности магнитного поля
Save V and 1 Only	Сохранение значений отсчетов времени, логических состояний цифровых узлов, напряжений и токов
181
Команда	Назначение
Graph Group (1-9)	Фиксирование группы графиков для выбора следующего графика при нанесении надписей
Exit Probe (F3)	Завершение режима Probe и возвращение в окно схем
Меню Vertical и Horizontal	
Анализ переходных процессов — Transient Analysis	
Voltage	Построение узлового потенциала или логического состояния выбранного узла или напряжения на 2-полюсном компоненте при указании курсором на этот компонент. Если курсор размещен между двух выводов многополюсного компонента, выводится график разности напряжений
Current	Ток двухполюсного компонента или ток, втекающий в вывод 3- или 4-полюсного активного компонента
Resistance	Сопротивление указанного резистора
Charge	Заряд указанного конденсатора или внутренняя емкость между выводами полупроводникового прибора
Capacitance	Емкость, ассоциированная с зарядом указанного компонента
Flux	Магнитный поток через индуктивность
Inductance	Индуктивность, ассоциированная с магнитным потоком
В Field	Магнитная индукция
H Field	Напряженность магнитного поля
Time	Текущее время
Linear	Линейная шкала
Log	Логарифмическая шкала
Анализ частотных характеристик — AC Analysis	
Voltage	Комплексная амплитуда потенциала узла при указании курсором узла или напряжения на 2-полюсном компоненте при указании курсором на этот компонент. Если курсор размещен между двух выводов многополюсного компонента, рассчитывается комплексная амплитуда разности напряжений
182
Команда	Назначение
Current	Комплексная амплитуда тока двухполюсного компонента или тока, втекающего в вывод 3- или 4-полюсного активного компонента
Inoise	Корень квадратный из спектральной плотности шума, приведенного ко входу схемы, указанному в строке Noise Input окна Analysis Limits (независимо от точки расположения курсора)
Onoise	Корень квадратный из спектральной плотности выходного шума, указанного в строке Noise Output окна Analysis Limits (независимо от точки расположения курсора)
Frequency	Отсчеты частоты в заданных пределах
Magnitude (dB)	Построение модуля выбранной переменной
Phase	Построение фазы выбранной переменной
Group Delay	Построение группового времени запаздывания выбранной переменной
Real Part	Построение действительной части выбранной переменной
Imag Part	Построение мнимой части выбранной переменной
Linear	Линейная шкала
Log	Логарифмическая шкала
Анализ передаточных функций — DC Analysis	
Voltage	Потенциал или логическое состояние выбранного узла. Напряжение на 2-полюсном компоненте при указании курсором на этот компонент. Если курсор размещен между двух выводов многополюсного компонента, выводится график разности напряжений
Current	Логическое состояние выбранного цифрового узла. Ток двухполюсного компонента или ток, втекающий в вывод 3- или 4-полюсного активного компонента
Linear	Линейная шкала
Log	Логарифмическая шкала
183
Ф -Q
О
CL
Ф S s X Ф
о s Q.
184
Из недостатков режима Probe отметим недоступность многих команд электронной обработки графиков Scope и невозможность изображения логических состояний шин в цифровых устройствах.
3.12.	Анимация и трехмерные графики
Режим анимации есть один из способов просмотра результатов моделирования После нажатия в режиме Analysis на кнопку LIL. (Animation) выводится диалоговое окно (рис. 3.29), в котором выбирается способ построения графиков:
Don’t wait — выключение режима анимации (построение графиков без дополнительной задержки), обеспечивается максимальная скорость построения графиков;
Рис. 3.29. Выбор режима анимации
Wait for Key Press — задержка построения очередной точки графиков до каждого нажатия клавиш;
Wait for time Delay — включение режима анимации, при котором очередная точка графиков строится с указанным запаздыванием (по умолчанию установлено запаздывание 0,5 с).
Для построения примера трехмерного графика загрузим пример 3D1.cir и в режиме Transient выполним моделирование переходных процессов. После этого выполним команду Transient>3D Window/Add 3D Plot и в открывшемся диалоговом окне (рис. 3.30) выберем переменные, откладываемые по осям X, Y и Z. Затем нажатием клавиши ОК построим трехмерный график — в рассматриваемом примере (рис. 3 31) это зависимость выходной функции Drop от времени Т и сопротивлении R резистора R1. Выходная переменная указывается в виде выражения, указываемого на двумерном графике в графе Y Expression (рис. 3.9 и аналогичные).
185
Рис 3.30. Диалоговое окно настройки построения трехмерного графика
Drop vs Т vs R1
у
т
Рис 3.31. Трехмерный график примера 3d1.cir
186
Глава 4
МОДЕЛИ АНАЛОГОВЫХ КОМПОНЕНТОВ
4.1.	Общие сведения о моделях компонентов
Все компоненты (аналоговые и цифровые), из которых составляется электрическая принципиальная схема, имеют математические модели двух типов:
•	встроенные математические модели стандартных компонентов, таких как резисторы, конденсаторы, диоды, транзисторы, независимые и зависимые источники сигналов, вентили и др., которые не могут быть изменены пользователями; можно только изменять значения их параметров;
•	макромодели произвольных компонентов, составляемые пользователями по своему усмотрению из стандартных компонентов.
В свою очередь встроенные модели подразделяются на две категории:
•	простые модели, характеризуемые малым количеством параметров, которые можно указать непосредственно на схеме в виде атрибутов (например, модель резистора описывается одним — тремя параметрами, причем часть из них можно сделать на схеме невидимыми, чтобы не загромождать чертеж);
•	сложные модели, характеризуемые большим количеством параметров, которые заносятся в библиотеки моделей (например, модель биполярного транзистора характеризуется 52 параметрами).
В программе МС7 используется двоякое описание моделируемого устройства: в виде чертежа его принципиальной электрической или функциональной схемы или в виде текстового описания в формате SPICE. Кроме того, при составлении принципиальной схемы часть параметров моделей компонентов задаются в виде их атрибутов и указываются непосредственно на схеме — такие модели будем называть моделями в формате схем. Остальные модели задаются в текстовом окне с помощью директив .MODEL и SUBCKT по правилам SPICE — их так и будем называть моделями в формате SPICE. В программе МС7 модели всех полупроводниковых приборов, операционных усилителей, магнитных сердечников, линий передачи и компонентов цифровых устройств имеют
187
формат SPICE. Их подробное описание приведено в монографии [12] и недавно вышедшей книге [8]. Поэтому ниже приведем лишь перечни их параметров, а модели компонентов в формате схем МС7 опишем более подробно.
Описание моделей аналоговых компонентов приведем в том порядке, в котором они перечислены в разделе Analog Primitives меню Component (см. их перечень и изображения символов в Приложении 1).
4.2.	Пассивные компоненты (Passive components)
В меню компонентов в раздел пассивные компоненты (Passive components) включены резисторы, конденсаторы, индуктивности, линии передачи, высокочастотные трансформаторы, взаимные индуктивности, диоды с р—л-переходом и стабилитроны.
Обратим внимание, что значения сопротивлений, емкостей и индуктивностей могут быть числом или выражением, зависящим от времени, узловых потенциалов, разности узловых потенциалов или токов ветвей, температуры и других параметров (причем непосредственная зависимость параметров от времени в программе PSpice не предусмотрена, здесь Micro-Cap явно лидирует).
Резистор (Resistor)
Формат SPICE:
Rxxx <+узел> <-узел> [имя модели] <значение> [ТС=<ТС1>[,<ТС2>]]
Здесь ххх — произвольная алфавитно-цифровая последовательность общей длиной не более 7 символов, которая пишется слитно с символом R и вместе с ним образует имя компонента. Например:
R1 15 О 2К
R2 1 2 2.4Е4 ТС=.001,1е-5
R3 3 0 2.1 k*(1+0.05*Т1МЕ)
R4 4 О RTEMP 5К
MODEL RTEMP RES (R=3 DEV=5%TC1 =0.01)
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE: <значение> [ТС=<ТС7>[,<ТС2>]]
Атрибут MODEL: [имя модели]
Атрибут FREQ: [<выражение>] — например 10*f*v(10), при этом значение атрибута FREQ заменяет значение атрибута VALUE при расчете режима по постоянному току и проведении АС-анализа
188
(здесь f — частота), при расчете переходных процессов сопротивление резистора равно значению атрибута VALUE;
SLIDER_MIN — минимальное относительное значение сопротивления, изменяемого в режиме Dynamic DC с помощью движкового регулятора;
SLIDER_MAX — максимальное относительное значение сопротивления, изменяемого в режиме Dynamic DC с помощью движкового регулятора;
Сопротивление резистора, определяемое параметром <значе-ние>, может быть числом или выражением, включающее в себя изменяющиеся во времени переменные, например 100+V(10)*2. Эти выражения можно использовать только при анализе переходных процессов. В режиме АС эти выражения вычисляются для значений переменных в режиме по постоянному току. В формате SPICE применение таких выражений недопустимо.
Параметры, описывающие модель резистора, приведены в табл. 4.1.
Таблица 4.1
Параметры модели резистора
Обозначение	Параметр	Размерность	Значение по умолчанию
R	Масштабный множитель сопротивления	—	1
ТС1	Линейный температурный коэффициент сопротивления	°C"1	0
ТС2	Квадратичный температурный коэффициент сопротивления	°C ~2	0
ТСЕ	Экспоненциальный температурный коэффициент сопротивления	%/°с	0
NM	Масштабный коэффициент спектральной плотности шума		1
TJVIEASURED	Температура измерения	°C	—
T_ABS	Абсолютная температура	°C	—
T_REL_GLOBAL	Относительная температура	°C	—
T_REL_LOCAL	Разность между температурой устройства и модели-прототипа	°C	—
189
Если в описании резистора <имя модели> опущено, то его сопротивление равно параметру <сопротивление> в омах. Если <имя мо-дели> указано и в директиве .MODEL отсутствует параметр ТСЕ, то температурный фактор равен
TF = 1 + ТС1 (Т - TNOM)+TC2(T - TNOM)2;
если параметр ТСЕ указан, то температурный фактор равен
g-j ТСЕ(Т-TNOM)
Здесь Т — текущее значение температуры (указывается по директиве .TEMP); TNOM = 27 °C — номинальная температура (указывается в окне Global Settings).
Параметр <значение> может быть как положительным, так и отрицательным, но не равным нулю. Сопротивление резистора определяется выражением <3wa4ewue>*R*TF*MF, где MF=1 +<разброс в процентах, DEV или LOT>/~\ 00.
Спектральная плотность теплового тока резистора рассчитывается по формуле Найквиста Si(f)=4kT/<conpomueneHue>*NM. Для резисторов с отрицательным сопротивлением в этой формуле берется абсолютное значение сопротивления.
Конденсатор (Capacitor)
Формат SPICE:
Сххх <+узел> <-узел> [имя модели] <значение> [\С=<начальное значение напряжения^
Например:
С1 15 0 56PF
С2 3 9 0.5PFIC=1.5V
СЗ 4 6 CMOD 10U
.MODEL CMOD САР(С=2.5 ТС1 =0.01 VC1=0.2)
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE: <значение> [1С=< начальное значение напряжения^
Атрибут MODEL: [имя модели]
Атрибут FREQ: [<выражение>] — например 10*SQRT(f), при этом значение атрибута FREQ заменяет значение атрибута VALUE при проведении АС-анализа (здесь f — частота), при расчете переходных процессов емкость конденсатора равна значению атрибута VALUE.
Емкость конденсатора, определяемая параметром <значение>, может быть числом или выражением, включающее в себя изменяю
190
щиеся во времени переменные, например 100+V(10)*0.002*TIME. Эти выражения можно использовать только при анализе переходных процессов. В режиме АС эти выражения вычисляется для значений переменных в режиме по постоянному току. В формате SPICE применение таких выражений недопустимо.
Параметры модели конденсатора приведены в табл. 4.2.
Таблица 4.2
Параметры модели конденсатора
Обозначение	Параметр	Размерность	Значение по умолчанию
С	Масштабный множитель емкости	—	1
VC1	Линейный коэффициент напряжения	В’1	0
VC2	Квадратичный коэффициент напряжения	В’2	0
ТС1	Линейный температурный коэффициент емкости	°C’1	0
ТС2	Квадратичный температурный коэффициент емкости	°C-2	0
T.MEASURED	Температура измерения	°C	—
T_ABS	Абсолютная температура	°C	—
T_REL_GLOBAL	Относительная температура	°C	—
T_REL_LOCAL	Разность между температурой устройства и модели-прототипа	°C	—
Если в описании конденсатора <имя модели> опущено, то его емкость равна параметру <значение> в фарадах, в противном случае она определяется выражением
<значение> С(1 +VC V+VC2 V2)[1+ТС1 (T-TNOM)+TC2(T-TNOM)2].
Здесь V — напряжение на конденсаторе при расчете переходных процессов. При расчете частотных характеристик (режим АС) емкость считается постоянной величиной, определяемой в рабочей точке по постоянному току.
После ключевого слова IC указывается значение напряжения на конденсаторе при расчете режима по постоянному току, которое
191
при расчете переходных процессов служит начальным значением этого напряжения.
Индуктивность (Inductor)
Формат SPICE:
\_xxx <+узел> <-узел> [имя модели] <значение> [\С=<начальный ток>]
Например:
L1 15 О 20МН
L2 1 2 0.2Е-6
L3 4 6 2VH 1С=2
LOAD 5 12 LMOD0.03
.MODEL LMOD IND (L=2 DEV=20% IL1=0.1)
Формат схем MC:
Атрибут PART: <имя>
Атрибут VALLIE: <значение> [\С=<начальный ток>]
Атрибут MODEL: [имя модели]
Атрибут FREQ: [<выражение>] — например 10u*(F/100), при этом значение атрибута FREQ заменяет значение атрибута VALUE при проведении АС-анализа (здесь F — частота), при расчете переходных процессов индуктивность равна значению атрибута VALUE.
Индуктивность, определяемая параметром <значение>, может быть числом или выражением, включающее в себя изменяющиеся во времени переменные, например 100+l(L2)*2. Эти выражения можно использовать только при анализе переходных процессов. В режиме АС эти выражения вычисляется для значений переменных в режиме по постоянному току. В формате SPICE применение таких выражений недопустимо.
Параметры модели индуктивности приведены в табл. 4.3.
Таблица 4.3
Параметры модели индуктивности
Обозначение	Параметр	Размерность	Значение по умолчанию
L	Масштабный множитель индуктивности	—	1
IC1	Линейный коэффициент тока	А’1	0
192
Обозначение	Параметр	Размерность	Значение по умолчанию
IC2	Квадратичный коэффициент тока	А-2	0
ТС1	Линейный температурный коэффициент индуктивности	°C"1	0
ТС2	Квадратичный температурный коэффициент индуктивности	°с-2	0
T_MEASURED	Температура измерений	°C	—
Т_АВС	Абсолютная температура	°C	—
T_REL_GLOBAL	Относительная темпе-ра гура	°C	—
T_REL_LOCAL	Разность между температурой устройства и модели-прототипа	°C	—
Если в описании опущено <имя модели>, то индуктивность равна параметру <значение> в генри, в противном случае она определяется выражением
<3Ha4ewue>-L(1+IL1-l+IL2-l2)[1+TC1(T-TNOM)+TC2(T-TNOM)2].
Здесь I — ток через катушку индуктивности при расчете переходных процессов. При расчете частотных характеристик (режим АС) индуктивность считается постоянной величиной, определяемой в рабочей точке по постоянному току.
После ключевого слова IC указывается значение тока через катушку индуктивностей при расчете режима по постоянному току, которое при расчете переходных процессов служит начальным значением этого тока.
Взаимная индуктивность и магнитный сердечник (К)
Формат SPICE:
Кххх Lyyy Lzzz... коэффициент связи>
Кххх Lyyy Lzzz ... коэффициент связи> <имя модели> [<мас-штабный коэффициент^
Например:
К1 L1 L2 L3 0.8
К2 L1 L2 0.99 TH25V
7—1361
193
MODEL TH 25V CORE (LEVEL=2 MS=334E3 ALPHA=2.5E-2 A=4.05E3 K=166 C=0.05
+ AREA=0.064 PATH=2.25)
Здесь первый пример описывает связанные индуктивности без сердечника К1, второй — ферромагнитный сердечник К2, на котором находятся две катушки индуктивностей L1, L2.
Формат схем МС:
Атрибут PART: <имя>
Атрибут INDUCTORS’ <имя индуктивности>*
Атрибут COUPLING: коэффициент связи>
Атрибут MODEL: [имя модели]
Порядок перечисления имен индуктивностей Lyyy, Lzzz ... безразличен, знак взаимной индуктивности определяется порядком перечисления узлов в описании каждой индуктивности. Параметром взаимной индуктивности является коэффициент связи>. Если в трансформаторе имеется несколько обмоток, то можно либо определить взаимные индуктивности для каждой попарной комбинации обмоток в отдельных предложениях, либо в одном предложении указать список всех индуктивностей, имеющих одинаковый коэффициент связи.
Коэффициент связи двух обмоток определяется выражением
Ч
коэффициент связи =	- ,
L:L:
где Lh Lj — индуктивности обмоток; Ц — их взаимная индуктивность. Напряжение на катушке L, с учетом взаимной индукции определяется выражением
. dlt .. dlj dlk
' dt	11 dt	* dt
На одном сердечнике помещается одна или несколько обмоток с именами Lyyy, Lzzz... Все обмотки имеют одинаковый коэффициент связи>. При описании каждой обмотки Lyyy.... упомянутой в составе сердечника, изменяется смысл параметра <значение> — теперь он определяет не индуктивность, а число витков обмотки сердечника. Параметр <масштабный коэффициент» изменяет площадь поперечного сечения магнитопровода (по умолчанию равен единице). Площадь поперечного сечения равна произведению этого коэффициента на параметр модели сердечника AREA.
Параметры модели магнитного сердечника приведены в табл. 4.4 (в SPICE это модель LEVEL=2).
194
Таблица 4.4
Параметры модели магнитного сердечника
Обозначение	Параметр	Размерность	Значение по умолчанию
AREA	Площадь поперечного сечения магнитопровода	см2	1
PATH	Средняя длина магнитной силовой линии	СМ	1
GAP	Ширина воздушного зазора	см	0
MS	Намагниченность насыщения	А/м	400103
A	Параметр формы без-гистерезисной кривой намагничивания	А/м	25
C	Постоянная упругого смещения доменных границ	—	0,001
К	Постоянная подвижности доменов	А/м	25
ALPHA	Параметр магнитной связи доменов	—	0,001
Линия передачи (Transmission line)
Формат SPICE:
Линия передачи без потерь описывается предложением
Тххх <+узел порта А> <-узел порта А> <+узел порта В>
<-узел порта В> [имя модели]
+ 20-<значение> [ТО=<значение>] [Р=<значение> [ N \_=<зна чение>]]
Здесь ZO — волновое сопротивление линии (Ом); TD — задержка сигнала в линии (с); NL — электрическая длина линии на частоте F (NL=I/X, где I — геометрическая длина линии, л — длина волны в линии, по умолчанию NL=0,25).
При описании линии передачи задается параметр TD либо F и NL.
195
Например,
Т1 1 2 3 4 Z0=50
Т2 1 2 3 4 ZO=75 TD=115ns
ТЗ 1 2 3 4 ZO=75 F=4.5MEG
T4 1 2 3 4 ZO=75 F=4.5MEG NL=0.5
Линия передач с потерями описывается предложением
Тххх <+узел порта А> <-узел порта А> <+узел порта В> <-узел порта В>
+ [<имя модели> [электрическая длина]]
+ 1_ЕН=<значение> И=<значение> 1_=<значение> <3=<значение> С=<значение>
Здесь LEN - длина линии (м); R, L, G, С — погонные сопротивление линии (Ом/м), индуктивность (Гн/м), проводимость (См/м), емкость (Ф/м), рис. 4.1. В принципе длину линии можно указывать в любых единицах, например в километрах, но тогда нужно соответствующим образом пересчитать значения погонных параметров R, L, G и С.
Рис. 4.1. Схема замещения линии передачи
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE:	ХО=<значение> [Т0=<значение>]
[Е=<значение> [МЕ=<значение>]] - для идеальной линии передач без потерь;
Атрибут VALUE: олектрическая длина> ЬЕЫ=<значение> Н=<значение> Е=<значение> <л=<значение> С=<значение>- для линии передач с потерями;
Атрибут MODEL: [имя модели]
Модель линии передачи характеризуется параметрами, указанными в табл. 4.5.
196
Таблица 4.5
Параметры модели линии передачи
Обозначение	Параметр	Размерность	Значение по умолчанию
Идеальная линия без потерь			
ZO	Волновое сопротивление	Ом	—
TD	Время задержки сигнала	с	—
F	Частота для расчета NL	Гц	—
NL	Электрическая длина на частоте F		0,25
Линия с потерями			
R	Погонное сопротивление	Ом/м	—
L	Погонная индуктивность	Гн/м	—
G	Погонная проводимость	См/м	—
С	Погонная емкость	Ф/м	—
LEN	Длина линии	м	—
Линия передач без потерь при расчете переходных процессов выполняет роль линии задержки, при расчете частотных характеристик она представляет собой безынерционное звено. Для линии передач с потерями аналитически рассчитывается комплексный коэффициент передачи линии. Анализ переходных процессов производится с помощью интеграла свертки с импульсной характеристикой линии, которая вычисляется как преобразование Фурье коэффициента передачи (что требует очень больших затрат времени).
Трансформатор (Transformer)
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE: <индуктивность первичной обмот-ки>,<индуктивность вторичной обмотки>,коэффициент связи>
В программе МС7 имеется модель идеального двухобмоточного трансформатора без потерь, в качестве параметров которого задаются значения индуктивностей обмоток и коэффициент связи, например: 0.01uH,0.5uH,.98
197
Диод (Diode) и стабилитрон (Zener)
Формат SPICE:
Dxxx <узел анода> <узел катода> <имя модели> [Area] [OFF] [IC=<Vtf>]
Примеры:
D1 1 2 D9B
02 7 8 D220A 1.0 OFF 10=0.001
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE: [Area] [OFF] [IC=< Vd>]
Атрибут MODEL: [имя модели]
Параметр Area задает коэффициент кратности для учета подключения нескольких параллельных диодов (параметры модели диода умножаются или делятся на эту величину). Параметр IC задает начальное напряжение на диоде Vd при расчете переходных процессов, если на панели Transient Analysis Limits выключена опция Operating Point (аналог параметра UIC или SKIPBP программы PSpice). Включение ключевого слова OFF исключает диод из схемы при проведении первой итерации расчета режима по постоянному току.
Модель диода задается директивой
.MODEL <имя модели> О[(параметры модели)]
Приведем пример модели диода Д104А:
.model D104A D (IS=5.81E-12 RS=8.1 N=1.15 TT=8.28NS CJ0=41.2PF VJ=0.71 M=0.33 FC=0.5 EG=1.11 XTI=3)
Математическая модель диода задается параметрами, перечисленными в табл. 4.6.
Таблица 4.6
Параметры модели диода
Обозначение	Параметр	Значение по умолчанию	Единица измерения
Level	Тип модели: 1 — SPICE2G, 2 — PSpice	1	—
IS	Ток насыщения при температуре 27°С	10’14	А
RS	Объемное сопротивление	0	Ом
198
Обозначение	Параметр	Значение по умолчанию	Единица измерения
N	Коэффициент инжекции	1	—
ISR	Параметр тока рекомбинации	0	А
NR	Коэффициент эмиссии для тока ISR	2	
IKF	Предельный ток при высоком уровне инжекции	оо	А
TT	Время переноса заряда	0	с
CJO	Барьерная емкость при нулевом смещении	0	Ф
V.l	Контактная разность потенциалов	1	В
M	Коэффициент лавинного умножения	0,5	—
EG	Ширина запрещенной зоны	1,11	эВ
FC	Коэффициент нелинейности барьерной емкости прямосмещенного перехода	0,5	——
BV	Обратное напряжение пробоя (положительная величина)	ОО	в
IBV	Начальный ток пробоя, соответствующий напряжению BV (положительная величина)	Ю’10	А
NBV	Коэффициент неиде-альности на участке пробоя	1	—
IBVL	Начальный ток пробоя низкого уровня	0	А
NBVL	Коэффициент неиде-альности на участке пробоя низкого уровня	1	—
XTI	Температурный коэффициент тока насыщения	3	—
199
Обозначение	Параметр	Значение по умолчанию	Единица измерения
TIKF	Линейный температурный коэффициент IKF	0	с-’
TBV1	Линейный температурный коэффициент BV	0	’с-1
TBV2	Квадратичный температурный коэффициент BV	0	“С-2
TRS1	Линейный температурный коэффициент RS	0	°C-1
TRS2	Квадратичный температурный коэффициент RS	0	С-2
KF	Коэффициент фликкер-шума	0	—
AF	Показатель степени в формуле фликкер-шума	1	—
RL	Сопротивление утечки перехода	GO	Ом
T_MEASURD	Температура измерений	—	°C
T_ABS	Абсолютная температура	—	°C
T_REL„GLOBAL	Относительная температура	—	“с
T_REL_LOCL	Разность между температурой диода и модели-прототипа	—	“с
4.3.	Активные компоненты (Active components)
В программе МС7 используются те же математические модели полупроводниковых приборов, что и в программе PSpice [8, 13], которые отличаются лишь малозначительными деталями, поэтому ограничимся лишь описанием формата их задания.
Биполярный транзистор (Bipolar transistor)
Формат SPICE:
Qxxx <узел коллектора> <узел базы> <узел эмиттера> [<узел подложки>]
+ <имя модели> [Area] [OFF] [IC=< УЬе>[, Усе]]
Формат схем МС:
Атрибут PART: <имя>
200
Атрибут VALUE: [Area] [OFF] [IC=<Vt>e>[, Vce]]
Атрибут MODEL: [имя модели]
Модели биполярных транзисторов задаются в виде
.MODEL <имя модели> NPN [(параметры модели)]
.MODEL <имя модели> PNP [(параметры модели)]
Параметр Area задает коэффициент кратности для учета подключения нескольких параллельных транзисторов (параметры модели транзистора умножаются или делятся на эту величину). Параметр IC задает начальное напряжение база-эмиттер Vbe и коллектор-эмиттер Vce при расчете переходных процессов, если на панели Transient Analysis Limits выключена опция Operating Point (аналог параметра UIC программы PSpice). Включение ключевого слова OFF исключает транзистор из схемы при проведении первой итерации расчета режима по постоянному току.
В программе МС7 используется схема замещения биполярного транзистора в виде модели Гуммеля-Пуна, которая автоматически упрощается до более простой модели Эберса-Молла, если опустить некоторые параметры. Список параметров полной математической модели биполярного транзистора приведем в табл. 4.7.
Таблица 4.7
Параметры модели биполярного транзистора
Имя параметра	Параметр	Значение по умолчанию	Единица измерения
IS	Ток насыщения при температуре 27 С	10’16	А
BF	Максимальный коэффициент усиления тока в нормальном режиме в схеме с ОЭ (без учета токов утечки)	100	—
BR	Максимальный коэффициент усиления тока в инверсном режиме в схеме с ОЭ	1	—
NF	Коэффициент неиде-альности в нормальном режиме	1	—
NR	Коэффициент неиде-альности в инверсном режиме	1	—
201
Имя параметра	Параметр	Значение по умолчанию	Единица измерения
ISE*	Ток насыщения утечки перехода база-эмиттер	0	А
ISC*	Ток насыщения утечки перехода база-коллектор	0	А
IKF*	Ток начала спада зависимости BFot тока коллектора в нормальном режиме	со	А
IKR*	Ток начала спада зависимости BR от тока эмиттера в инверсном режиме	со	А
NE*	Коэффициент неиде-альности перехода база-эмиттер	1,5	—
NC*	Коэффициент неиде-альности коллекторного перехода	1,5	—
VAP	Напряжение Эрли в нормальном режиме	СО	в
VAR*	Напряжение Эрли в инверсном режиме	со	в
RC	Объемное сопротивление коллектора	0	Ом
RE	Объемное сопротивление эмиттера	0	Ом
RB	Объемное сопротивление базы (максимальное) при нулевом смещении перехода база-эмиттер	0	Ом
RBM*	Минимальное сопротивление базы при больших токах	RB	Ом
IRB*	Ток базы, при котором сопротивление базы уменьшается на 50% полного перепада между RB и RBM	СО	А
202
Имя параметра	Параметр	Значение по умолчанию	Единица измерения
TF	Время переноса заряда через базу в нормальном режиме	0	с
TR	Время переноса заряда через базу в инверсном режиме	0	с
XTF	Коэффициент, определяющий зависимость TF от смещения база-коллектор	0	——
VTF	Напряжение, характеризующее зависимость TF от сме! пения база-коллектор	оо	в
ITF	Ток, характеризующий зависимость TF от тока коллектора при больших токах	0	А
PTF	Дополнительный фазовый сдвиг на граничной частоте транзистора 4p=1/(2nTF)	0	град.
CJE	Емкость эмиттерного перехода при нулевом смещении	0	пФ
VJE (РЕ)	Контактная разность потенциалов перехода база-эмиттер	0,75	В
MJE (ME)	Коэффициент, учитывающий плавность эмиттерного перехода	0,33	—
CJC	Емкость коллекторного перехода при нулевом смещении	0	ф
VJC (PC)	Контактная разность потенциалов перехода база-коллектор	0,75	в
MJC (МС)	Коэффициент, учитывающий плавность коллекторного перехода	0,33	—
203
Имя параметра	Параметр	Значение по умолчанию	Единица измерения
CJS (CCS)	Емкость коллектор-подложка при нулевом смещении	0	Ф
VJS(PS)	Контактная разность потенциалов перехода коллектор-подложка	0,75	В
MJS(MS)	Коэффициент, учитывающий плавность перехода коллектор-подложка	0	—
XCJC	Коэффициент расщепления емкости база-коллектор	1	—
FC	Коэффициент нелинейности барьерных емкостей прямосмещенных переходов	0,5	—
EG	Ширина запрещенной зоны	1,11	эВ
XTB	Температурный коэффициент BF и BR	0	—
XTI(PT)	Температурный коэффициент IS	3	—
TRE1	Линейный температурный коэффициент RE	0	°C-1
TRE2	Квадратичный температурный коэффициент RE	0	“С-2
TRB1	Линейный температурный коэффициент RB	0	°C-1
TRB2	Квадратичный температурный коэффициент RB	0	°C-2
TRM1	Линейный температурный коэффициент RBM	0	°C-1
TRM2	Квадратичный температурный коэффициент RBM	0	С-2
TRC1	Линейный температурный коэффициент RC	0	С-1
TRC2	Квадратичный температурный коэффициент RC	0	"С-2
204
Имя параметра	Параметр	Значение по умолчанию	Единица измерения
KF	Коэффициент, определяющий спектральную плотность фликкер-шума	0	—’
AF	Показатель степени, определяющий зависимость спектральной плотности фликкер-шума от тока через переход	1	
TJVIEASURED	Температура измерений	—	“с
T_ABS	Абсолютная температура	—	°C
T_REl._GLOB.AL	Относительная температура	—	
T_REL_LOCAL	Разность между температурой транзистора и модели-прототипа	—	“с
* Для модели Гуммеля-Пуна.			
Арсенид-галлиевый полевой транзистор (GaAsFET)
Формат SPICE:
Вххх <узел стока> <узел затвора> <узел истока> <имя модели> [Area] [OFF] [lC=<Vcfc>[, Vgs]]
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE: [Area] [OFF] [IC=<Vcfo>[, Vgs]]
Атрибут MODEL: [имя модели]
Параметр Area задает коэффициент кратности для учета подключения нескольких параллельных транзисторов (параметры модели транзистора умножаются или делятся на эту величину). Параметр IC задает начальное напряжение сток-.исток Vds и затвор-сток Vgs при расчете переходных процессов, если на панели Transient Analysis Limits выключена опция Operating Point (аналог параметра UIC программы PSpice). Включение ключевого слова OFF исключает транзистор из схемы при проведении первой итерации расчета режима по постоянному току.
Модель арсенид-галлиевого полевого транзистора задается в виде
205
.MODELcu/vw mo<3g/7(j>GASFET [(параметры модели)]
Арсенид-галлиевые полевые транзисторы (GaAsFET) являются приборами с каналом п-типа и имеют три модели, предложенные Куртисом (Curtice), Рэйтеоном (Raytheon) и TriQuint модель. Модель Куртиса дает удовлетворительные результаты лишь при расчете статического режима. Остальные модели отражают и динамические характеристики арсенид-галлиевого транзистора. Параметры трех математических моделей приведены в табл. 4 8.
Таблица 4.8
Параметры модели арсенид-галлиевого транзистора
Обозначение	Параметр	Значение по умолчанию	Единица измерения
LEVEL	Тип модели: 1	- модель Куртиса, 2	- модель Рэйтеона, 3	- модель TriQuit	1	—
VT0	Барьерный потенциал перехода Шотки	-2,5	в
VBI	Контактная разность потенциалов	1,0	в
ALPHA	Константа, определяющая ток /drain (Level=1-3)	2,0	1/В
В	Параметр легирования (Level=2)	0,3	1/В
ВЕТА	Коэффициент пропорциональности в выражении для тока стока	0,1	А/В2
LAMBDA	Параметр модуляции длины канала	0	1/В
GAMMA	Параметр статической обратной связи (для Level=3)	0	—
DELTA	Параметр выходной обратной связи (для Level=3, 4)	0	(A-В)'1
Q	Показатель степени (для Level=3, 4)	2	—
RG	Объемное сопротивление области затвора	0	Ом
206
Обозначение	Параметр	Значение по умолчанию	Единица измерения
RD	Объемное сопротивление области стока	0	Ом
RS	Объемное сопротивление области истока	0	Ом
CGD	Емкость затвор-сток при нулевом смещении	0	Ф
CGS	Емкость затвор-исток при нулевом смещении	0	Ф
CDS	Емкость сток-исток при нулевом смещении	0	Ф
!S	Ток насыщения р-п-перехода затвор-канал	10'14	А
TAU	Время переноса носителей заряда (Level=1-3)	0	с
M	Коэффициент лавинного умножения перехода затвора (Level=1-3)	0,5	—
N	Коэффициент неиде-альности	1	—
FC	Коэффициент нелинейности барьерной емкости прямосмещенного перехода затвора	0,5	—
VB1	Контактная разность потенциалов р-п-перехода затвора	1	в
EG	Ширина запрещенной зоны	1,11	эВ
XTI	Температурный коэффициент тока IS	0	
VDELTA	Напряжение, входящее в выражения для емкостей переходов (для Level=2 и 3)	0,2	В
VMAX	Максимальное напряжение, входящее в выражения для емкостей переходов (для Level=2 и 3)	0,5	В
207
Обозначение	Параметр	Значение по умолчанию	Единица измерения
VTOTC	Температурный коэффициент VTO	0	В/°С
ВЕТАТСЕ	Температурный коэффициент BETA	0	%/°с
TRG1	Линейный температурный коэффициент RG	0	1/°С
TRD1	Линейный температурный коэффициент RD	0	1/°С
TRS1	Линейный температурный коэффициент RS	0	1/°С
KF	Коэффициент, определяющий спектральную плотность фликкер-шума	0	—
AF	Показатель степени, определяющий зависимость спектральной плотности фликкер-шума от тока через переход	1	
T_MEASURED	Температура измерения	—	‘с
T_ABS	Абсолютная температура	—	°C
T_REL_GLOBAL	Относительная температура	—	°C
T_REL_LOCAL	Разность между температурой транзистора и модели-прототипа	—	°C
МОП-транзистор (MOSFET)
Формат SPICE:
Мххх <узел стока> <узел затвора> <узел истока> <узел подложки> <имя модели>
+	[1_=<значение>]	[Щ=<значение>] [М)=<значение>]
[А3=<значение>]
+	[Р0=<значение>]	[Р3=<значенае>]	[NRD=<3Ha4ewue>]
[NRS=<3wa4ewue>]
+ [NRG=<3wa4ewue>] [NRB=<3wa4ewue>]
+ [OFF] [IC=< Vds>[, Vgs[, Vbs]]]
Формат схем MC:
208
Атрибут PART: <имя>
Атрибут VALUE:
[Е=<значение>] [№=<значение>] [А0=<значение>] [&3=<значение>]
+ [Р0=<значение>] [РЭ=<значенае>] [NRD=<3Ha4ewue>] [NRS=<3wa4ewt/e>]
+ [NRG=<3wa4ewue>] [NRB=<3HaweHue>]
+ [OFF] [IC=< Vds>[, Vgs[, Vbs]]]
Атрибут MODEL: [имя модели]
Параметр IC задает начальное напряжение сток-исток Vds, затвор-сток Vgs и затвор-подложка Vbs при расчете переходных процессов, если на панели Transient Analysis Limits выключена опция Operating Point (аналог параметра UIC программы PSpice). Включение ключевого слова OFF исключает транзистор из схемы при проведении первой итерации расчета режима по постоянному току. Необязательные параметры приведены в табл. 4.9. Параметры L и W могут быть заданы при описании модели МОП-транзистора по директиве .MODEL; кроме того, параметры L, W, AD и AS по умолчанию принимают значения, присваиваемые в диалоговом окне Global Settings (см. п. 2.1.5).
Таблица 4.9
Физические параметры МОП-транзистора
Обозначение	Параметр	Значение по умолчанию	Размерность
L	Длина канала	DEFL	м
W	Ширина канала	DEFW	м
AD	Площадь диффузионной области стока	DEFAD	м
AS	Площадь диффузионной области истока	DEFAS	м
PD	Периметр диффузионной области стока	0	м
PS	Периметр диффузионной области истока	0	м
NRD	Удельное относительное сопротивление стока	1	—
NRS	Удельное относительное сопротивление истока	1	—
209
Обозначение	Параметр	Значение по умолчанию	Размерность
NRG	Удельное относительное сопротивление затвора	0	—
NRB	Удельное относительное сопротивление подложки	0	—
Модели МОП-транзисторов задаются в виде:
.MODEL <имя модели> ЫМ03[(параметры модели)]
MODEL <имя модели >РМ02[(параметры модели)]
В программе МС7 МОП-транзисторы описываются тремя разными системами уравнений, выбор которых определяется параметром LEVEL, принимающим значения 1, 2 и 3. Модель первого уровня (LEVEL=1) используется в тех случаях, когда не предъявляются высокие требования к точности моделирования вольт-амперных характеристик транзистора, в частности, при моделировании МОП-транзисторов с коротким или узким каналом. Модели второго (LEVEL=2) и третьего (LEVEL=3) уровней учитывают более тонкие физические эффекты. Параметры трех математических моделей приведены в табл 4 10.
Таблица 4.10
Параметры модели МОП-транзистора
Обозначение	Уровень модели LEVEL	Параметр	Значение по умолчанию	Единица измерения
LEVEL		Индекс модели	1	—
L	1-3	Длина канала	DEFL	м
W	1-3	Ширина канала	DEFW	м
LD	1-3	Длина области боковой диффузии	0	м
WD	1-3	Ширина области боковой диффузии	0	м
VTO	1-3	Пороговое напряжение при нулевом смещении подложки Vto=0	1	В
КР	1-3	Параметр удельной крутизны	2-10’5	А/В
210
Обозначение	Уровень модели LEVEL	Параметр	Значение по умолчанию	Единица измерения
GAMMA	1-3	Коэффициент влияния потенциала подложки на пороговое напряжение	Вычисляется	В
PHI	1-3	Поверхностный потенциал сильной инверсии	0,6	В
LAMBDA	1,2	Параметр модуляции длины канала	0	1/В
RD	1-3	Объемное сопротивление стока	0	Ом
RS	1-3	Объемное сопротивление истока	0	Ом
RG	1-3	Объемное сопротивление затвора	0	Ом
RB	1-3	Объемное сопротивление подложки	0	Ом
RDS	1-3	Сопротивление утечки сток-исток	оо	Ом
RSH	1-3	Удельное сопротивление диффузионных областей истока истока	0	Ом/кв.
IS	1-3	Ток насыщения р-п-перехода сток-подложка (исток-подложка)	10'14	А/м2
JS	1-3	Плотность тока насыщения перехода сток (исток)-подложка	0	А/м2
JSSW	1-3	Удельная плотность тока насыщения (на длину периметра)	0	А/м
PB	1-3	Напряжение инверсии приповерхностного слоя подложки	0,8	В
211
Обозначение	Уровень модели LEVEL	Параметр	Значение по умолчанию	Единица измерения
PBSW	1-3	Напряжение инверсии боковой поверхности р-л-перехода	РВ	В
N	1-3	Коэффициент не-идеальности перехода подложка-сток	1	—
CBD	1-3	Емкость донной части перехода сток-подложка при нулевом смещении	0	ф
CBS	1-3	Емкость донной части перехода исток-подложка при нулевом смещении	0	ф
CJ	1-3	Удельная емкость донной части р-п-перехода сток (ис-ток)-подложка при нулевом смещении (на площадь перехода)	0	Ф/м2
CJSW	1-3	Удельная емкость боковой поверхности перехода сток (исток)-подложка при нулевом смещении (на длину периметра)	0	Ф/м
MJ	1-3	Коэффициент, учитывающий плавность перехода подложка-сток (исток)	0,5	—
MJSW	1-3	Коэффициент наклона боковой поверхности перехода подложка-сток (исток)	0,3	—
212
Обозначение	Уровень модели LEVEL	Параметр	Значение по умолчанию	Единица измерения
FC	1-3	Коэффициент нелинейности барьерной емкости пря-мосмещенного перехода подложки	0,5	
CGSO	1-3	Удельная емкость перекрытия затвор-исток (за счет боковой диффузии)	0	Ф/м
CGDO	1-3	Удельная емкость перекрытия затвор-сток на длину канала (за счет боковой диффузии)	0	Ф/м
CGBO	1-3	Удельная емкость перекрытия затвор-подложка (за счет выхода затвора за пределы канала)	0	Ф/м
1 1	1-3	Время переноса заряда через р-п-переход	0	с
NSUB	1-3	Уровень легирования подложки	Нет	1/см3
NSS	2,3	Плотность медленных поверхностных состояний на границе кремний - подзатворный оксид	Нет	
NFS	2,3	Плотность быстрых поверхностных состояний на границе кремний - подзатворный оксид	0	1/см2
TOX	1-3	Толщина оксида	Вычисляется	м
TPG	2,3	Легирование затвора (+1 — примесью того же типа, как и для подложки; -1 — примесью противоположного типа; 0 — металл)	1	
213
Обозначение	Уровень модели LEVEL	Параметр	Значение по умолчанию	Единица измерения
XJ	2,3	Глубина металлургического перехода областей стока и истока	0	м
ио	1-3	Подвижность носителей тока в инверсном слое канала	600	см2/В/с
UCRIT	2	Критическая напряженность вертикального поля, при которой подвижность носителей уменьшается в два раза	ю4	В/см
UEXP	2	Эмпирическая константа, определяющая подвижность носителей	0	
VMAX	2,3	Максимальная скорость дрейфа носителей	ОО	м/с
NEFF	2	Эмпирический коэффициент коррекции концентрации примесей в канале	1	—
XQC	2,3	Доля заряда канала, ассоциированного со стоком	0	—
DELTA	2,3	Коэффициент влияния ширины канала на пороговое напряжение	0	—
THETA	3	Коэффициент модуляции подвижности носителей под влиянием вертикального поля	0	1/В
ETA	3	Параметр влияния напряжения сток-исток на пороговое напряжение (статическая обратная связь)	0	
214
Обозначение	Уровень модели LEVEL	Параметр	Значение по умолчанию	Единица измерения
КАРРА	3	Параметр модуляции длины канала напряжением сток-исток	0,2	
KF	1-3	Коэффициент, определяющий спектральную плотность фликкер-шума	0	
AF	1-3	Показатель степени, определяющий зависимость спектральной плотности фликкер-шума от тока через переход	1	
^MEASURED	1-3	Температура измерения	—	°C
T_ABS	1-3	Абсолютная температура	—	°C
T_REL_GLOBAL	1-3	Относительная температура	—	°C
T_REL_LOCAL	1-3	Разность между температурой транзистора и модели-прототипа	—	°C
Полевой транзистор (JFET)
Формат SPICE:
дххх <узел стока> <узел затвора> <узел истока> <имя модели> [Area] [OFF] [IC=< Ws>[, Vgs]]
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE: [Area] [OFF] [IC=< Ws>[, Vgs]]
Атрибут MODEL: [имя модели]
Параметр Area задает коэффициент кратности для учета подключения нескольких параллельных транзисторов (параметры модели транзистора умножаются или делятся на эту величину). Па
215
раметр IC задает начальное напряжение сток-исток Vds и затвор-сток Vgs при расчете переходных процессов, если на панели Transient Analysis Limits выключена опция Operating Point (аналог параметра UIC программы PSpice). Включение ключевого слова OFF исключает транзистор из схемы при проведении первой итерации расчета режима по постоянному току.
Модель полевого транзистора задается в виде
.MODEL <имя модели> NJF [(параметры модели)]
.MODEL <имя модели> PJF [(параметры модели)]
Полевые транзисторы с управляющим р-п-переходом описываются моделью Шихмана-Ходжеса, параметры которой приведены в табл. 4.11.
Таблица 4.11
Параметры модели полевого транзистора
Обозначение	Параметр	Значение по умолчанию	Единица измерения
VTO	Пороговое напряжение	-2	В
ВЕТА	Коэффициент пропорциональности	ю-4	А/В
LAMBDA	Параметр модуляции длины канала	0	1/В
IS	Ток насыщения р-п-перехода затвор-канал	10-14	А
RD	Объемное сопротивление области стока	0	Ом
RS	Объемное сопротивление области истока	0	Ом
CGD	Емкость перехода затвор-сток при нулевом смещении	0	Ф
CGS	Емкость перехода затвор-исток при нулевом смещении	0	Ф
FC	Коэффициент нелинейности емкостей переходов при прямом смещении	0,5	—
PB	Контактная разность потенциалов р-п-перехода затвора	1	в
216
Обозначение	Параметр	Значение по умолчанию	Единица измерения
VTOTC	Температурный коэффициент VTO	0	В/С
ВЕТАТСЕ	Температурный коэффициент ВЕТА	0	%/°с
XTI	Температурный коэффициент тока IS	3	—
KF	Коэффициент, определяющий спектральную плотность фликкер-шума	0	—
AF	Показатель степени, определяющий зависимость спектральной плотности фликкер-шума от тока через переход	1	
T_MEASURD	Температура измерения	—	“с
T_ABS	Абсолютная температура	—	°C
T_REL_GLOBAL	Относительная температура	—	°C
T_REL_LOCAL	Разность между температурой транзистора и модели-прототипа	—	°C
Операционный усилитель (OPAMP)
Формат схем МО:
Атрибут PART: <имя>
Атрибут MODEL: [имя модели]
В программе МС7 имеются модели операционных усилителей трех типов:
LEVEL 1 — простейшая линейная модель, представляющая собой источник тока, управляемый напряжением. ОУ имеет конечное выходное и бесконечное входное сопротивление (тем не менее выводы питания ОУ нужно подключить к схеме, так как в модели они подсоединены к “земле” через сопротивления 1 Ом), рис. 4.2,а;
LEVEL 2 — более сложная линейная модель, состоящая из трех каскадов и имитирующая два полюса передаточной функции ОУ, ограничение скорости нарастания выходного напряжения, конечный коэффициент усиления и конечное выходное сопротивление, рис. 4.2, б;
LEVEL 3 — нелинейная модель, аналогичная той, что применя
217
ется в программе PSpice. В ней учитываются ограничения на скорость нарастания выходного напряжения, значения выходного сопротивления на постоянном и переменном токе, ток и напряжение смещения, запас по фазе на частоте единичного усиления, площадь усиления, коэффициент подавления синфазного сигнала, реальные значения диапазона выходного напряжения и тока, рис, 4.2, в. Возможен выбор типа входного дифференциального каскада.
Все они имеют одинаковую графику символов (рис. 4.2,а).
Рис. 4.2. УГО операционного усилителя (а) и его модели первого (б), второго (в) и третьего (г) уровней LEVEL
218
В отличие от программы PSpice, в которой модель ОУ описывается только как макромодель, в программе МС7 также используются и встроенные модели ОУ (LEVEL = 1,2, 3), что упрощает работу с ними и повышает скорость моделирования. Модель ОУ задается по директиве:
.MODEL <имя модели> ОРА ([список параметров])
Перечень параметров модели ОУ приведен в табл. 4.12.
Таблица 4.12
Параметры моделей операционных усилителей
Обозначение	Уровень модели LEVEL	Параметр	Размерность	Значение по умолчанию
LEVEL	1 —3	Уровень модели (1,2, 3)	—	1
TYPE	3	Тип входного транзистора: 1	— NPN, 2	—PNP, 3	—JFET		1
С	3	Емкость коррекции	ф	30-10“12
А	1 —3	Коэффициент усиления на постоянном токе	—	2-105
ROUTAC	1 —3	Выходное сопротивление по переменному току	Ом	75
ROUTDC	1 —3	Выходное сопротивление по постоянному току	Ом	125
VOFF	3	Напряжение смещения нуля	В	0,001
IOFF	3	Разность входных токов смещения	А	ю-9
SRP	2, 3	Максимальная скорость нарастания выходного напряжения	В/с	5-105
SRN	2, 3	Максимальная скорость спада выходного напряжения	В/с	5-105
219
Обозначение	Уровень модели LEVEL	Параметр	Размерность	Значение по умолчанию
1B1AS	3	Входной ток смещения	А	1СГ7
VCC	3	Напряжение положительного питания	В	15
VEE	3	Напряжение отрицательного питания	В	-15
VPS	3	Максимальное выходное положительное напряжение	В	13
VNS	3	Максимальное выходное отрицательное напряжение	В	-13
CMRR	3	Коэффициент подавления синфазного сигнала	—	105
GBW	2, 3	Площадь усиления (равна произведению коэффициента усиления А на частоту первого полюса)	—	106
PM	2, 3	Запас по фазе на частоте единичного усиления	град.	60
PD	3	Потребляемая мощность	Вт	0,025
IOSC	3	Выходной ток короткого замыкания	А	0,02
T_MEASURED	3	Температура измерений	°C	—
T-ABC	3	Абсолютная температура	°C	—
T_REL_GLOBAL	3	Относительная температура	°C	—
T_REL_LOCAL	3	Разность между температурой устройства и модели-прототипа	°C	—
220
4.4.	Источники сигналов (Waveform sources)
Источники постоянного напряжения (Battery)
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE <значение>
Источники постоянного тока (/source)
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE: <значение>
Источник импульсного напряжения (Pulse source)
Формат схем МС:
Атрибут PART: <имя>
Атрибут MODEL: <имя модели>
Параметры модели этого источника задаются по директиве
MODEL <имя модели> PUL ([список параметров])
Параметры модели источника импульсного напряжения приведены в табл. 4.13, а его форма на рис. 4.3, а.
Таблица 4.13
Параметры модели источника импульсного сигнала в формате МС
Обозначение	Параметр	Размерность	Значение по умолчанию
VZERO	Начальное значение	В	0
VONE	Максимальное значение	В	5
Р1	Начало переднего фронта	с	0
Р2	Начало плоской вершины импульса	с	Р1 + 0,1 нс
РЗ	Конец плоской вершины импульса	с	0,5 мкс
Р4	Момент достижения с уровня VZERO	с	РЗ + 10 нс
Р5	Период повторения	с	1 мкс
Примечание. Амплитуда сигнала в режиме АС принимается равной 1 В.
221
Р5
Рис. 4.3. Описание импульсного сигнала в формате МС (а) и SPICE (б)
Источник синусоидального напряжения (Sine source)
Формат схем МС:
Атрибут PART: <имя>
Атрибут MODEL: <имя модели>
Параметры модели этого источника задаются по директиве
.MODEL <имя модели> SIN {[список параметров])
Параметры модели источника синусоидального напряжения приведены в табл. 4.14, а его форма на рис. 4.4.
Таблица 4.14
Параметры модели источника гармонического сигнала
Обозначение	Параметр	Размерность	Значение по умолчанию
F	Частота	Гц	106
222
Обозначение	Параметр	Размерность	Значение по умолчанию
А	Амплитуда	В	1
DC	Постоянная составляющая	В	0
PH	Начальная фаза	град	0
RS	Внутреннее сопротивление	Ом	0,001
RP	Период повторения затухающего сигнала	с	0
TAU	Постоянная времени изменения амплитуды сигнала по экспоненциальному закону	с	0
в режиме АС принимается
Примечание. Амплитуда сигнала равной 1 В.
Рис. 4.4 Синусоидальный сигнал
Источник напряжения, задаваемый пользователем (User source)
Формат схем МС:
Атрибут PART: <имя>
Атрибут FILE: <имя файлам
Атрибут EXPRESSION: [<текстовое выражением]
Пользователь имеет возможность задать источник напряжения произвольной формы. Отсчеты сигнала записываются в текстовый файл, который имеет стандартное расширение .USR. В этом файле записывается общее количество отсчетов N и пары значений, определяющие выражения для отсчетов моментов времени и значения напряжений. Этот файл может быть создан с помо
223
щью любого текстового редактора или образован путем сохранения одного или нескольких сигналов, полученных в результате расчета переходных процессов.
Независимые источники напряжения и тока (V и I)
Независимые источники напряжения (V) и тока (I) позволяют создавать входные воздействия разнообразной формы: импульсные, синусоидальные, экспоненциальные, кусочно-линейные, с частотной модуляцией. Для всех этих сигналов указывается значение постоянной составляющей (DC) — необязательный параметр. Кроме того, при расчете частотных характеристик (режим АС) можно задавать амплитуду и начальную фазу этих сигналов.
Формат SPICE:
Vxxx <+узел> <-узел> [[DC] <значение>] [АС <модуль> [<фа-за>]]
+ [PULSE v1 v2 [td [tr [tf [pw [per]]]]]] — импульсный сигнал напряжения
или [SIN vO va [fO [td [df [p/7]]]]] — синусоидальный сигнал напряжения
или [EXP v1 v2 [td1 [tc1 [td2 [tc2]]]]] — сигнал напряжения экспоненциальной формы
или [PWL t1 v1 t2 v2 ... [fn ur?]] — кусочно-линейный сигнал напряжения
или [SFFM vO va fO [mi [frr?]]] — гармонический сигнал напряжения с синусоидальной частотной модуляцией
Ixxx <+узел> <-узел> [[DC] <значение>] [АС <модуль> [<фаза>]] + [PULSE И 12[td[fr[tf[pw[per]]]]]] — импульсный сигнал тока или [SIN iO ia [fO [td[df [p/?]]]]] — синусоидальный сигнал тока или [EXP И i2 [td1 [tc1 [td2 [tc2]]]]] — сигнал тока экспоненциальной формы
или [PWL t1 И t2 i2... [tn ил]] — кусочно-линейный сигнал тока
или [SFFM iO ia fO [mi [fm]]] — гармонический сигнал тока с синусоидальной частотной модуляцией
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE ссписок параметров>
В <список параметров> вносится та же информация, что по формату SPICE, за исключением имени компонента и номеров узлов его подключения.
Импульсный сигнал (PULSE) задается параметрами, приведенными в табл. 4.15 (рис. 4.3, б)
224
Таблица 415
Параметры импульсного сигнала в формате SPICE
Обозначение	Параметр	Размерность	Значение по умолчанию
У»	Начальное значение	В или А	—
Уг	Максимальное значение	В или А	—
td	Начало переднего фронта	с	0
tr	Длительность переднего фронта	с	TSTEP*
tf	Длительность заднего фронта	с	TSTEP*
pw	Длительность плоской части импульса	с	TSTOP**
per	Период повторения	с	TSTOP**
* TSTEP - шаг вывода на печать. “ TSTOP - конечное время анализа переходного процесса (параметры TSTEP и TSTOP задаются в директиве .TRAN).			
Синусоидальный сигнал (SIN) описывается выражением (см. рис 4.4):
уО + уа sin(2nph/360) при 0<t<td\
y(t) = \у0+ ya  exp[-(f - td)df]  sin[2л f(t - td) + 2itph/360]
при t > td.
Ее параметры описаны в табл. 4.16.
Таблица 4.16
Параметры гармонического сигнала в формате SPICE
Обозначение	Параметр	Размерность	Значение по умолчанию
уо	Постоянная составляющая	В или А	—
ya	Амплитуда	В или А	—
f	Частота	ГЦ	1/TSTOP
td	Задержка	с	0
df	Коэффициент затухания	1/с	0
Ph	Фаза	град.	0
Экспоненциальная функция (ЕХР) описывается выражением (рис 4 5)
8—1361
225
у1 при 0< t< td1,
Рис 4.5. Экспоненциальная функция
y(t)=\
/\ + (y2-/\){'\-exp[-(t-tct\)/tc}]} при td1 <t<td2;
/\ + (y2-y]){‘\-exp[-(t-tcf\)/tc\]~
-1+exp[-(t-td2.)/tc2.]}*vvpM td2.< t <TSTOP.
Назначение ее параметров объясняется в табл. 4.17.
Таблица 4.17
Параметры экспоненциального сигнала в формате SPICE
Обозначение	Параметр	Размерность	Значение по умолчанию
У/	Начальное значение	В или А	—
Уг	Максимальное значение	В или А	—
td1	Начало переднего фронта	С	0
tc1	Постоянная времени переднего фронта	с	TSTEP *
td2	Начало заднего фронта	с	fd+ TSTEP
tc2	Постоянная времени заднего фронта	с	TSTEP
* TSTEP —шаг по времени вывода на печать результатов расчетов переходных процессов (задается в директиве .TRAN).			
Синусоидальная функция с частотной модуляцией (SFFM) описывается выражением
y(t)= уО + уа  s'm[2nf0  t + mi  s'm(2nfm  f)].
Ее параметры приведены в табл. 4.18.
226
Таблица 418
Параметры гармонического сигнала в формате SPICE
Обозначение	Параметр	Размерность	Значение по умолчанию
уо	Постоянная составляющая	В или А	—
уа	Амплитуда	В или А	—
Ю	Частота несущей	Гц	1/TSTOP
mi	Индекс частотной модуляции	—	0
fm	Частота модуляции	Гц	1ZTSTOP
Рис. 4.6. Кусочнолинейная функция
Кусочно-линейный сигнал (PWL) задается координатами точек излома (ti, yi), его форма изображена на рис. 4.6.
4.5.	Линейные и нелинейные зависимые источники (Dependent Sources)
Линейные зависимые источники (Dependent Sources)
Формат схем:
Атрибут PART: <имя>
Атрибут VALUE; коэффициент передачи>
В программе МС7 имеется четыре линейных зависимых источника напряжения и тока:
VofV — источник напряжения, управляемый напряжением (ИНУН);
lotI — источник тока, управляемый током (ИТУТ);
Vofl — источник напряжения, управляемый током (ИНУТ);
lofV — источник тока, управляемый напряжением (ИТУН).
Все они задаются единственным параметром — коэффициентом передачи.
227
Нелинейные зависимые источники (Dependent Sources)
Стандартный формат SPICE:
Источник напряжения, управляемый напряжением:
Еххх <+узел> <-узел> [POLY(</o)]
+ п1р... п1т[п2р п2т...пкр пкт] р0[р1 ...рк] [1С=с/[,с2[,сЗ...[,с/с]]]]
Источник тока, управляемый током:
Еххх <+узел> <-узел> (POLY(</o)] v1 [v2...vk]
+ рО [р1...рк] [IC=cJ[,c2[,c3...[,ck]]]j
Источник напряжения, управляемый током:
Gxxx <+узел> <-узел> [POLY(</o)]
+ п1р... п1т [п2р п2т...пкр пкт] рО [р1...рк] [IC=c7[,c2[,c3...[,ck]]]]
Источник напряжения, управляемый током:
Нххх <+узел> <-узел> [POLY(</o)] v1 [v2 .vk]
+ pO [p1...pk] [IC=c?[,c2[,c3...[,c/c]]]]
Расширенный формат PSpice:
Управляемые напряжением источники напряжения и тока:
[E|G]xxx <+узел> <-узел> VALUE={<eb/paxewue>}
[E|G]xxx <+узел> <-узел> TABLE [<выражение>}= «аргумент>
<функция»*
[E|G]xxx LAPLACE {<выражение>}=
+ [<передаточная функция в з-области>}
[E|G]xxx <+узел> <-узел> FREQ {<eb/paxewue>}=[KEYWORD]
+ «частота>,<модуль>,<фаза>>*
Здесь приняты следующие обозначения-
<выражение> — управляющее напряжение вида М(<+узел>, <-узел>);
<+узел> <-узел> — положительный и отрицательный узел источника напряжения или тока;
п1р, п1т — номера положительного и отрицательного узлов первого управляющего источника;
пкр, пкт — номера положительного и отрицательного узлов к-го управляющего источника;
рО— постоянная составляющая полинома;
рк— к-й коэффициент полинома;
v1 — имя источника напряжения, ток через который является первым управляющим сигналом;
vk — имя источника напряжения, ток через который является к-м управляющим сигналом;
с1, с2, сЗ, ск— начальные условия.
228
Приведем примеры:
Е2 7 4 P0LY(2) 10 15 20 25 1.0 2.0 10.0 20.0
G2 7 4 POLY(3) 10 15 20 25 30 35 1.0 2.0 3.0 10.0 20.0 30.0
F2 7 4 POLY(2) V1 V2 1 0 2.0 10.0 20.0
H2 7 4 POLY(3) V1 V2 V31.0 2.0 3.0 10 0 20.0 30.0
E1 10 20 FREQ {V(1,2)} = {(0,0,0) (1 K,0,0) (1 OK,0.001,0)}
E2 10 20 LAPLACE {V(5,6)} = {1/(1+0.001 *S+1 E-8*S*S)}
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE:
[POLY(</o)] п1р п1т [п2р п2т...пкр пкт] рО [р1...рк] [1С=с/[,с2[,сЗ...[,ск]]]]
[POLY(</o)] v1 [v2...vk] рО[р1...рк] [IC=c/[,с2[,сЗ...[,ск]]]]
При наличии ключевого слова POLY управляемый источник у = у(хь хг,..., хп) описывается полиномиальной функцией
У = Р0 +	+ Р2Х2 + •• + Рпхп + Рп+1Х? + Рп+2*1*2 + - + Р2пх1хп +
Р2п+1Х2 + Р2п+2Х2Х3 +  + Р3п-1х2хп +  + Pnl/(2(n-2)\)+2nxn +
/=л!/(2(л-2)!)+2л+1х? + Pn!/(2(n-2)!)+2n+2xfx2+•••
Здесь X;, хг, хп — управляющие переменные. Они могут быть токами или разностью потенциалов; одновременное управление током и разностью потенциалов не допускается. Все коэффициенты полинома Р вводить не обязательно, но вводить их необходимо подряд без пропусков.
Подробное описание источников, задаваемых с помощью ключевых слов TABLE, LAPLACE и FREQ, см. в разд. 4.6 — 4.7.
4.6.	Линейные управляемые источники, задаваемые преобразованиями Лапласа (Laplace Sources) и Z-nреобразованиями (Z Transform Sources)
Линейные четырехполюсники могут задаваться передаточными функциями с помощью управляемых источников. Имена таких источников начинаются с символа L (Laplace Sources) или Z (Z Transform Sources). Передаточные функции задаются с помощью формул — тогда после символа L указывается F — или табличной зависимостью — добавляется символ Т (для Laplace Sources). Например, LFVofV означает задаваемый формулой передаточной функции источник напряжения, управляемый напряжением. Эти источники используются не только при расчете частотных характеристик, но и проведении всех остальных видов анализа.
229
Laplace Sources
В формате SPICE описываются два источника EVofV и GlofV [9].
Формат схем:
Атрибут PART: <имя>
Атрибут LAPLACE для источников LFIofl, LFIofV, LFVofV, LFVofl: <выражение>
Атрибут FREQ для источников LTIofl, LTIofV, LTVofV, LTVofl:
«частота>, <модуль>, «раза»*
Атрибут KEYWORD [[DB | MAG] [DEG | RAD]] | [R_l]
Входящие в эти определения ключевые слова означают следующее:
DB — модуль передаточной функции задается в децибелах (по умолчанию);
MAG — модуль передаточной функции задается в абсолютных единицах;
DEG — фаза передаточной функции в градусах (по умолчанию);
RAD — фаза передаточной функции в радианах;
RJ — таблица содержит действительные и мнимые части передаточной функции.
Для источников типа LFIofV, LFIofl, LFVofV и LFVofl в качестве параметра задается формула передаточной функции комплексной переменной S. Например,
1/(1 +.001 *S+1E-8*S*S)
При расчете частотных характеристик (режим АС) переменная S заменяется на 2*РГ]*Р. При расчете режима по постоянному току (режим DC) полагается S=0. При расчете переходных процессов (режим Transient analysis) отыскивается импульсная переходная характеристика четырехполюсника путем обратного преобразования Фурье его передаточной функции, затем путем свертки импульсной характеристики с входным сигналом отыскивается выходное колебание.
Для источников типа LTIofV, LTIofl, LTVofV и LTVofl задается таблица передаточной функции. Частота задается в герцах, модуль передаточной функции в децибелах или абсолютных единицах, фаза в градусах или радианах. При указании ключевого слова RJ вместо модуля и фазы передаточной функции задаются значения ее действительной и мнимой части. Значения передаточной функции указываются в порядке возрастания частоты. Для расчета передаточной функции между опорными точками применяется линейная интерполяция в логарифмическом масштабе. Значения пе
230
редаточной функции вне заданного диапазона частот полагаются равными их значениям в крайних точках
Z Transform Sources
В формате SPICE такие источники отсутствуют.
Формат схем МС:
Атрибут PART: <имя>
Атрибут ZEXP: <выражение для г-преобразования>
Атрибут CLOCK FREQUENCY: <частота дискретизации для г-преобразования>
Например, цифровой фильтр с передаточной функцией
.	0.10285(z + 1)(z2-0.070621z + 1)
(z-0.55889)(z2 -1.1579Z +0.76494)
задается с помощью управляемого источника Е1. При схемном вводе атрибуту ZEXP присваивается значение, которое определим с помощью переменной ZFILTER, в свою очередь определяемой с помощью директивы .DEFINE:
.DEFINE ZFILTER ,10285*(Z+1)*(Z* Z-.070621*Z+1)/((Z-.55889)*(Z* Z-1.1579*Z+.76494))
Частота дискретизации 24 кГц задается с помощью атрибута CLOCK FREQUENCY=24k.
В предыдущей версии МС5 источники Z Transform Sources отсутствуют, поэтому передаточные функции цифровых фильтров задавались гораздо сложнее [8].
4.7.	Функциональные источники сигналов (Function Sources)
Управляемые источники Function sources, имена которых начинаются с N, задаются функциональными зависимостями во временной области.
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE для источников NFV и NFI: <формула> Атрибут TABLE для источников NTIofl, NTIofV, NTVofV, NTVofi: (<x1>,<y1>) (<x2>,<y2>) ... (<xk>,<yk>)
Нелинейные зависимые источники напряжения NFV и тока NFI описываются произвольной функциональной зависимостью от напряжений и токов схемы, например:
10*Sin(2*PI*1 E6*T)*V(3)*l(L1)*EXP(-V(IN)/100ns)
K‘POW((V(Plate)-V(Cathode)+Mu*(V(Grid)-V(Cathode))),1.5)
231
Зависимые источники NTVofl, NTIofl, NTIofV и NTVofV задаются таблицей зависимостей значений выходного сигнала ук от значений входного сигнала хк. Значения отсчетов выходного сигнала у указываются в порядке возрастания аргумента х. Для расчета выходного сигнала между опорными точками применяется линейная интерполяция. Значения сигнала у вне заданного диапазона изменения аргумента полагаются равными их значениям в крайних точках.
Приведем пример (-.01,-10) (.01,10)
Для источника NTVofl выходной сигнал — ЭДС источника, аргумент — ток входной ветви Для источника NTIofl выходной сигнал — ток источника, аргумент — ток входной ветви Для источника NTIofV выходной сигнал — ток источника, аргумент — напряжения на входных зажимах. Для источника NTVofV выходной сигнал — ЭДС источника, аргумент — напряжение на входных зажимах.
4.8.	Смесь (Miscellaneous)
В раздел Miscellaneous (Смесь) помещены ключи, стрелки и контакты.
Ключ (Switch)
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE: <[V | Т 11] <n1,n2>[,Ron>[,<Roff>]]
При расчете переходных процессов используются ключи, управляемые разностью потенциалов, током (через индуктивность) и коммутируемые в определенные моменты времени. Это наиболее старый вид ключей, применяемых в ранних версиях программы МС. В последней версии используются также ключи типа S и W (см. ниже), имеющие более плавный переход между состояниями “включено” и “выключено”. Здесь приняты обозначения1
V — управление разностью потенциалов;
I — управление током;
Т — переключение в определенные моменты времени;
п1, п2 — значения управляющей величины, при которых происходят переключения;
Ron, Roff — сопротивления ключа в замкнутом и разомкнутом состояниях.
Если п1<п2, то ключ замкнут (находится в состоянии ON) при управляющем сигнале п1<Х<п2 и разомкнут (находится в состоянии OFF), когда Х<п1 или Х>п2.
Если же л1>п2, то ключ замкнут (ON) при управляющем сигнале
232
п1>Х>п2 и разомкнут (OFF), когда Х>п1 или Х<п2.
Для ключей типа V управляющий сигнал X представляет собой разность потенциалов между управляющими выводами ключа.
Для ключей типа I управляющий сигнал X представляет собой ток через индуктивность, включенную между управляющими выводами ключа.
Для ключей типа Т управляющий сигнал X представляет время, при этом управляющие выводы ключа должны быть заземлены.
При выполнении расчетов частотных характеристик или режима по постоянному току ключ заменяется постоянным сопротивлением.
Приведем примеры спецификации ключей; V,2,3 l,2ma, 3ma, 0.01, 1MEG Т, 5us, 6us
Ключ, управляемый напряжением (S)
Формат SPICE:
Sxxx <+узел> <-узел> <+управляющий узел> <-управляющий узел> <имя модели>
Здесь <+узел> и <-узел> — номера узлов, к которым подсоединен ключ; <+управляющий узел>, <-управляющий узел> — номера узлов, разность потенциалов которых управляет ключом.
Формат схем МС:
Атрибут PART: <имя>
Атрибут MODEL: <имя модели>
Параметры ключа задаются по директиве
.MODEL имя модели> VSWITCH (\/ОЫ=<значение> \/ОЕЕ=<значение>
+ RON=<3wawewue> ROFF=<3wa4ewue>)
Параметры модели ключа, управляемого напряжением, приведены в табл. 4.19.
Таблица 4.19
Параметры модели ключа
Обозначение	Параметр	Размерность	Значение по умолчанию
VON	Напряжение замыкания ключа	В	1
VOFF	Напряжение размыкания ключа	В	0
233
Обозначение	Параметр	Размерность	Значение по умолчанию
RON	Сопротивление замкнутого ключа	Ом	1
ROFF	Сопротивление разомкнутого ключа	Ом	106
Если VON>VOFF, то ключ замкнут при управляющем напряжении l/ynp>VON и разомкнут при l/ynp<VOFF. На интервале VOFF<l/ynp <VON сопротивление ключа плавно изменяется от значения ROFF до RON. Если VON<VOFF, то ключ замкнут при 1/упр <VON и разомкнут при Уупр>УОРР.
Ключ, управляемый током (W)
Формат SPICE:
\Nxxx <+узел> <-узел> <имя управляющей ветви> <имя моде-ли>
Здесь <имя управляющей ветви> — имя источника напряжения (его ЭДС может быть равна нулю), ток через который управляет ключом.
Формат схем МС:
Атрибут PART: <имя>
Атрибут REF: <имя источника тока, управляющего ключом>
Атрибут MODEL: <имя модели>
Параметры ключа задаются по директиве
.MODEL <имя модели> ISWITCH (\0№=<значение> ЮРР=<значение> + RON=<3wa4ewue> РЮРР=<значение>)
Смысл этих параметров такой же, как для ключа, управляемого напряжением, только параметр ION по умолчанию равен 1 мА.
Стрелки (Arrow) и контакты (Bubble)
Если в графе Definition редактора компонентов Component Editor (разд. 2.3) выбран тип Blank (пустой), то компонент такого типа не имеет электрических свойств, не участвует в моделировании и предназначен лишь для нанесения на схему дополнительной информации. К таким компонентам относится Arrow — стрелка, указывающая, в частности, направление тока, и Bubble — контакт, помеченный текстовой меткой.
234
4.9.	Макромодели, заданные схемами замещения (Macros)
Формат схем МС:
Атрибут PART: <имя>
Атрибут VALUE: <имя макромодели>(список параметров)
Например, тиристор 2N5168 имеет атрибуты:
PART = 2N5168
VALUE = SCR(50m, 40m, 1 u, 1,50,50MEG,30u,105,1)
Для создания макромодели типа Macro необходимо выполнить следующее (см. п. 2.3.2):
1.	Создать схему макромодели По команде Options>Mode/Text (вызывается также нажатием ) присвоить имена узлам схемы, которые должны быть выводами макромодели. Если необходимо передавать в макромодель значения параметров, имена этих параметров декларируются в директиве .PARAMETERS. Записать схему в файл, имя которого должно совпадать с именем макромодели (расширение имени .CIR).
2.	С помощью Component Editor занести макромодель в библиотеку компонентов. Для этого в поле Name ввести имя макромодели, в поле Shape указать имя подходящего символа, в поле Definition ввести Macro. Затем буксировкой курсора разместить выводы макромодели в нужных местах. Имена выводов совпадают с именами узлов, присвоенными на схеме замещения.
3.	Добавить в один из библиотечных файлов *.LIB не обязательную директиву .MACRO для замены длинного списка численных значений параметров, указываемых в атрибуте VALUE, коротким именем.
При размещении символа макромодели на схеме выполняются следующие операции. Сначала в библиотеке компонентов выбирается имя макромодели Щелчком курсора символ макромодели размещается на схеме В открывшемся окне заполняется значение атрибута VALUE одним из следующих способов:
1. В списке параметров указываются их численные значения, причем они перечисляются в том же порядке, в котором приведены в директиве .PARAMETERS на схеме замещения макромодели, например SCR(50m,40m,1u,1,50,50MEG,30u, 105,1).
2. В качестве значения атрибута VALUE указывается имя псевдонима, указанное в директиве .MACRO. Эта директива имеет формат:
.MACRO <имя псевдонима> <имя макромодели>(список параметров)
235
Например, тиристору можно присвоить псевдоним 2N5168, внеся в одну из библиотек *.LIB директиву
.MACRO 2N5168 SCR(50m,40m, 1 u,1,50,50MEG,30u, 105,1).
Тогда атрибуту VALUE символа макромодели можно присвоить значение 2N5168.
Если в списке параметров вместо численных значений указаны идентификаторы переменных, то все они должны быть определены на схеме с помощью директив .DEFINE.
4.10. Макромодели, заданные текстовым описанием (Subckts)
Формат SPICE:
Хххх <список узлов> <имя макромодели> [PARAMS:«umh параметрам <значение»*]
+ [ТЕХТ;«имя текста>=<текст»*]
Примеры;
Х1 4 0 15 16 8 K140UD6A
Х2 100 200 DIFF PARAMS GAIN=10
Формат схем МС:
Атрибут PART: <имя>
Атрибут NAME: <имя макромодели>
Атрибут FILE: <имя файла>
Атрибут PARAMS: [«имя параметра>=<значение параметра»*] Атрибут TEXT: [«имя текста>=<текст»*]
Здесь приняты следующие обозначения:
<	список узлов> — номера или имена узлов выводов макромодели, перечисленные в том же порядке, в котором они указаны в директиве текстового описания макромодели .SUBCKT;
<	имя макромодели> — имя макромодели, совпадающее с именем, указанным в директиве .SUBCKT, и с именем, под которым ее символ занесен в библиотеку компонентов;
<имя файла> — имя текстового файла, в который может быть помещена директива .SUBCKT. Программа МС7 ищет директиву .SUBCKT в следующем порядке:
•	если цепь описывается схемой, то сначала просматривается область текста, затем файл, указанный в атрибуте FILE, после этого в одном или нескольких файлах, указанных в директивах .LIB, и, наконец, в файлах, перечисленных в файле NOM.LIB;
•	если цепь имеет текстовое описание в формате SPICE, то сначала просматривается содержание этого файла, затем файлы, указанные в директивах .LIB, и, наконец, в файлах, перечисленных
236
в файле NOM.LIB.
Ключевое слово PARAMS применяется для передачи в макромодели числовые значения переменных.
Для создания макромодели типа Subckt необходимо выполнить следующее (см. п. 2.3.2):
1. Создать текстовый файл с описанием макромодели по директиве .SUBCKT;
2. Занести макромодель в библиотеку компонентов. Для этого в поле Name ввести имя макромодели, в поле Shape указать имя подходящего символа, в поле Definition ввести Subckt. Включить опцию Model=Component Name. Затем буксировкой курсора разместить выводы макромодели в нужных местах и присвоить им те же имена, что указаны директиве .SUBCKT.
Символы макромоделей размещаются на схеме, как обычные компоненты.
4.11. Соединители (Connectors)
В разделе Connectors (Соединители) размещены четыре группы компонентов: Ground (“земля”), Short (перемычки с электрическим соединением в точке пересечения с проводником), Jumper (перемычки без электрического соединения в точке пересечения с проводником), Tie (соединители).
Ground
Элемент Ground (“земля”) обязательно должен содержаться на каждой схеме перед выполнением моделирования. Этой цепи программа МС7 присваивает номер 0, ее потенциал считается равным нулю.
Short
Элемент Short выполняет электрическое соединение цепей. Имеются несколько таких перемычек разной длины, которые удобно использовать для разделения выводов соединяемых компонентов. Обычно же проводники вводятся в режиме проведения линий, выбирая кнопку Line на панели инструментов.
Jumper и Tie
В ранних версиях МС пересекающиеся на схеме проводники считались электрически соединенными. Поэтому для пересечения проводников без электрического соединения использовались элементы типа Jumper и Tie. Элемент Jumper (джампер) представляет собой дугообразную перемычку, в точке пересечения которой с другим проводником нет электрического соединения. Для соединения
237
двух или нескольких далеко разнесенных на схеме точек используются элементы Tie, которые помечаются текстовыми метками — все элементы Tie с одинаковыми метками считаются электрически соединенными.
В программе МС7 принято соглашение, что пересекающиеся проводники, не имеющие символа точки при их пересечении, считаются электрически не соединенными (см. рис. 2.5). Поэтому наличие компонента типа Jumper можно считать атавизмом — он более не нужен. Элементы типа Tie могут по-прежнему находить применения для электрического соединения значительно удаленных друг от друга цепей.
238
Глава 5
ПРОГРАММА РАСЧЕТА ПАРАМЕТРОВ МОДЕЛЕЙ АНАЛОГОВЫХ КОМПОНЕНТОВ MODEL
5.1. Общие сведения о программе MODEL
Программа расчета параметров математических моделей аналоговых компонентов MODEL аналогична программе Parts из пакета Design Center/DesignLab [8]. Она работает в интерактивном режиме и выполняет расчет и оптимизацию параметров математических моделей по их паспортным данным, введенных в табличной или графической форме. Оптимизация выполняется с помощью прямого метода Пауэлла. При вводе графиков должно быть заданы координаты характерных точек: от двух до пяти — чем больше данных, тем точнее оцениваются параметры моделей. Ряд данных записываются в виде отдельных чисел, если данные отсутствуют, то принимаются их значения по умолчанию. Считается, что все экспериментальные данные о параметрах компонентов измерены при комнатной температуре.
Программа MODEL вызывается выбором команды Model Program меню Windows программы МС7 или из непосредственно из среды Windows щелчком на пиктограмме Ее можно вызвать также из командной строки, указав имя загружаемой библиотеки:
MODEL <имя файла библиотеки>[.МО1.]
Программа MODEL сохраняет введенные пользователем паспортные данные компонентов и рассчитанные параметры математических моделей в бинарных файлах с расширением имени .MDL. Эти файлы можно загружать в программу MODEL для уточнения параметров моделей, не повторяя ввода паспортных данных, что очень удобно в процессе отладки библиотек моделей (в программу моделирования МС7 их передавать нельзя). После завершения отработки библиотеки целесообразно удалить из этого файла входные данные и преобразовать его с помощью команды File>Create Model Library в компактную форму. Эти бинарные файлы имеют расширение имени .LBR и они предназначены для передачи в программу моделирования МС7 (кроме того, с помощью программы МС7 их можно просматривать и редактировать). При
239
этом исходные MDL-файлы целесообразно сохранить для возможных изменений в будущем. Для обмена данными с программой PSpice достаточно воспользоваться командой File>Create SPICE file для создания текстового файла с расширением имени .LIB. Библиотечные файлы с расширением имени .LBR и .LIB подключаются к программе МС7 с помощью файла NOM.LIB, в котором перечисляются директивы .LIB с указанием имен файлов библиотек (файл NOM LIB помещается в каталоге \DATA). Приведем в качестве примера фрагмент файла NOM.LIB:
.lib "diode.lbr"
Jib "mpbjt.lbr"
Jib "europe.lbr"
Jib "jfet.lbr"
Jib "varactor Ibr"
Jib "linear.lib"
Jib "burrbn.hb"
Jib "analog lib"
Jib "digio.lib"
Jib "dig150.lib"
Jib "digpld Jib”
Jib rusJib
5.2. Интерфейс программы MODEL
После загрузки программы MODEL на экране появляется ее меню, показанное на рис. 5.1. Помимо стандартных кнопок управления окнами, в нем располагаются следующие поля:
Меню команд — содержит названия ниспадающих меню;
Текстовые поля — содержит четыре строки Т1, Т2, ТЗ и Т4, содержание которых переносится в библиотеку моделей. Строка Т1 содержит имя компонента (Part name), оно используется для сортировки в каталоге библиотеки, остальные — комментарии с дополнительной информацией;
Таблица данных — таблица с двумя или тремя колонками для ввода значений координат характеристик компонента, опубликованных в справочниках или снятых экспериментально. Количество колонок зависит от типа компонента и характера данных;
Окно графиков характеристик — отображение графиков характеристик модели На них также отмечаются точки данных, если они вводились пользователем. Близость этих точек к построенным графикам свидетельствует о точности модели. Численно значение среднеквадратического отклонения в процентах указывается сверху от графиков на строке Error;
240
Параметры модели — таблица параметров модели, полученных в результате обработки введенных данных.
Условия измерений — значения дополнительных параметров, при которых приведены экспериментальные данные.
Рис. 5.1. Окно программы MODEL
Опорные точки на этом графике можно перемещать курсором.
Приведем далее описание меню, доступных при работе с программой MODEL.
5.2.1.	Меню File
Меню File содержит команды для работы с файлами библиотек математических моделей. Список команд этого меню приведен в табл. 5.1.
Таблица 5.1.
Команды меню File
Команда	Назначение
New... (Ctrl+N)	Создание нового файла данных, содержащего один компонент
241
Команда	Назначение
Open... (Ctrl+O)	Открытие существующего файла данных (расширение имени .MDL)
Save (Ctrl+S)	Сохранение текущего файла данных
Save As...	Сохранение текущего файла данных под новым именем
Create SPICE file...	Создание текстового файла параметров моделей, содержащихся в текущем файле данных. Этот файл получает расширение имени .LIB и может быть загружен в программу моделирования МС7, но не в программу MODEL
Create Model Library...	Запись параметров моделей компонентов текущей библиотеки в бинарный файл с расширением имени .LBR, который может быть загружен в программу МС7 для просмотра и редактирования. Его применение при моделировании обеспечивается более быстрый, чем в текстовых файлах .LIB, доступ к параметрам отдельных компонентов
Revert	Восстановление содержания текущего файла с диска
Close (Ctrl+F4)	Закрытие текущего файла данных
Merge	Слияние содержания текущей библиотеки с файлом, находящимся на диске
Sort	Сортировка компонентов текущей библиотеки по именам, указанным в текстовом поле Т1
Файл 1	Файл 8	Список последних восьми загруженных файлов
Exit (Alt+F4)	Завершение работы с программой MODEL
5.2.2.	Меню Edit
Меню Edit содержит команды редактирования, их список приведен в табл. 5.2.
Таблица 5.2
Команды меню Edit
Команда	Назначение
Undo (Ctrl+Z)	Отмена последней команды редактирования текстовых полей
Cut (Ctrl+X)	Удаление выбранного текста и размещение его в буфер обмена Windows
242
Команда	Назначение
Copy (Ctrl+C)	Копирование выбранного текста в буфер обмена
Paste (Ctrl+V)	Копирование содержания буфера обмена в позицию, указанную курсором
Clear (Del)	Удаление выбранного текста без копирования в буфер обмена
Select All (Ctrl+A)	Выбор всего текста в текущем текстовом поле
Copy Front Window to Clipboard	Копирование содержания текущего окна в буфер обмена
Change Polarity	Изменение полярности (типа проводимости) текущего транзистора. Например, транзистор NPN заменяется на PNP
Add Part	Добавление нового компонента в текущий файл данных. Тип компонента выбирается иг предлагаемого списка: DIODE, NPN, PNP, NMOS.PMOS, NJFET, PJFET, OPAMP, CORE
Delete Data...	Удаление указанной курсором строки из таблицы данных
5.2.3.	Меню Windows
Меню Windows содержит команды работы с окнами. Список его команд приведен в табл. 5.3.
Таблица 5.3
Команды меню Windows
Команда	Назначение
Cascade (Shift+F5)	Каскадное расположение открытых окон
Title Vertical (Shift+F4)	Последовательное расположение открытых окон по вертикали
Title Horizontal	Последовательное расположение открытых окон по горизонтали
Arrange Icons	Упорядочивание размещения иконок свернутых окон в нижней части экрана
Debug	Внесение исправлений
1 «заголовок окна>	Активизация и размещение на переднем плане файла библиотеки, выбирая его из списка открытых файлов
243
5.2.4.	Меню Options
Меню Options содержит команды задания различных параметров программы MODEL, список которых приведен в табл. 5.4.
Таблица 5.4
Команды меню Options
Команда	Назначение	
Help Ваг	Размещение/удаление строки помощи в нижней части экрана	
Preferences	Задание параметров:	
	File Warning	Предупреждение о необходимости сохранения файлов при их изменении
	Sound	Включение звукового сигнала при выдаче предупреждающего сообщения
	Quit Warning	Предупреждение о необходимости сохранения измененных файлов при выходе из программы
	Time Stamp	Простановка времени
	Date Stamp	Простановка даты
Global Settings	Процесс оптимизации прекращается, если текущие значения ошибок аппроксимации меньше заданных:	
	Maximum Relative Per-iteration Error	Относительная разность среднеквадратических значений ошибки аппроксимации на соседних шагах оптимизации
	Maximum Percentage Per-iteration Error	Разность среднеквадратических значений ошибки аппроксимации на соседних шагах оптимизации в процентах
	Maximum Percentage Error	Среднеквадратическое значение ошибки аппроксимации
Model Default	Установка параметров модели по умолчанию	
Color Preferences	Выбор цвета графиков, точек данных, линий сетки, фона и оцифровки осей	
244
Команда	Назначение
Auto Scale (F6)	Автоматическое масштабирование графиков
Manual Scale (F9)	Задание масштаба по осям координат графиков вручную
Step Model Parameters	Вариация параметров модели. Имя варьируемой переменной и шаг изменения задаются в окне типа рис. 3.12,а. Изменение значения параметра на один шаг выполняется нажатием любой клавиши -результаты сразу отображаются на графиках
5.2.5.	Меню View
Меню View содержит команды просмотра содержания окон характеристик текущего компонента библиотеки и выбор другого компонента из библиотеки. Перечень команд приведен в табл. 5.5.
Таблица 5.5
Команды меню View
Команда	Назначение
Part List (Ctrl+L)	Вывод списка компонентов текущей библиотеки. Двойной щелчок на имени компонента открывает список его параметров. С помощью этого меню модели компонентов можно удалять, копировать и вставлять в другое место текущей или другой библиотеки через буфер обмена. Для этого в списке мышью выбирается один или более компонентов и затем используются стандартные команды Windows Ctrl+C, Ctrl+X, Ctrl+V и Delete
Find Part (Ctrl+F)	Поиск компонента по имени
Previous Part (Ctrl+T)	Переход к предыдущему компоненту в списке
Next Part (Ctrl+J.)	Переход к следующему компоненту
First Part (Ctrl+Home)	Переход к первому компоненту в списке
Last Part (Ctrl+End)	Переход к последнему компоненту в списке
Previous Graph (Ctrl+<—)	Переход к предыдущему графику текущего компонента
Next Graph (Ctrl+->)	Переход к следующему графику текущего компонента
First Graph (Ctrl+Shift+<-)	Переход к первому графику текущего компонента
245
Команда	Назначение
Last Graph (Ctrl+Shift+->)	Переход к последнему графику текущего компонента
All Graphs	Одновременное изображение всех графиков
One Graph at a Time	Изображение только одного графика текущего сеанса
5.2.6.	Меню Run
Меню Run содержит команды инициализации и оптимизации параметров моделей компонентов, список которых приведен в табл. 5.6.
Таблица 5.6
Команды меню Run
Команда	Назначение
Initialize (Ctrl+I)	Присвоение параметрам модели текущего окна значений по умолчанию. Обычно выполняется перед оптимизацией параметров
Optimize (Ctrl+T)	Оптимизация параметров модели, оцениваемых в текущем окне
Initialize and Optimize All	Присвоение всем параметрам модели компонента значений по умолчанию и выполнение их оптимизации
Optimize All	Оптимизация всех параметров модели компонента (прерывается клавишей Esc)
5.3. Работа с программой MODEL
Проиллюстрируем работу с программой MODEL на примере биполярного транзистора КТ316А, справочные данные на который взяты из справочника.
Начнем с открытия нового файла библиотеки моделей по команде File>New, присвоив ему уникальное имя или открытия одного из существующих файлов по команде File>Open. Далее по команде Edit>Add Part добавляем в библиотеку новый компонент, выбрав его тип NPN (биполярный транзистор п—р—л-типа). После этого открывается изображенное на рис. 5.2 окно, в котором курсор первоначально находится в первом текстовом поле Т1. Вводим в этом поле имя транзистора КТ316А (только латинскими символа
246
ми) и в следующих полях произвольные комментарии (вот здесь можно использовать и символы кириллицы).
На первом экране в таблицу данных заносят значения тока коллектора 1с и напряжения база-эмиттер Vbe в режиме насыщения. Далее нажатием клавиш Ctrl+I присваивают параметрам модели начальные значения (процесс инициализации) — их значения отображаются в окне Model Parameters (Параметры модели). Далее нажатием Ctrl+T выполняют оптимизацию параметров на основании введенных данных. В результате рассчитываются параметры модели RE, NF и IS так, чтобы график зависимости Vbe(lc) был наиболее близок к заданным значениям, которые отмечены на рис. 5.2 прямоугольными значками. Параметры EG и XTI не оцениваются, им присваиваются стандартные значения. В окно Model Parameters (Параметры модели) выведены все параметры, которые определены на основании введенной порции справочных данных и назначены по умолчанию. Ошибка аппроксимации составляет 1,4%.
Рис. 5.2. Биполярный транзистор КТ316А. Аппроксимация зависимости напряжения база-эмиттер от тока коллектора Vbe(lc) в режиме насыщения
247
Нажатие клавиш Ctrl+—> открывает окно построения зависимости коэффициента передачи по току Beta от тока коллектора 1с После ввода ряда значений lc, Beta и задания напряжения коллектор-эмиттер Vce=1 В (данные приведены для комнатной температуры 25 °C), при которых проводились измерения, снова выполняют команды инициализации и оптимизации Ctrl+I, Ctrl+T, В результате будет построен график зависимости Beta(lc) и рассчитаны параметры модели NE, ISE, BF, IKF, как показано на рис. 5.3.
Рис. 5.3. Зависимость статического коэффициента передачи тока Beta от тока коллектора lc
Перейдем нажатием клавиш Ctrl+—> к окну построения зависимости барьерной емкости перехода база-колектор Cob от напряжения смещения Vcb. В справочника указано только одно значение емкости Cob = 3 пФ при напряжении Vcb = 10 В. После выполнения команды Ctrl+T программа MODEL вычисляет значения параметров CJC, MJC, VJC, FC и строит график зависимости Cob(Vcb), как показано на рис. 5.4.
248
Рис. 5.4. Изучение зависимости графика Cob(Vce) от параметра MJC
На этом же рисунке иллюстрируется вариация параметров, выполняемая по команде Options>Step Model Parameters. В окне Step Parameters указывается имя варьируемого параметра, тип перестройки (линейный или логарифмический) и пределы его изменения. При выборе линейной шкалы Linear параметр Step Value означает шаг приращения варьируемого параметра, а при выборе логарифмической шкалы Log - величину, на который умножается текущее значение параметра для получения его следующего значения (см. также разд. 3.4). Изменение параметра выполняется после нажатия на любую клавишу - на экране сразу перестраивается график зависимости Cob(Vcb), и можно вручную быстро подобрать его наиболее приемлемое значение.
Расчет остальных параметров транзистора выполняется аналогично (см. подробности в следующем разделе). Построение модели завершается командой Save меню File для ее занесения в файл открытой библиотеки (см. также комментарии в конце разд. 5.1).
5.4. Параметры моделей аналоговых компонентов
Приведем перечни справочных данных для всех типов компонентов, включенных в программу MODEL, обозначение их параметров объясняется в разд. 4.2—4.3.
249
Диоды
Таблица 5.7
Диоды
Прямая ветвь вольт-амперной характеристики	
Входные данные	Таблица значений If, Vf
Оцениваемые параметры	IS, N, RS
Уравнения	Vf = VTIn(lfZIS) + If-RS
Комментарии	Данные для малых токов диода If определяют значения параметров IS, N, больших токов — сопротивление RS
Барьерная емкость перехода	
Входные данные	Таблица значений Cj, Vr
Оцениваемые параметры	CJO, М, VJ, FC
Уравнения	Cj = CJO/(1 + Vr/VJ)M
Комментарии	Напряжение обратного смещения Vr всегда положительно. Параметры EG, XTI назначаются по умолчанию
Обратная ветвь вольт-амперной характеристики	
Входные данные	Таблица значений Irev, Vrev
Оцениваемые параметры	RL
Уравнения	Irev = Vrev/RL
Комментарии	Сопротивление RL моделирует утечку закрытого диода, напряжение пробоя BV устанавливается по умолчанию (оно редактируется пользователем) и участок пробоя на графиках не отображается
Рассасывание носителей заряда	
Входные данные	Таблица значений Trr, lr/lf
Оцениваемые параметры	тт
Уравнения	Trr = ТТ log(1 + lf/lr)
Комментарии	Среднее время пролета ТТ оценивается на основе зависимости времени рассасывания Тгг от отношения обратного и прямого тока lr/lf. при котором оно измерялось
250
Биполярные транзисторы
Таблица 5 8
Биполярные транзисторы
Напряжение на переходе база-эмиттер в режиме насыщения	
Входные данные	Таблица значений Vbe, lc
Оцениваемые параметры	IS, NF, RE
Уравнения	Vbe=VT NF ln(lc/IS)+lc RE
Комментарии	Рассчитывается зависимость напряжения насыщения Vbe от тока коллектора lc. Параметры EG, XTI назначаются по умолчанию
Выходная проводимость	
Входные данные	Таблица зависимости выходной проводимости Ное от тока коллектора lc
Условия	Напряжение смещения Vce
Оцениваемые параметры	VAF
Уравнения	Ное = IC/(VAF + Vce - 0,7)
Статический коэффициент передачи по току	
Входные данные	Таблица зависимости статического коэффициента усиления тока в схеме ОЭ Beta от тока коллектора lc
Условия	Напряжение смещения Vce
Оцениваемые параметры	NE, ISE, BF, IKF
Уравнения	Табличная зависимость Beta = f(lc)
Напряжение насыщения коллектор-эмиттер	
Входные данные	Таблица зависимости напряжения насыщения коллектор-эмиттер Vce от тока коллектора lc
Условия	Отношение lc/lb
Оцениваемые параметры	NC, ISC, BR, IKR, RC
Уравнения	Vce = f(lc) + lc(RC + RE)
Барьерная емкость перехода коллектор-база	
Входные данные	Таблица значений Cob, Vcb
Оцениваемые параметры	CJC, MJC, VJC, FC
251
Уравнения	Cob = CJC/(1 + Vcb/VJ)MJC
Комментарии	Напряжение обратного смещения Vcb всегда положительно
Барьерная емкость перехода эмиттер-база	
Входные данные	Таблица значений Cib, Veb
Оцениваемые параметры	CJE, MJE, VJE
Уравнения	Cib = CJE/(1 + Veb/VJ)MJE
Комментарии	Напряжение обратного смещения Veb всегда положительно.
Время рассасывания заряда	
Входные данные	Таблица зависимости времени рассасывания Ts от тока коллектора Ic
Условия	Отношение токов lc/lb
Оцениваемые параметры	TR
Уравнения	ar = br/(1 + br), af = bf/(1 + bf), k1 = (1-afar)/ar, k2 = (af/ar)TF, Ts = ((TR + k2)/k1 )ln(2/((lc/lb)/bf + 1))
Комментарии	Среднее время пропета TR оценивается на основе зависимости времени рассасывания Ts от тока коллектора Ic
Площадь усиления	
Входные данные	Зависимость граничной частоты коэффициента передачи тока Ft в схеме с ОЭ от тока коллектора Ic
Условия	Напряжение Vce
Оцениваемые параметры	TFJTF.XTF, VTF
Уравнения	Vbe = VT N ln(lc/ISS), Vbc = Vbe - Vce, atf = 1 + XTF(lc/(lc + ITF)2 e <vM1.44Vtf; , tf = TF(atf + 2(atf - 1 )ITF/(lc + ITF) + VTN(atf-1)/(1,44VTF), fa =(1 - Vbc/VAF)(1 - Vbc/VAF), Ft = 1/(2PI(tf/fa + VTN(Cje + Cjc(1 + +lc-RC/(VTN)))/lc))
252
Полевые транзисторы
Таблица 5.9.
Полевые транзисторы
Проходная характеристика	
Входные данные	Таблица зависимости тока истока Id от напряжения затвор-исток Vgs
Оцениваемые параметры	BETA, VTO, RS
Уравнения	Vgs = RS- Id - VTO - IdjBETA
Выходная проводимость	
Входные данные	Таблица зависимости статической проводимости сток-исток Gos от тока истока Id
Условия	Напряжение смещения Vce
Оцениваемые параметры	LAMBDA
Уравнения	Gos = Id-LAMBDA
Проходная емкость	
Входные данные	Таблица зависимости проходной емкости Crss от напряжения затвор-исток Vgs
Условия	Напряжение сток-исток Vds
Оцениваемые параметры	CGD, РВ, FC
Уравнения	Crss=CGS/A/(Vds- Vgs)/PB при Vds-Vgs<FC-PB, Crss=CGS/(1-FC)15 (1-FC-1,5+0,5(Vds- Vgs)/PB) при Vds-Vgs>FC-PB
Входная емкость	
Входные данные	Таблица зависимости входной емкости Ciss от напряжения затвор-исток Vgs
Условия	Напряжение сток-исток Vds
Оцениваемые параметры	CGS
Уравнения	Crss=Ciss+CDS/7l - Vgs/PB при Vgs<FC-PB, Crss = Ciss + CDS/(1 - FC)15 x x (1 - FC-1,5 + 0,5Vgs/PB) при Vgs > FC PB
253
Фликкер-шум	
Входные данные	Таблица зависимости корня квадратного из спектральной плотности выходного напряжения Еп от частоты f
Оцениваемые параметры	KF, AF
Уравнения	Vgs = VTO + IdRS + Vld/BETA , Gm = 2BETA(Vgs - VTO), En = 8k T Gm/3+ (KF-ldAF)/f/Gm
МОП-транзисторы
Таблица 5.10.
МОП-транзисторы
Передаточная проводимость	
Входные данные	Таблица зависимости проводимости прямой передачи Gfs от тока стока Id
Оцениваемые параметры	КР, RS, W, VTO, L
Уравнения	Beta = KPW/L, И = 2 Id beta , Gfs = t1/(1 + RSt1)
Комментарии	Наличие данных для больших токов Id повышает точность оценки сопротивления RS
Сопротивление канала в режиме “включено”	
Входные данные	Таблица зависимости статического сопротивления сток-исток Ron от тока стока Id
Условия	Напряжение затвор-исток Vgs
Оцениваемые параметры	RD
Уравнения	Beta = KPW/L, Vgst = Vgs-VT-Id RS, Vds = vgst - vgst2 - 2  Id/beta , Ron = RD + RS + 1/(beta(vgst - Vds))
Выходная характеристика	
Входные данные	Таблицы трех значений Id, Vds и Vgs
254
Оцениваемые параметры	W, VTO, RD, RS, LAMBDA
Уравнения	Id = 0 при Vgs < VTO, Id = KPW/L(Vgs - VTO - 0,5Vds)Vds(1 + ♦LAMBDAVds) при Vgs - VTO > Vds, Id = 0,5KP W/L(Vgs - VTO)2(1+LAMBDAVds) при Vgs - VTO<Vds
Комментарии	Уточняются найденные ранее значения параметров W, VTO, RD, RS, LAMBDA. Параметры КР и L участвуют в расчетах, но не оптимизируются. Поэтому если эти параметры были найдены ранее, то команду инициализации нельзя использовать, переходите сразу к оптимизации
Сопротивление утечки канала при нулевом смещении на затворе	
Входные данные	Таблица зависимости тока стока Id от напряжения сток-исток Vds
Условия	Напряжение Vgs = 0
Оцениваемые параметры	RDS
Уравнения	RDS=Vds/ld
Выходная емкость	
Входные данные	Таблица значений Ciss, Coss, Crss
Оцениваемые параметры	CBD, PB, MJ, FC
Уравнения	Cds = CBD/(1-Vds/PB)MJ
Объемный заряд в состоянии “включено”	
Входные данные	Таблица значений Q1, Q2
Условия	Напряжение сток-исток Vds (или напряжение питания Vdd) и ток стока Id
Оцениваемые параметры	CGSO, CGDO
Уравнения	Выполняется моделирование схемы для измерений величин Vgs и Qgs
Комментарии	Зависимость заряда области затвор-исток Qgs от напряжения затвор-исток Vgs имеет две точки излома, соответствующие переключению канала. Значение заряда в первой точка излома обозначается какО1, во второй точке - Q2
255
Время переключения	
Входные данные	Значения времени переключения Tf от уровня 90% до уровня 10%
Условия	Напряжение питания Vdd и ток стока Id
Оцениваемые параметры	RG
Уравнения	Выполняется моделирование схемы в режиме переключения, измеряется время переключения и подбирается значение RG для получения заданного значения Tf
Операционные усилители
Программа не строит каких-либо графиков характеристик операционных усилителей (ОУ). Пользователь на трех экранах вводит паспортные данные ОУ, на основании которых рассчитываются параметры его макромодели.
Таблица 5.11
Операционные усилители
Экран 1	
Входные данные	Вводятся значения параметров: LEVEL - тип модели, всегда назначается тип 3; TYPE - тип входных транзисторов: 1 -NPN, 2-PNP, 3-NJFET; С - емкость коррекции (30 пФ); А - коэффициент усиления на постоянном токе; ROUTAC — выходное сопротивление переменному току (75 Ом); ROUTDC — выходное сопротивление постоянному току (125 Ом); VOFF — напряжение смещения нуля
Экран 2	
Входные данные	Вводятся значения параметров: IOFF — разность входных токов смещения (10 нА); SRP — максимальная скорость нарастания выходного напряжения (5 Ю5 В/с); SRN — максимальная скорость спада выходного напряжения (5 -105 В/с); (BIAS — входной ток смещения (0,1 мА); VCC — напряжение положительного питания (15 В); VEE — напряжение отрицательного питания (-15 В); VPS — максимальное выходное положительное напряжение (13 В)
256
	Экран 3
Входные данные	Вводятся значения параметров: VNS — максимальное выходное отрицательное напряжение (-13 В); CMRR — коэффициент подавления синфазного сигнала (105 дБ); GBW — площадь усиления (равна произведению коэффициента усиления А на частоту первого полюса) (106 Гц); РМ — запас по фазе на частоте единичного усиления, град. (60); PD — потребляемая мощность (25 мВт); IOSC — выходной ток короткого замыкания (20 мА)
В скобках указаны значения по умолчанию
Ферромагнитные сердечники
Ферромагнитные сердечники
Таблица 5.12
Петля гистерезиса и кривые намагничивания
Входные данные	Таблица значений Н, В с указанием области петли гистерезиса Region
Оцениваемые параметры	MS, ALPHA, А, С, К
Уравнения	Уравнения Джилса-Атертона
Комментарии	Напряженность магнитного поля Н указывается в эрстедах, магнитная индукция В в гауссах. Параметр Region принимает значения 1, 2 и 3. Значение 1 соответствует начальной кривой намагничивания при изменении Н от 0 до Нтах, значение 2 — верхнему участку петли гистерезиса при изменении Н от Нтах до 0; значение 3 — нижнему участку петли гистерезиса при изменении Н от 0 до Нтах. Для повышения точности результатов на всех участках задается одинаковое число точек. Параметры геометрии сердечника AREA, PATH и GAP указываются пользователем
9—1361
257
Глава 6
МОДЕЛИРОВАНИЕ ЦИФРОВЫХ УСТРОЙСТВ
6.1. Основные понятия
Первоначально программа Micro-Cap была предназначена для моделирования чисто аналоговых устройств, программа Micro-Logic — моделирования чисто цифровых устройств [5]. В версии MicroCap V появилась принципиально новая возможность моделирования смешанных аналого-цифровых цепей с обратными связями, которые, в частности, могут состоять только из цифровых устройств и не содержать аналоговых блоков. Обычно смешанные цепи моделируются в режиме расчета переходных процессов, однако другие режимы также доступны. В режиме DC задержки сигналов в цифровых блоках игнорируются и рассчитываются логические уровни выходов цифровых устройств в стационарном режиме. В режиме АС цифровые компоненты не участвуют в анализе малосигнальных частотных характеристик, лишь для аналоговых частей аналого-цифровых и цифроаналоговых интерфейсов составляются линеаризированные схемы замещения их входных и выходных комплексных сопротивлений. Как проводить моделирование в программе МС7, описано в гл. 3, здесь же обсудим специфику моделирования цифроаналоговых устройств.
Реальные цифровые ИС в программе МС7 представлены в виде примитивов Uxxx, отражающих их функционирование на логическом уровне, и аналого-цифровых и цифроаналоговых интерфейсов А/Ц и Ц/А, отображающих их входные и выходные каскады (рис. 6.1). В задании на моделирование указываются только примитивы цифровых устройств Uxxx (как при текстовом описании схемы, так и при ее графическом вводе). Если при этом цифровые ИС соединяются непосредственно друг с другом, то блоки интерфейсов во внимание не принимаются. Если же ко входу или к выходу ИС подключен аналоговый компонент, то автоматически включается соответствующий интерфейс. Таким образом смешанные аналого-цифровые цепи состоят из компонентов трех типов: 1) аналоговых компонентов; 2) устройств сопряжения аналоговых и цифровых компонентов (устройства интерфейса); 3) цифровых компонентов (примитивов).
258
Аналоговый	Аналоговый
узел	узел
Узлы интерфейса
Рис. 6.1. Модель цифровой ИС
Соответственно различают три типа узлов; 1) аналоговые узлы, к которым подключены только аналоговые устройства; 2) цифровые узлы, к которым подключены только цифровые устройства; 3) узлы интерфейса, к которым подключена комбинация аналоговых и цифровых устройств. Программа МОТ автоматически расщепляет каждый узел интерфейса на два узла — чисто аналоговый и чисто цифровой — и включает между ними макромодель аналого-цифрового или цифроаналогового интерфейса. Кроме того, к моделям интерфейсов автоматически подключается источник питания цифровых схем (случай наличия нескольких источников питания описан в разд. 6.2.4).
Логические уровни цифровых узлов принимают одно из пяти значений:
1 — высокий уровень;
О — низкий уровень;
R — положительный фронт (Raise, переход из состояния “0” в “1”);
F — отрицательный фронт (Fail, переход из состояния “1” в “О”);
X — неопределенное состояние (может принимать значение “О”, “1”, промежуточное или нестабильное состояние).
При вычислении логических уровней узлов, к которым подключено несколько цифровых компонентов, принимаются во внимание выходные сопротивления источников сигналов.
Приведем далее описания устройств интерфейса и цифровых компонентов.
6.2. Устройства интерфейса
Устройства интерфейса включаются между аналоговыми и цифровыми компонентами и выполняют две функции. Во-первых, с их помощью при моделировании электрических процессов в аналоговой части цепи задаются схемы замещения входных и выходных каскадов цифровых компонентов, соединенных непосредственно с аналоговыми компонентами. Во-вторых, они обеспечивают преобразование электрического напряжения в логический уровень и на
259
оборот, чтобы обеспечить обмен данными между подпрограммами моделирования электрических процессов в аналоговой части цепи и логического моделирования цифровой части. Они подразделяются на устройства передачи данных от аналоговых на вход цифровых компонентов, называемые интерфейс А/Ц (Digital Output), и на устройства передачи данных от цифровых на вход аналоговых компонентов, называемые интерфейс Ц/А (Digital Input).
Если аналоговые и цифровые компоненты взаимодействуют в процессе моделирования, устройства интерфейса включаются в схему замещения цепи автоматически, когда они соединяются друг с другом. Для обеспечения такого режима предварительно в библиотеки цифровых компонентов включаются ассоциируемые с каждым компонентом модели устройств интерфейса, оформленные в виде макромоделей При расщеплении узла интерфейса для автоматического включения устройства интерфейса программа МС7 создает новый цифровой узел. Заметим, что узел интерфейса характеризуется электрическим напряжением, а дополнительный цифровой узел — логическим состоянием.
Рассмотрим в качестве примера смешанную цепь на рис. 6.2, а. Она состоит из двух цифровых компонентов — генератора цифрового сигнала U1 и логического вентиля 2И-НЕ, представленного в виде макромодели Х1. На один вход вентиля Х1 подключается генератор аналогового сигнала VSIN, на другой — генератор цифрового сигнала U1, а к выходу — аналоговая RC-цепь. Таким образом здесь имеются два узла интерфейса 1 и 2. К узлу 1 подключены аналоговый компонент VSIN и вход цифрового компонента Х1, поэтому между этими компонентами программа включит в схему замещения интерфейс А/Ц и создаст дополнительный цифровой узел 1$AtoD. Аналогично между выходом цифрового компонента Х1 и аналоговой ЯС-цепью будет включен интерфейс Ц/А и создан дополнительный цифровой узел 3$DtoA, как показано на рис. 6.2, б Имена дополнительных цифровых узлов составляются по следующему правилу: в начале их имени повторяется имя узла интерфейса, к нему добавляется символ $ и затем суффикс AtoD или DtoA в зависимости от типа интерфейса (имя узла на выходе А/Ц приобретает суффикс AtoD, на входе Ц/А - DtoA). Если к одному узлу интерфейса подключается не один, а несколько однотипных компонентов, то образуются дополнительные цифровые узлы, в конце имен которых добавляются цифры 2, 3 ... Кроме того, в схеме замещения на рис. 6.2, б к интерфейсу Ц/А автоматически подключается источник питания через глобальный узел $G_POS. Отметим, что схема замещения на рис. 6.2, б содержит аналоговые узлы 1, 3, $G_POS и цифровые узлы 1$AtoD, 2, 3$DtoA.
260
©	a;
(l$AtoD )	( 3$DtoA )
Рис. 6.2. Пример смешанной аналого-цифровой цепи (а) и ее схемы замещения (6)
Итак, каждому реальному цифровому компоненту в программе МС7 ставятся в соответствие:
1)	два устройства интерфейса для сопряжения с аналоговыми устройствами, которые могут подключаться к его входу и выходу, названные нами для краткости интерфейсами Ц/А и А/Ц; они осуществляют обмен данными между подпрограммами моделирования аналоговых и цифровых устройств программы МС7;
2)	модели вход/выход, отображающие его входные и выходные комплексные сопротивления;
3)	модели динамики, учитывающие запаздывания сигналов. Модели цифровых компонентов и ассоциируемые с ними сопутствующие модели помещаются в специальные библиотеки.
6.2.1.	Аналого-цифровой интерфейс
Аналого-цифровые интерфейсы предназначены для преобразования аналогового напряжения в логический уровень. Они имитируют входные каскады цифровых ИС. Их схема замещения показана на рис. 6.3,а.
261
< + Узел интерфейса >
УвХ ICLOAD
RLOAD
<- Узел интерфейса}
a)
< Узел источника питания > о
CHI
К ян'
Ю<Узел	К
интерфейса} ♦ Увых
CLO = = W RLO
и < Узел "Земля" >
б)
Рис. 6.3. Аналого-цифровой (а) и цифроаналоговый (6) интерфейсы
Формат SPICE:
Оххх <+узел интерфейса> <-узел интерфейса> <имя модели А/Ц>
+ DGTLNET=<u/w« цифрового узла> <имя модели вход/выход>
Приведем пример:
012 ANALOG_NODE DIGITAL_GND DOUT_133 DGTLNET = = DIG_NODE IO_STD
Формат схем МС:
Атрибут MODEL: <имя модели А/Ц>
262
Атрибут I/O MODEL: <имя модели вход/выход >
Модель аналого-цифрового интерфейса описывается предложением
.MODEL <имя модели А/Ц> DOUTPUT [<параметры модели>]
Параметры модели аналого-цифрового интерфейса приведены в табл. 6.1.
Таблица 6.1.
Параметры аналого-цифрового интерфейса
Идентификатор	Параметр	Значение по умолчанию	Единица измерения
RLOAD	Сопротивление нагрузки	1/GMIN	Ом
CLOAD	Емкость нагрузки	0	Ф
S0NAME	Имя логического состояния “0”		—
SOVLO	Нижний уровень напряжения логического “0”		в
S0VHI	Верхний уровень напряжения логического “0”		в
S1NAME	Имя логического состояния “1”		—
S1VLO	Нижний уровень напряжения логической “1”		в
S1VHI	Верхний уровень напряжения логической “1"		в
			
S19NAME	Имя логического состояния “19”		—
S19VLO	Нижний уровень напряжения логического состояния “19”		в
S19VHI	Верхний уровень напряжения логического состояния “19”		в
SXNAME	Имя логического состояния, когда напряжение на узле интерфейса находится вне заданных границ		—
Каждому Аму логическому состоянию соответствует определенный диапазон напряжений SiVLO...SiVHI. До тех пор пока входное напряжение интерфейса А/Ц Увх не выходит за его границы, логическое состояние на выходе интерфейса А/Ц не изменяется. В противном
263
случае входное напряжение будет сравниваться с пороговыми уровнями, начиная с SOVLO, пока оно не попадет в какой-нибудь интервал. Если оно не попадает ни в один интервал напряжений, логическому состоянию интерфейса А/Ц по умолчанию присваивается символ “?” (его можно изменить с помощью параметра SXNAME).
При взаимодействии с модулем логического моделирования программы МС7 имена логических состояний должны быть “0", “1”, “X”, “R”, “F” или “Z” (однако состояние “Z” обычно не используется, так как состояние высокого импеданса не определяет уровень напряжения).
Моделирование входной цепи реального цифрового компонента с помощью линейной ЯС-цепи, как показано на рис. 6.3, а, не всегда обеспечивает достаточную точность расчетов. Поэтому пользователь должен иметь в своем распоряжении несколько моделей входных цепей логических компонентов, оформляя их в виде макромоделей. В качестве примера на рис. 6.4 представлена нелинейная модель входной цепи ТТЛ — логики, к которой подключено стандартное устройство сопряжения типа Оххх.
R1
Вход о-
Стандартный Выход интерфейс — о
D1 D2
Рис. 6.4. Нелинейная модель входной цепи цифрового компонента
6.2.2.	Цифро-аналоговый интерфейс
Цифроаналоговый интерфейс предназначен для преобразования логического уровня выходных сигналов цифровых компонентов (“1”, “О”, “X”, “R”, “F” или “Z”) в аналоговое напряжение, как показано на рис. 6.3, б. Эти устройства включают на входе аналоговых компонентов. Аналоговое напряжение образуется с помощью источника опорного напряжения и делителя на резисторах, сопротивления которых изменяются программно в соответствии с логическим уровнем цифрового сигнала.
264
Формат SPICE:
Nxxx <узел интерфейса> <-узел источника опорного напря-жения>
+ <+узел источника опорного напряжения> <имя модели Ц/А>
+ DGTLNET=<u/w? цифрового узла>
+ <имя модели вход/выход>
+ [\5=<начальное состояние>]
Формат схем МС:
Атрибут MODEL: <имя модели А/Ц>
Атрибут I/O MODEL: <имя модели вход/выход >
Атрибут IS: <начальное состояние>
Модель цифроаналогового интерфейса описывается предложением
.MODEL <имя модели Ц/А> DINPUT ^параметры модели>]
Параметры модели цифроаналогового интерфейса приведены в табл. 6.2.
Таблица 6.2
Параметры модели цифроаналогового интерфейса
Идентификатор	Параметр	Значение по умолчанию	Единица измерения
CLO	Емкость между выходным узлом и “землей”	0	Ф
CHI	Емкость между выходным узлом и источником питания	0	Ф
SONAME	Имя состояния логический “0”		—
SOTSW	Время переключения в состояние “0”		с
SORLO	Сопротивление между выходным узлом и “землей” в состоянии “0”		Ом
SORHI	Сопротивление между выходным узлом и источником питания в состоянии “0”		Ом
S1NAME	Имя состояния логическая “1”		—
S1TSW	Время переключения в состояние “1”		с
265
Идентификатор	Параметр	Значение по умолчанию	Единица измерения
S1RLO	Сопротивление между выходным узлом и “землей" в состоянии “1”		Ом
S1RHI	Сопротивление между выходным узлом и источником питания в состоянии “1"		Ом
			
S19NAME	Имя логического состояния “19”		—
S19TSW	Время переключения в состояние “19”		с
S19RLO	Сопротивление между выходным узлом и "землей” в состоянии ”19”		Ом
S19RHI	Сопротивление между выходным узлом и источником питания в состоянии ”19”		Ом
Опция
DGTLNET=<umh цифрового узла> <имя модели вход/выход> указывает имя цифрового узла, к которому подсоединен интерфейс Ц/А, и имя модели входного/выходного сопротивления соответствующего цифрового компонента (см. ниже).
Начальное логическое состояние управляющего цифрового узла в момент времени f=0 определяется режимом схемы по постоянному току. Изменение этого состояния при необходимости производится с помощью необязательной опции
\3=<начальное состояние
На рис. 6.3, б изображена схема замещения выходного каскада цифровых ИС. Сопротивления резисторов изменяются в соответствии с логическим уровнем управляющего цифрового узла При его изменении сопротивления резисторов плавно изменяются по экспоненциальному закону с постоянной времени, которая определяется длительностью переключения, указанной в модели DINPUT. Эти сопротивления рассчитываются по формулам
RLO = Явых Еп/ (Еп - L/Bblx);
RHI = Яых Еп/ UBlM,
где Яых и 1УВых — выходное сопротивление и уровень выходного напряжения в данном логическом состоянии, Еп — напряжение источника питания.
266
К управляющему цифровому узлу не должны подключаться какие-либо аналоговые компоненты, так как в противном случае между ними автоматически будет включен аналого-цифровой интерфейс и цифровой узел отсоединится.
В процессе моделирования логические состояния управляющего цифрового узла получают имена “0”, “1”, “X”, “R”, “F” и “Z”. Моделирование прерывается, если хотя бы одно из этих состояний не указано в перечне спецификаций SnNAME, SnTSW, SnRLO и SnRHI.
6.2.3.	Модель вход/выход
Модели вход/выход, ассоциируемые с каждым цифровым компонентом, имеют тип LHO и задаются по формату
.MODEL <имя модели вход/выход> UIO ^параметры модели>]
Параметры модели вход/выход приведены в табл. 6.3.
Таблица 6.3
Параметры модели вход/выход
Идентификатор параметра	Параметр	Значение по умолчанию	Единица измерения
INLD	Входная емкость	0	Ф
OUTLD	Выходная емкость	0	Ф
DRVH	Выходное сопротивление высокого уровня	50	Ом
DRVL	Выходное сопротивление низкого уровня	50	Ом
DRVZ	Выходное сопротивление утечки цепи, моделируемой как цепь хранения заряда	250 10'3	Ом
INR	Входное сопротивление утечки цепи, моделируемой как цепь хранения заряда	30 10'3	Ом
TSTOREMN	Минимальное время сохранения заряда цепи, моделируемой как цепь хранения заряда	1	с
AtoD1	Имя макромодели интерфейса А/Ц первого уровня	AtoDDefault	—
DtoA1	Имя макромодели интерфейса Ц/А первого уровня	DtoADefault	—
267
Идентификатор параметра	Параметр	Значение по умолчанию	Единица измерения
AtoD2	Имя макромодели интерфейса А/Ц второго уровня	AtoDDefault	—
DtoA2	Имя макромодели интерфейса Ц/А второго уровня	DtoADefault	—
AtoD3	Имя макромодели интерфейса А/Ц третьего уровня	AtoDDefault	—
DtoA3	Имя макромодели интерфейса Ц/А третьего уровня	DtoADefault	—
AtoD4	Имя макромодели интерфейса А/Ц четвертого уровня	AtoDDefault	—
DtoA4	Имя макромодели интерфейса Ц/А четвертого уровня	DtoADefault	—
TSWLH1	Время переключения 0—>1 для DtoA1	0	с
TSWLH2	Время переключения 0->1 для DtoA2	0	с
TSWLH3	Время переключения 0->1 для DtoA3	0	с
TSWLH4	Время переключения 0->1 для DtoA4	0	с
TSWHL1	Время переключения 1->0 для DtoA1	0	с
TSWHL2	Время переключения 1->0 для DtoA2	0	с
TSWHL3	Время переключения 1->0 для DtoA3	0	с
TSWHL4	Время переключения 1->0 для DtoA4	0	с
TPWRT	Пороговое значение длительности импульса	Равно минимальной задержке	с
DIGPOWER	Имя макромодели источника питания	DIGIFPWR	—
Входная и выходная емкости INLD, OUTLD принимаются во внимание при расчете времен задержки. Выходные сопротивления цифровых устройств задаются параметрами DRVH, DRVL модели
268
вход/выход UIO (рис. 6.3,а) Выходное сопротивление компонента, находящегося в состоянии “1”, обозначается как DRVH, в состоянии “0" — DRVL. В программе МС7 выходные сопротивления компонентов принимают значения в диапазоне от DIGDRVF (Forcing strength) до DIGDRVZ (Z strength), который в логарифмическом масштабе разбивается на 64 уровня (максимальному сопротивлению DIGDRVZ присваивают код 0, а минимальному DIGDRVF — код 63). По умолчанию DIGDRVF = 2 Ом, DIGDRVZ = 20 кОм; их значения переназначаются по директиве .OPTIONS. В конфликтных ситуациях, когда к одному узлу подключаются вентили с разными выходными сопротивлениями, логический уровень узла устанавливается вентилем с минимальным выходным сопротивлением, код которого больше кодов остальных сопротивлений в заданное число раз. Это отношение кодов сопротивлений задается параметром DIGOVRDRV в диалоговом окне Global Settings, который ни умолчанию равен 3. Когда имеется несколько вентилей с близкими выходными сопротивлениями и разными логическими уровнями, узлу присваивается неопределенное состояние X.
Времена переключения выходных каскадов цифровых ИС задаются параметрами TSWLHn, TSWHLn (трудности их определения по справочным данным заключаются в том, что обычно приводятся значения общего времени переключения всей ИС).
Макромодели интерфейсов составляются пользователями и включаются в библиотечный файл. Эти модели отражают характер вход-ных/выходных сопротивлений цифровых компонентов с разной степенью подробности.
Модели, имеющиеся в стандартной библиотеке интерфейсов программы МС7, приведены в табл. 6.4.
Таблица 6.4
Модели интерфейсов
IOJ.EVEL	Определение
0	Текущее значение параметра DIGIOLVL окна Global Settings (по умолчанию равно 1)
1	Основная (простейшая) модель, имеющая логические состояния 0, 1, X, R и F (AtoD1/DtoA1)
2	Основная (простейшая) модель без промежуточного состояния X (AtoD2/DtoA2)
3	Сложная модель с промежуточным состоянием X (AtoD3/DtoA3)
4	Сложная модель без промежуточных состояний X, R и F (AtoD4/DtoA4)
269
Сложные модели точнее имитируют нелинейности входных сопротивлений цифровых ИС, однако требуют больших вычислительных затрат Имена макромоделей интерфейсов указываются с помощью параметров AtoD1, DtoA1.AtoD4, DtoA4. Выбор уровня
модели интерфейса для каждого конкретного цифрового устройства производится с помощью параметра IO_LEVEL
Схемы замещения простейших интерфейсов первого уровня показаны на рис. 6.3. В текстовом виде они записываются следующим образом.
Макромодель стандартного ТТЛ-интерфейса А/Ц первого уровня имеет вид
.subckt AtoD_STD A D DPWR DGND params: CAPACITANCES *
ОО A DGND DO74 DGTLNET=D IO_STD
C1 A DGND {CAPACITANCE+O 1pF}
.ends
.model DO74 doutput (
+ sOname="X" sOvloS.8 s0vhi=2.0 + s1name="0" s1vlo=-1.5 s1vhi=0.8 + s2name="R" s2vlo=0 8 s2vhi=1.4 + s3name="R" s3vlo=1.3 s3vhi=2.0 + s4name="X" s4vlo=0 8 s4vhi=2.0 + s5name="1" s5vlo=2.0 s5vhi=7.0 + s6name="F" s6vlo=1.3 s6vhi=2.0 + s7name="F" s7vloS.8 s7vhi=1.4)
.model IO_STD uio (drvh=96.4 drvl=104
+ AtoD1="AtoD_STD"
+ AtoD3="AtoD_STD_E
+ DtoA1="DtoA_STD"
+ DtoA3="DtoA_STD_E
tswlh1=3.382ns tswlh2=3.424ns tswlh3=3.517ns tswlh4=3.564ns
+ tswhl1=1.373ns
+ tswhl2=1,346ns
+ tswhl3=1.511ns
+ tswhl4=1,487ns
+ DIGROWER = "DIGIFPWR
AtoD2="AtoD_STD_NX"
AtoD4=''AtoD_STD_NX_E'
DtoA2="DtoA_STD_NX"
DtoA4="DtoA_STD_NX_E'
Макромодель стандартного ТТЛ-интерфейса Ц/А первого уровня имеет вид
.subckt DtoA_STD D A DPWR DGND
+ params DRVL=0 DRVH=0 CAPACITANCES
270
N1 A DGND DPWR DIN74 DGTLNET=D IO_STD
C1 A DGND {CAPACITANCE+0.1 pF} .ends model DIN74 dinput (
+ s0name="0" s0tsw=3.5ns s0rlo=7.13 s0rhi=389 ; 7ohm, 0.09v
+ s1name="1" s1tsw=5.5ns s1rlo=467 s1rhi=200; 140ohm, 3.5v
+ s2name="X" s2tsw=3.5ns s2rlo=42.9 s2rhi=116; 31.3ohm, 1.35v
+ s3name="R" s3tsw=3.5ns s3rlo=42.9 s3rhi=116; 31.3ohm, 1.35v
+ s4name="F" s4tsw=3.5ns s4rlo=42.9 s4rhi=116; 31.3ohm, 1.35v
+ s5name="Z" s5tsw=3.5ns s5rlo=200K s5rhi=200K)
Более сложная модель интерфейса А/Ц первого уровня имеет схему замещения, показанную на рис. 6.4. Ее текстовое описание имеет вид:
.subckt AtoD_STD_E A D DPWR DGND params: CAPACITANCE-0 *
OO A DGND DO74 DGTLNET=D IO_STD
C1 A DGND {CAPACITANCE+0.1 pF}
DO DGND a D74CLMP
D1 1 2 D74
D2 2 DGND D74
R1 DPWR 3 4k
Q1 1 3 A 0 Q74; подложку соединить c DGND .ends .model D74 D(IS=1e-16 RS=25 CJO=2pf) .model D74CLMP D(IS=1e-15 RS=2 CJO=2pf) .model Q74 NPN (ISE=1e-16 ISC=4e-16 BF=49 + BR=.O3 CJE=1pf CJC=.5pf CJS=3pf VJE=0.9v VJC=0.8v + VJS=0.7v MJE=0.5 MJC=0.33 MJS=0.33 F=0.2ns + TR=10ns RB=50 RC=20)
Модели интерфейсов записаны в файл DIGIO.LIB, анализируя который можно получить полное представления об их адекватности решаемым задачам и при необходимости внести в него коррективы.
6.2.4.	Устройства питания
В программе МС7 принято, что макромодель источника питания имеет имя DIGIFPWR и на нее автоматически делается ссылка при наличии в схеме макромоделей аналого-цифровых интерфейсов. Конкретное содержание макромодели источника питания определяется пользователем, а ее текст помещается в библиотеку цифровых устройств. Приведем в качестве примера макромодель источника напряжения, принимающего по умолчанию значение 5 В:
271
.subckt DIGIFPWR AGND
+ optional: DPWR=$G_DPWR DGND=$G_DGND
+ params: VOLTAG E=5.0v REFERENCED
VDPWR DPWR DGND {VOLTAGE}
R1 DPWR AGND 1MEG
VDGND DGND AGND {REFERENCE}
R2 DGND AGND 1MEG
.ends
Здесь узлам цифрового питания и цифровой “земли” присвоены глобальные имена $G_DPWR, $G_DGND (при желании их можно изменить здесь и одновременно в моделях всех цифровых устройств). Узел AGND — общий узел источника питания, который при включении его в общую схему соединяется с узлом аналоговой “земли” 0. Для создания макромодели источника другого напряжения необходимо в задании на моделирование включить предложение вызова макромодели источника питания, задав с помощью параметра VOLTAGE нужное значение напряжения питания, и указать после номера узла “земли” 0 имена (номера) узлов его выводов, например:
XMYPOWER 0 MY_PWR MY_GND DIGIFPWR params: VOLTAGE=9.0v
Здесь вызывается источник напряжения 9 В, выводы которого имеют имена MY_PWR и MY_GND (эти имена указываются в моделях примитивов цифровых устройств, подключаемых к этому источнику, см. ниже). Усложнив макромодель, можно создать источник нескольких напряжений.
6.3. Генераторы цифровых сигналов
Генераторы цифровых сигналов можно задать двумя способами.
Определение формы цифрового сигнала в задании на моделирование (устройства STIM)
Формат SPICE:
Uxxx ЗТ1М(<количестео сигналов>,<формат>)
+ <+узел источника питания> <-узел источника питания>
+ <список узлов>* <имя модели вход/выход>
+ [IO_LEVEL=<HOMep макромодели интерфейса вход/выход>]
+ [Т1МЕЗТЕР=<шаг по времени>]
+ <команды описания формы сигнала>*
Формат схем МС:
Атрибут PART: <имя>
Атрибут FORMAT: < формат>
272
Атрибут COMMAND: <команды описания формы сигнала>
Атрибут I/O MODEL: <имя модели вход/выход>
Атрибут TIMESTEP: <шаг по времени>
Атрибут IO_LEVEL: <номер макромодели интерфейса вход/выход>
Атрибут POWER NODE: <+узел источника питания>
Атрибут GROUND NODE: <-узел источника питания>
Переменная количество сигналов> определяет в файле SPICE количество выходов генератора, равное количеству генерируемых цифровых сигналов При графическом вводе схем в библиотеке компонентов имеются готовые символы генераторов разного количества сигналов Stim 1, Stim2,Stim 16.
Переменная <формат> — это спецификация формата переменной <данные>, в которой представлены логические уровни сигналов генератора. Эта переменная представляет собой последовательность цифр, общее число которых равно значению переменной количество сигналов>. Каждая цифра принимает значения 1, 3 или 4, что означает двоичную, восьмеричную и шестнадцатеричную систему счисления.
Подключение источника питания задается номерами узлов <+узел источника питания>, <-узел источника питания>. Номера подключения выходов генератора к схеме задаются <списком узлов>. Имя модели вход/выход задается параметром <имя модели вход/выход>, как для любого цифрового устройства.
IO_LEVEL — необязательный параметр для выбора одной из четырех макромоделей интерфейса вход/выход (по умолчанию 0);
TIMESTEP — не обязательный параметр для задания периода квантования (или шага). При задании моментов времени номером шага (имеют суффикс “С”) он умножается на величину шага. По умолчанию устанавливается TIMESTEP=0. Этот параметр не принимается во внимание, если заданы абсолютные значения моментов времени (имеют суффикс “S”).
Параметр <описание формы сигнала> представляет собой произвольную комбинацию одной или нескольких следующих строк:
<t>, логический уровень>
LABEL=<u/wh метки>
<t> GOTO <имя метки> <n> TIMES
<	t> GOTO < имя метки > UNTIL GT <данные>
<	t> GOTO < имя метки > UNTIL GE <данные>
<	t> GOTO < имя метки > UNTIL LT <данные>
<	t> GOTO < имя метки > UNTIL LE <данные>
<	t> INCR BY <данные>
<t> DECR BY <данные>
273
Каждая цифра переменной <данные> представляет собой логический уровень соответствующего выходного сигнала, который представлен в системе счисления 2т, где т — соответствующая цифра переменной <формат>. Количество узлов в <списке узлов> должно быть равно значению переменной количество сигналов>. Переменная <t> определяет моменты времени, в которые задаются логические уровни сигнала Если перед значением переменной <t> имеется символ “+”, то эта переменная задает приращение относительно предыдущего момента времени; в противном случае она определяет абсолютное значение относительно начала отсчета времени t =0. Суффикс “S” указывает размерность времени в секундах (допускается суффикс "nS" — наносекунды и т.п.). Суффикс “С” означает измерение времени в количестве циклов, размер которых определяется параметром TIMESTEP (переменная <шаг по времени>).
Переменная <данные> состоит из символов ”0”, “1”, “X”, “R”, “F” или “Z”, интерпретируемых в заданном формате.
Переменная <п> задает количество повторяющихся циклов GOTO; значение п = -1 задает бесконечное повторение цикла
Переменная <имя метки> используется при организации цикла с помощью оператора перехода GOTO, который передает управление на строку, следующую за оператором 1_АВЕ1_=<имя метки>.
Приведем пример:
USIGNAL STIM(2,11) $G_DPWR $G_DGND 1	2 IO_STM
TIMESTEP=1ns
+ ОС 00 ; В момент времени t = 0 состояния обоих узлов равны "О" + LABEL = М
+ 1С 01 ; При t = 1 нс состояние узла 1 равно "О, узла 2 — "1"
+ 2С 11; При t = 2 нс состояние обоих узлов равны "1 ”
+ ЗС GOTO М 3 TIMES; В момент времени t = 3 нс переход на метку М и выполняется первая инструкция без дополнительной задержки. Цикл повторяется 3 раза
Считывание временной диаграммы цифрового сигнала из файла (устройства FSTIM)
Формат SPICE:
Uxxx FSTIM(<KO/7i/4ecmeo выходов>)
+ <+узел источника питания> <-узел источника питания>
+ <список узлов>*
+ <имя модели вход/выход>
+ FILE=<uma файла сигналов>
+ [IO_LEVEL=<wo/wep макромодели интерфейса вход/выход>]
+ [SIGNAMES=<umh воздействия из файла сигналов>]
274
Формат схем МС:
Атрибут PART: <имя>
Атрибут I/O MODEL: <имя модели вход/выход>
Атрибут FILE: <имя файла>
Атрибут SIGNAMES: <имя воздействия из файла сигналов>]
Атрибут IO_LEVEL: <номер макромодели интерфейса вход/выход>
Атрибут POWER NODE: <+узел источника питания>
Атрибут GROUND NODE: <-узел источника питания>
Параметр количество выходов> определяет количество узлов, к которым подключаются сигналы. Остальные параметры имеют тот же смысл, что для генераторов STIM Обратим внимание, что задание с помощью параметра FILE имени файла, в котором описаны сигналы, обязательно.
Файл цифрового сигнала может быть получен путем редактирования файла результатов моделирования или составлен вручную с помощью любого текстового редактора.
Файл цифрового сигнала имеет две секции:
1) заголовок (header), содержащий список имен сигналов;
2) список значений сигнала (transitions), содержащий на одной или более строках моменты времени изменений сигналов и колонки их значений.
Приведем сначала пример файла цифровых сигналов:
* Заголовок: содержит имена сигналов
CLOCK, RESET, IN1, IN2; имена 4-х сигналов
‘Начало описания сигналов; отделяется от заголовка пустой строкой
О	ООО;	двоичные	коды	сигналов
10ns	1100
20ns	0101
30ns	1110
40ns	0111
Заголовок имеет следующий формат:
[Т1МЕЗСАЕЕ=<значение>]
<имя сигнала 1>. ,<имя сигнала п>...
ОСТ(<3-й бит сигнала>... 1-й бит сигнала>)...
НЕХ(<4-й бит сигнала>...<1-й бит сигнала:?)...
Имена сигналов могут разделяться запятыми или пробелами. Они располагаются на одной или нескольких строках, но строки продолжения не имеют в начале знака Максимальное количество сигналов 255, на одной строке могут размещаться не более 300 символов. Име
275
на сигналов перечисляются в том же порядке, в котором их значения приводятся во временных диаграммах.
Если перед именем сигнала не указывается название системы счисления, он считается двоичным. Имена сигналов, записанных в восьмеричном коде, предваряются кодом ОСТ и группируются по 3 сигнала (имена групп сигналов заключаются в круглые скобки) Имена шестнадцатеричных сигналов имеют код HEX и группируются по 4 сигнала.
Приведем еще один пример файла сигналов:
Clock Reset 1п1 In2
HEX(Addr7 Addr6 Addr5 Addr4) HEX(Addr3 Addr2 Addrl AddrO) Read Write
0	0000	00 0;	для наглядности	между группами
10n	1100	4Е 0;	можно включать	пробелы
20п	0101	4Е 1
30л	1110	4Е 1
40п	0111	FFO
Здесь сначала приведены имена четырех двоичных сигналов, затем две группы сигналов, задаваемых в шестнадцатеричном виде, и затем еще один бинарный сигнал. В последующем списке значений сигналов даны 7 колонок, соответствующих приведенным в заголовке сигналам.
Список значений имеет формат:
<время> <значение сигнала>*
Список значений отделяется от заголовка пустой строкой Моменты времени и список значений сигналов должны быть разделены по крайней мере одним пробелом. Для моментов времени изменений сигналов указываются их абсолютные значения (в секундах), например 25ns, 1 2Е-9, 5.8, или приращение относительно предыдущего момента времени. Признак приращения — знак “+” перед значением момента времени, например +5ns. Каждое значение сигнала соответствует одиночному бинарному сигналу или группе сигналов, имена которых помещены в группы с указателями системы счисления ОСТ или HEX. Общее количество значащих цифр в значениях сигналов должно быть равно общему количеству бинарных сигналов и групп сигналов, помеченных символами ОСТ и HEX. Разряды данных сигнала могут принимать следующие значения, приведенные в табл. 6.5.
Заметим, что задний фронт не может в шестнадцатеричной системе обозначаться как F, потому что этот символ занят под численное значение.
Приведем примеры генераторов цифровых сигналов типа FSTIM.
276
Таблица 6 5
Значения сигналов
Сигналы	Двоичные	Восьмеричные	Шестнадцатеричные
Логические/цифровые	0, 1	0...7	0...F
Неопределенные	X	X	X
Высокого импеданса	Z	Z	Z
Передний фронт	R	R	R
Задний фронт	F	F	-
1. Пусть имеется файл digl.stm, в котором описана временная диаграмма сигнала IN1. Тогда в задание на моделирование можно в;люч'лть следующее описание генератор?.:
U1 FSTIM(1) $G_DPWR $G_DGND INI IO_STM FILE=DIG1.STM
2. Пусть имеется файл flipflop.stm следующего содержания:
J К PRESET CLEAR CLOCK
0	0 0 010
10ns 00111
В задание на моделирование включим описание генератора сигнала
U2 FSTIM(4) $G_DPWR $G_DGND CLK PRE J К IO_STM
+ FILE=flipflop.stm SIGNAMES = CLOCK PRESET
В этом примере первым двум узлам CLK и PRE соответствуют сигналы CLOCK и PRESET из файла сигналов, это соответствие устанавливается с помощью опции SIGNAMES. Последним узлам J и К соответствуют одноименные сигналы из файла сигналов, поэтому их имена нет необходимости включать в опцию SIGNAMES. Сигнал CLEAR в данном примере не используется (но на него можно сослаться в другом генераторе FSTIM).
6.4. Цифровые компоненты
Цифровые компоненты (примитивы) всех типов задаются по общим правилам.
Формат SPICE:
(Jxxx <тип> [(<список параметров:»*)]
277
+ <+узел источника питания> <-узел источника питания> <список узлов>*
+ <имя модели динамики> <имя модели вход/выход>
+ [MNTYMXDLY=<ew6op значения задержки>]
+ [IO_LEVEL=<ypoeewb модели интерфейса:»]
Формат схем МС:
Атрибут PART: <имя>
Атрибут TIMING MODEL: <имя модели динамики>
Атрибут I/O MODEL: <имя модели вход/выход>
Атрибут MNTYMXDLY: <выбор значения задержки>
Атрибут IO_LEVEL: <уровень модели интерфейса>
Параметр <тип> указывает тип логического устройства (их перечень приведен ниже, например AND, NOR); в круглых скобках указываются значения одного или более параметров через запятую (например, для схемы И указывается количество входов). После списка узлов подключения логического устройства следуют имена двух моделей. Первая модель описывает динамические свойства устройства, вторая — характеристики входных и выходных сопротивлений.
Модели динамики имеют следующие ключевые слова, приведенные в табл. 6 6
Таблица 6.6
Ключевые слова моделей динамики цифровых компонентов
Имя модели динамики	Тип компонента
UADC	Аналого-цифровой преобразователь
UDAC	Цифроаналоговый преобразователь
UIO	Модель входа/выхода цифрового устройства
UGATE	Стандартный вентиль
UTGATE	Вентиль с тремя состояниями
UEFF	Триггер с динамическим управлением
UGFF	Триггер с потенциальным управлением
UDLY	Цифровая линия задержки
UPLD	Программируемые логические матрицы
Модели вход/выход имеют ключевое слово UIO (п. 6.2.3).
Параметр MNTYMXDLY позволяет конкретному индивидуальному устройству назначить минимальное, типичное или максимальное значение времени задержки, указанное в спецификации модели его динамики:
278
О — значение задержки, заданное параметром DIGMNTYMX окна Global Settings (по умолчанию параметр равен 2);
1	— минимальное значение;
2	— типичное значение;
3	— максимальное значение;
4	— расчет наихудшего случая (минимум/максимум).
Параметр IO_LEVEL указывает тип цифроаналогового и аналого-цифрового интерфейса данного цифрового устройства.
О — в соответствии со значением параметра DIGIOLVL окна Global Settings (по умолчанию он равен 1);
1	— интерфейс AtoD 1 /DtoA 1;
2	— интерфейс AtoD2/DtoA2;
3	— интерфейс AtoD3/DtoA3;
4	— интерфейс AtoD4/DtoA4.
Запаздывание сигнала в примитивах цифровых устройств определяется в двух моделях: динамики и вход/выход.
Модель динамики определяет задержки распространения и такие временные ограничения, как время установки (setup) и удерживания (hold). Модель вход/выход задает входные и выходные сопротивления, емкости и время переключения.
Когда выход примитива соединяется с другим примитивом, общее время задержки распространения первого примитива равно сумме времени установления напряжения на его нагрузке и времени распространения сигнала, указанного в модели динамики. Время установления напряжения на нагрузке (loading delay) рассчитывается по формуле
Гнагр = 0,69R вых Снагр,
где ПВЬ1Х — выходное сопротивление устройства, равное DRVH или DRVL в зависимости от логического уровня на выходе; — сумма входных и выходных емкостей цифровых устройств INLD, OUTLD, подключенных к данному выводу.
Когда цифровой примитив подключен к аналоговому устройству, задержка распространения уменьшается на величину, равную времени переключения, заданного в модели вход/выход.
Минимальная длительность сигнала на входе цифрового примитива, необходимая для изменения его логического состояния, должна превышать время задержки, приведенное в модели динамики (это ограничение не относится к цифровым линиям задержки). Более короткие входные импульсы не вызовут на выходе никакого эффекта.
Перейдем теперь к описанию цифровых компонентов различных типов, сгруппировав их по следующим категориям:
-	многоразрядные АЦП и ЦАП;
279
-	вентили;
-	триггеры;
-	программируемые логические матрицы;
-	источники постоянных логических сигналов;
-	линии задержки;
- функциональное описание цифровых устройств.
6.4.1.	Многоразрядные АЦП и ЦАП
Многоразрядные АЦП задаются по формату
UxxxADC(<m>) <список узлов?
+ <модель динамики? <модель вход/выход?
+ [MNTYMXDLY=<ew6op значения задержки?]
+ [IO_LEVEL=<ypoeewb модели интерфейса?]
Имена узлов перечисляются в ссписке узлов? в следующем порядке (рис. 6.5):
	и	А/#	D1	—
	REF		D2	—
	0		D3	—
	Е		D4	—
	С		UCC	
—	Р		UEE	
Рис. 6.5. Аналого-цифровой преобразователь
<+узел источника питания?, <-узел источника питания?, <анало-говый вход?, <опорное напряжение?, <"земля”?, <сигнал разрешения?, <сигнал преобразования?, <сигнал переполнения?, <т-й разряд?, ..., <1-й разряд?
Смысл остальных параметров такой же, что и для интерфейса А/Ц типа Оххх. Модель динамики имеет формат
.MODEL <имя модели? UADC [{параметры)]
Параметры этой модели приведены в табл. 6.7 (значения по умолчанию — 0, единица измерения — с).
Временная диаграмма АЦП показана на рис. 6.6. Выходной сигнал АЦП равен ближайшему целому выражения
V(< аналоговый вход ?, <"земля"?
V(< опорное напряжение ?, <”земля"?
280
где т — количество разрядов. Если это выражение больше 2^-1, все разряды данных и разряд переполнения примут значение 1. Если оно меньше нуля, разряды данных примут нулевое значение, а разряд переполнения — 1. Таким образом, опорное напряжение устанавливает диапазон входного напряжения АЦП.
Сигнал разрешен у
Сигнал запуска Г
Старое V состояние Л	Неопределенное состояние	\/ Новое Л состояние
I	t psd	 -»pdj
Рис. 6.6. Переходные процессы в АЦП
Таблица 6.7
Параметры модели АЦП
Идентификатор	Параметр
TPCSMN	Время задержки запуска — запаздывание между передними фронтами импульсов разрешения и запуска, минимальное значение
TPCSTY	То же, типичное значение
TPCSMX	То же, максимальное значение
TPSDMN	Время цикла кодирования — интервал времени между передним фронтом импульса запуска и переходом выходного сигнала в новое состояние, минимальное значение
TPSDTY	То же, типичное значение
TPSDMX	То же, максимальное значение
TPDSMN	Запаздывание заднего фронта сигнала запуска относительно момента перехода выходного сигнала в новое состояние, минимальное значение
TPDSMTY	То же, типичное значение
TPDSMX	То же, максимальное значение
Сигнал разрешения (convert pulse) может иметь любую, в том числе и нулевую длительность. Если цикл кодирования tpsc^0, то т
281
разрядов данных и разряд переполнения, не принимая неопределенного состояния, сразу принимают новое значение. Между узлами <опорное напряжение> и < “земля” > включается резистор с сопротивлением, равным 1/GMIN.
Выборки входных напряжений производятся по переднему фронту импульса разрешения, причем скорость изменения входных напряжений не влияет на результат преобразования.
Приведем пример описания 4-разрядного АЦП.
U3 ADC(4) $G_DPWR G_DGND1 10 0 conv stat
+ over out3 out2 out1 outO DINAM IO_ADC
.MODEL DINAM UADC(
+ tpcsmn=5ns,	tpcsty=8ns,	tpcsmx=10ns,
+ tpsdmn=16ns,	tpsdty=20ns,	tpsdmx=22ns,
+ tpdsmn=4ns,	tpdsty=5ns,	tpdsmx=6ns)
.MODEL IO_ADC UIO(drvh=50 drvl=50)
Многоразрядный ЦАП задается по формату
Uxxx DAC(<m>) <список узлов> <модель динамики> <модель вход/выход>
+ [MNTYMXDLY=<eb/6op значения задержки>]
+ [IO_LEVEL=<ypoeewb модели интерфейса^
Имена узлов перечисляются в <списке узлов> в следующем порядке (рис. 6.7):
<+узел источника питания>, <-узел источника питания>, <аналоговый выход>, <опорное напряжение^ <“земля">, <т-й разряд входного сигнала>.< 1-й разряд входного сигнала>
D1 D2 D3 D4	#/A	U
		REF 0
		UCC UEE
Рис. 6.7. Цифроаналоговый преобразователь
Модель динамики ЦАП имеет вид
.MODEL <имя модели> UDAC [(параметры)]
Параметры этой модели приведены в табл. 6.8 (значения по умолчанию — 0, единица измерения — с).
282
Таблица 6 8
Параметры модели ЦАП
Идентификатор	Параметр
TSWMN	Время установления (от момента изменения входного кода до момента достижения выходным напряжением уровня 0,9 установившегося значения), минимальное .значение
TSWTY	То же, типичное значение
TSWMX	То же, максимальное значение
Между узлами <аналоговый выход> и <”земля”> включается источник напряжения с нулевым внутренним сопротивлением, ЭДС которого равна:
...	„	„ , бинарныйвходнойсигнал
V (<опорное напряжение^ < земля >)х-----------—----------.
2'п
Опорное напряжение определяет диапазон выходного аналогового напряжения. Между узлом источника опорного напряжения и “землей” включается сопротивление, равное 1/GMIN.
Если какой-либо разряд входного цифрового сигнала не определен, выходное напряжение равно половине разности двух напряжений. Одно из них представляет собой выходное напряжение ЦАП, если все не определенные состояния "X” заменить на “1”, второе — если эти состояния заменить на логический “0”. При изменении состояний всех разрядов выходное напряжение линейно изменяется в течение интервала преобразования, как показано на рис. 6.8.
Рис. 6.8. Переходные процессы в ЦАП
Старое состояние
6.4.2.	Вентили и триггеры
Вентили подразделяются на элементарные и сложные. Элементарные вентили имеют один или несколько входов и только один выход. Сложные вентили (сборки) содержат в одном корпусе несколько простых вентилей (рис. 6.9). Кроме того, вентили подразделяются на два типа: стандартные вентили и вентили с тремя состояниями. Вен
283
тили с тремя состояниями управляются сигналами разрешения. Когда этот сигнал имеет уровень “0”, выходной сигнал вентиля имеет неопределенный уровень “X” при высоком выходном сопротивлении Z. Все вентили описываются по формату, приведенному в начале разд. 6.4.
BUFA (Сборка буферов)
BUF (Буфер)
INV (Инвертор)
AND (И) — Т
_ И _
_ТГ_
АО (Сборка И- ИЛИ)
Z & 1
ZZ &	—
ОА (Сборка И- ИЛИ)
NAND (ИЛИ)
XOR (Исключающее
AOI (Сборка И- ИЛИ-НЕ)
ИЛИ)
OAI (Сборка ИЛИ-И-НЕ)
NXOR (Исключающее
ИЛИ-НЕ)
Рис. 6.9. Стандартные вентили и их сборки
Стандартные вентили перечислены в табл. 6.9.
Таблица 6.9
Стандартные вентили
Тип	Параметр	Порядок перечисления выводов	Функциональное назначение
BUF	Нет	Вх., вых.	Буфер
284
Тип	Параметр	Порядок перечисления выводов	Функциональное назначение
INV	Нет	Bx., вых.	Инвертор
AND	N	Вх.1, вх.2,..., вых.	Логика И
NAND	N	Вх.1, вх.2	вых.	Логика И-НЕ
OR	N	Вх.1, вх.2, , вых.	Логика ИЛИ
NOR	N	Вх.1, вх.2,., вых.	Логика ИЛИ-НЕ
XOR	Нет	Вх.1, вх.2, вых.	Исключающее ИЛИ
NXOR	Нет	Вх.1, вх.2, вых.	Исключающее ИЛИ-НЕ
BUFA	L	Вх.1, вх.2	 вых.1, вых.2,...	Сборка буферов
INVA	L	Вх.1, вх.2,..., вых.1, вых.2,...	Сборка инверторов
ANDA	N, L	Вх.1, вх.2,..., вых.1, вых.2,...	Сборка элементов логики И
NANDA	N, L	Вх.1, вх.2	 вых.1, вых.2,...	Сборка элементов логики И-НЕ
ORA	N, L	Вх.1, вх.2,..., вых.1, вых.2,...	Сборка элементов логики ИЛИ
NORA	N, L	Вх.1, вх.2	 вых.1, вых.2,...	Сборка элементов логики ИЛИНЕ
XORA	L	Вх.1, вх.2,..., вых.1, вых.2,...	Сборка элементов исключающее ИЛИ
NXORA	L	Вх.1, вх.2,..., вых.1, вых.2,...	Сборка элементов исключающее ИЛИ-НЕ
AO	N, L	Вх.1, вх.2,..., вых.	Сборка логики И-ИЛИ
OA	N, L	Вх.1, вх.2,..., вых.	Сборка логики ИЛИ-И
OAl	N, L	Вх.1, вх.2,..., вых.	Сборка логики ИЛИ-И-НЕ
AOI	N, L	Вх.1, вх.2,..., вых.	Сборка логики И-ИЛИ-НЕ
Примечание. N -		— количество входов,	L — количество вентилей.
Модель динамики вентилей имеет формат
.MODEL <имя модели> UGATE [(параметры)]
Параметры моделей вентилей типа UGATE приведены в табл. 6.10 (значения по умолчанию — 0, единица измерения — с).
285
Таблица 6.10
Параметры модели вентилей
Идентификатор	Параметр
TPLHMN	Задержка при переходе от низкого уровня к высокому, минимальное значение
TPLHTY	То же, типичное значение
TPLHMX	То же, максимальное значение
TPHLMN	Задержка при переходе от высокого уровня к низкому, минимальное значение
TPHLTY	То же, типичное значение
TPHLMX	То же, максимальное значение
Вентили с тремя состояниями перечислены в табл. 6.11
Таблица 6.11
Вентили с тремя состояниями
Тип	Параметр	Порядок перечисления выводов	Функциональное назначение
BUF3	Нет	Вх., разр., вых.	Буфер
INV3	Нет	Вх., разр , вых.	Инвертор
AND3	N	Вх.1, вх.2,., разр., вых.	Логика И
NAND3	N	Вх.1, вх.2,..., разр., вых.	Логика И-НЕ
OR3	N	Вх.1, вх.2,..., разр. вых.	Логика ИЛИ
NOR3	N	Вх.1, вх.2,. ., разр., вых.	Логика ИЛИ-НЕ
XOR3	Нет	Вх.1, вх.2, разр., вых.	Исключающее ИЛИ
NXOR3	Нет	Вх.1, вх.2, разр., вых.	Исключающее ИЛИ-НЕ
BUF3A	L	Вх.1, вх.2,..., разр., вых.1, вых.2,...	Сборка буферов
INV3A	L	Вх.1, вх.2	 разр , вых.1, вых.2,...	Сборка инверторов
286
Тип	Параметр	Порядок перечисления выводов	Функциональное назначение
AND3A	N, L	Вх.1, вх.2,..., разр., вых.1, вых.2, ...	Сборка элементов логики И
NAND3A	N, L	Вх.1, вх.2,..., разр., вых.1, вых.2, .	Сборка элементов логики И-НЕ
OR3A	N, L	Вх.1, вх.2,... разр., вых.1, вых.2,...	Сборка элементов логики ИЛИ
NOR3A	N, L	Вх.1, вх.2,..., разр., вых.1, вых.2, ...	Сборка элементов логики ИЛИ-НЕ
XOR3A	N, L	Вх.1, вх.2,..., разр., вых.1, вых.2,...	Сборка элементов исключающее ИЛИ
NXOR3A	N, L	Вх.1, вх.2, . , разр., вых.1, вых.2,...	Сборка элементов исключающее ИЛИ-НЕ
Примечание. N — количество входов, L — количество вентилей.			
Модель динамики этих вентилей имеет формат
.MODEL <имя модели> UTGATE [(параметры)]
Параметры моделей вентилей типа UTGATE приведены в табл. 6.12 (значение по умолчанию — 0, единица измерения — с).
Таблица 6.12
Параметры модели вентиля
Идентификатор	Параметр
TPLHMN	Задержка при переходе от низкого уровня к высокому, минимальное значение
TPLHTY	То же, типичное значение
TPLHMX	То же, максимальное значение
TPHLMN	Задержка при переходе от высокого уровня к низкому, минимальное значение
TPHLTY	То же, типичное значение
TPHLMX	То же, максимальное значение
TPLZMN	Задержка при переходе от низкого уровня к уровню Z, минимальное значение
TPLZTY	То же, типичное значение
TPLZMX	То же. максимальное значение
TPHZMN	Задержка при переходе от высокого уровня к уровню Z, минимальное значение
TPHZTY	То же, типичное значение
TPHZMX	То же, максимальное значение
287
Идентификатор	Параметр
TPZLMN	Задержка при переходе от уровня Z к низкому уровню, минимальное значение
TPZLTY	То же, типичное значение
TPZLMX	То же, максимальное значение
TPZHMN	Задержка при переходе от уровня Z к высокому уровню, минимальное значение
TPZHTY	То же, типичное значение
TPZHMX	То же, максимальное значение
Триггеры
Триггеры имеют динамическое и потенциальное управление. Каждый компонент может содержать один или несколько триггеров в корпусе, у которых общими являются сигналы установки, сброса и тактовой синхронизации (рис. 6.10). Перечень триггеров приведен в табл. 6.13.
в)
г)
Рис. 6.10. Триггеры:
а — JK-триггер с отрицательным фронтом срабатывания и низким уровнем сигналов установки и сброса; б— D-триггер с положительным фронтом срабатывания и низким уровнем сигналов установки и сброса; в — синхронный двухтактный RS-триггер; г — синхронный однотактный D-триггер
288
Модели динамики триггеров с динамическим управлением имеют формат
.MODEL <имя модели> UEFF [(параметры)]
Параметры модели триггеров с динамическим управлением типа (JEFF приведены в табл. 6.9 (значения по умолчанию — 0, единица измерения — с). Косая черта 7" означает “или”; например, запись S/R означает сигнал S или R. Модель динамики триггеров с потенциальным управлением имеет формат
.MODEL <имя модели> UGFF [(параметры)]
Параметры моделей триггеров с потенциальным управлением типа UGFF приведены в табл. 6.10 (значения по умолчанию — 0, единица измерения — с).
Таблица 6.13
Перечень триггеров
Тип	Параметры	Порядок перечисления выводов	Функциональное назначение
Триггеры с динамическим управлением			
JKFF	Количество триггеров	S, R, С, Ji, J2,.... Kb К2, ..., Qi, Q2,..., Qi, Q2,...,	JK-триггер с отрицательным фронтом срабатывания и низким уровнем сигнала установки и сброса
DFF	Количество триггеров	S, R, C, Di, D2,..., Qi, Q2, ..., Q1, Qa,...	D-триггер с положительным фронтом срабатывания и низким уровнем сигнала установки и сброса
Триггеры с потенциальным управлением			
SRFF	Количество триггеров	S, R, G, Si,S2, .... Ri, R2,..., Qi, Q2,..., Q1, Q2,...	Двухтактный синхронный RS-триггер
DLTC Н	Количество триггеров	S, R, G, Di, D2	 Qi, Q2	 Q1, Qz, -	Однотактный синхронный D-триггер
Таблица 6.14
Параметры моделей триггеров типа UEFF
Идентификатор	Параметр
TPPCQLHMN	Задержка перехода “0"->”1” со входа S/R к выходам Q/Q, минимальное значение
10—1361
289
Идентификатор	Параметр
TPPCQLHTY	To же, типичное значение
TPPCQLHMX	To же, максимальное значение
TPPCQHLMN	Задержка перехода “Г’-У’О" со входа S/R к выходам О/Q, минимальное значение
TPPCQHLTY	То же, типичное значение
TPPCQHLMX	То же, максимальное значение
TWPCLMN	Максимальная длительность сигнала “0” на входе S/R, минимальное значение
TWPCLTY	То же, типичное значение
TWPCLMX	То же, максимальное значение
TPCLKQLHMN	Задержка перехода	от фронта импульса С/С до выхода Q/Q, минимальное значение
TPCLKQLHTY	То же, типичное значение
TPCLKQLHMX	То же, максимальное значение
TPCLKQHLMN	Задержка перехода	от фронта импульса С/С до выхода Q/Q, минимальное значение
TPCLKQHLTY	То же, типичное значение
TPCLKQHLMX	То же, максимальное значение
TWCLKLMN	Минимальная длительность сигнала ”0” на входе С/С , минимальное значение
TWCLKLTY	То же, типичное значение
TWCLKLMX	То же, максимальное значение
TWCLKHMN	Минимальная длительность сигнала “1” на входе С/С , минимальное значение
TWCLKHTY	То же, типичное значение
TWCLKHMX	То же, максимальное значение
TSUDCLKMN	Время подготовки к работе по входам J/K/D перед действием фронта синхроимпульса С, минимальное значение
TSUDCLKTY	То же, типичное значение
TSUDCLKMX	То же, максимальное значение
TSUPCCLKHMN	Длительность сигнала “1” на входах S/R при действии фронта синхроимпульса С/С , минимальное значение
290
Идентификатор	Параметр
TSUPCCLKHTY	То же, типичное значение
TSUPCCLKHMX	То же, максимальное значение
THDCLKMN	Длительность сигнала на входе J/K/D после действия фронта синхроимпульса С/С , минимальное значение
THDCLKTY	То же, типичное значение
THDCLKMX	Тоже, максимальное значение
Таблица 6.15.
Параметры моделей триггеров типа UGFF
Идентификатор	Параметр
TPPCQLHMN	Задержка перехода “0”->"1” со входа S/R к выходам О/Q, минимальное значение
TPPCQLHTY	То же, типичное значение
TPPCQLHMX	То же, максимальное значение
TPPCQHLMN	Задержка перехода	со входа S/R к выходам Q/Q, минимальное значение
TPPCQHLTY	То же, типичное значение
TPPCQHLMX	То же, максимальное значение
TWPCLMN	Минимальная длительность сигнала “0” на входе S/R, минимальное значение
TWPCLTY	То же, типичное значение
TWPCLMX	То же, максимальное значение
TPGQLHMN	Задержка перехода “0”-*”1” от фронта импульса синхронизации G до выхода Q/Q, минимальное значение
TPGQLHTY	То же, типичное значение
TPGQLHMX	То же, максимальное значение
TPGQHLMN	Задержка перехода ”1”->“0” от фронта импульса синхронизации G до выхода Q/Q, минимальное значение
TPGQHLTY	То же, типичное значение
TPGQHLMX	То же, максимальное значение
TPDQLHMN	Задержка перехода “0”->”1” от входа S/R/D до выхода Q/Q
TPDQLHTY	То же, типичное значение
291
Идентификатор	Параметр
TPDQLHMX	То же, максимальное значение
TPDQHLMN	Задержка перехода ”1 ”->“0” от входа S/R/D до выхода Q/Q
TPDQHLTY	То же, типичное значение
TPDQHLMX	То же, максимальное значение
TWGHMN	Минимальная длительность сигнала ”1” на входе G, минимальное значение
TWGHTY	То же, типичное значение
TWGHMX	То же, максимальное значение
TSUDGMN	Время подготовки к работе по входам S/R/D перед действием фронта синхроимпульса G, минимальное значение
TSUDGTY	То же, типичное значение
TSUDGMX	То же, максимальное значение
TSUPCGHMN	Длительность сигнала “1” на входах S/R при действии фронта синхроимпульса G, минимальное значение
TSUPCGHTY	То же, типичное значение
TSUPCGHMX	То же, максимальное значение
THDGMN	Длительность сигнала на входе S/R/D после действия фронта синхроимпульса G, минимальное значение
THDGTY	То же, типичное значение
THDGMX	То же, максимальное значение
По умолчанию в начальный момент времени выходные состояния триггеров приняты неопределенными (состояния X). Они остаются таковыми до подачи сигналов установки или сброса или перехода триггера в определенное состояние. В МС7 имеется возможность установить определенное начальное состояние с помощью параметра DIGINITSTATE диалогового окна Global Settings.
В моделях триггеров имеются параметры, характеризующие минимальные длительности сигналов установки и сброса и минимальную длительность импульсов. Если эти параметры больше нуля, то в процессе моделирования измеренные значения длительностей импульсов сравниваются с заданными данными и при наличии слишком коротких импульсов на экран выдаются предупреждающие сообщения.
292
6.4.3.	Программируемые логические матрицы
Программируемые логические матрицы (ПЛМ, PLA — Programmable Logic Arrays) имеют ряд входов, которые формируют столбцы матрицы, и ряд выходов, образующих строки [9]. Каждый выход (строка) управляется одним логическим элементом. Совокупность управляющих сигналов составляют программу для ПЛМ, которая определяет, какие входы соединяются с логическими элементами. В состав примитивов ПЛМ входят только однотипные вентили (И, ИЛИ, И-НЕ и т.п.), поэтому реальные ИС ПЛМ составляются из нескольких примитивов в виде макромоделей. Программа ПЛМ вводится в задание на моделирование двояко:
1) предварительно записав ее в файл в формате JEDEC и указав его имя в описании примитива конкретной ПЛМ;
2) включив данные программы непосредственно в описание ПЛМ (используя конструкцию DATA=...), что менее удобно.
Однако при использовании библиотек, в которых ПЛМ оформлены в виде макромоделей, пользователю не нужно разбираться в деталях их моделей — достаточно указать имя модели ПЛМ, список узлов включения и с помощью опции TEXT указать имя JEDEC-файла, содержащего описание программы ПЛМ, как показано на следующем примере.
Х1 IN1 IN2 IN3 IN4 IN5 IN6 IN7 IN8 IN9 IN10 IN11 IN12 IN13 IN14
+ OUT1 OUT2 OUT3 OUT4
+ PAL14H4
+ TEXT- JEDEC_FILE = "myprog.jed"
Здесь в схему включена ПЛМ типа PAL14H4, программируемая из файла myprog. jed.
Примитивы ПЛМ вводятся в задание на моделирование предложением (рис. 6.11):
Uxxx <тип ПЛМ> (сколичество входов>,количество выходов^
+ <+узел источника питания> <-узел источника питания>
+ <входной узел>* <выходной узел>*
+ <имя модели динамики> <имя модели вход/выход>
+ [РИЕ=<имя файла>]
+ [ОАТА=<флаг системы счисления> $ <данные программы>$]
+ [MNTYMXDLY= <выбор значения задержки>]
+ [IO_LEVEL=<yposewb модели интерфейса^
Имеются ПЛМ следующих типов
PLAND — матрица логики И;
293
Вход
Л/
IN1 IN2 IN3	PAL	OUT1 OUT2 OUT3
		UCC GND
Общий
Напряжение - питания
Выход М
Рис. 6.11. Программируемая логическая матрица
PLOR — матрица логики ИЛИ;
PLXOR — матрица логики исключающее ИЛИ;
PLNAND — матрица логики И-НЕ;
PLNOR — матрица логики ИЛИ-НЕ;
PLNXOR — матрица логики исключающее ИЛИ-НЕ;
PLANDDC — матрица логики И, содержащая для каждого входа столбцы прямого и дополнительного кода;
PLORC — матрица логики ИЛИ, содержащая для каждого входа столбцы прямого и дополнительного кода;
PLXORC — матрица логики исключающее ИЛИ, содержащая для каждого входа столбцы прямого и дополнительного кода;
PLNANDC — матрица логики И-НЕ, содержащая для каждого входа столбцы прямого и дополнительного кода;
PLNORC — матрица логики ИЛИ-НЕ, содержащая для каждого входа столбцы прямого и дополнительного кода;
PLNXORC — матрица логики исключающее ИЛИ, содержащая для каждого входа столбцы прямого и дополнительного кода.
После ключевого слова FILE указывается имя файла в формате JEDEC, в котором записана программа ПЛМ. Оно может быть указано как текстовая константа (и тогда заключается в кавычки “ “) или как текстовое выражение (заключается между вертикальными черточками 11). Если приведена опция FILE, то любые данные, приведенные после опции DATA, игнорируются. Способ адресации, принятый в файле JEDEC для расположения данных, определяется параметрами модели динамики.
Флаг системы счисления принимает значения:
В — двоичная система счисления;
О — восьмеричная система счисления (бит старшего разряда расположен по младшему адресу);
X — шестнадцетиричная система счисления (бит старшего разряда расположен по младшему адресу).
294
Данные программы помещаются между знаками доллара $ и могут располагаться как слитно, так и разделяться одним или несколькими пробелами. Поток данных начинается с нулевого адреса, по которому программируется соединение первого входа с вентилем, управляющим первым выходом. Наличие “0” означает, что вход не подсоединен к вентилю, а “1” — наличие такого соединения (изначально все входы не подсоединены ни к каким выходам). Данные по следующему адресу управляют соединением дополнения первого входа к вентилю, управляющему первым выходом (только для ПЛМ с прямыми и дополнительными входами), или второго входа, подключенного к вентилю, управляющему первым выходом. Каждая дополнительная “1'’ или “0" программирует соединение следующего входа или его дополнения с вентилем, управляющим первым выходом, до тех пор, пока не переберут все входы (и их дополнения). Последующие данные программируют соединения входов со вторым выходом и т.д.
Модель динамики ПЛМ имеет формат
.MODEL <имя модели динамики> UPLD [(параметры модели динамики)]
Параметры этой модели приведены в табл. 6.16.
Таблица 6.16
Параметры модели динамики ПЛМ
Идентификатор	Параметр	Значение по умолчанию	Единица измерения
TPLHMN	Время задержки на выход при переключении 0—>1, минимальное значение	0	с
TPLHTY	То же, типичное значение	0	с
TPLHMX	То же, максимальное значение	0	с
TPHLMN	Время задержки на выход при переключении 1->0, минимальное значение	0	с
TPHLTY	То же, типичное значение	0	с
TPHLMX	То же, максимальное значение	0	с
OFFSET	Адрес данных, управляющих подключением первого входа к первому выходу (в файле JEDEC)	0	—
295
Идентификатор	Параметр	Значение по умолчанию	Единица измерения
COMPOFFSET	Адрес данных, управляющих подключением дополнения первого входа к первому выходу (в файле JEDEC)	1	—
INSCALE	Количество адресов для программирования изменения состояния каждого входа (в файле JEDEC)	1	—
OUTSCALE	Количество адресов в файле JEDEC для программирования изменения состояния каждого выхода (вентиля)	2	—
Приведем пример декодера 3-8 (рис. 6.12). Входные узлы обозначим IN1 (старший разряд), IN2, IN3 (младший разряд). Если все входы находятся в состоянии “0”, выход OUT1= “1”. Если IN1 и IN2 — в состоянии “1”, a IN3 — в состоянии “1”, OUT2=“1" и т. д. Данные программы для удобства чтения записаны в виде массива. В комментариях сверху от программы указаны имена входных узлов, находящихся в состоянии “1” — true (Т) и “0” — false (F, дополнительный код); в комментариях в конце строк указаны имена выходных узлов, управляемых вентилем.
□DECODE PLANDC(3,8) ;3 входа, 8 выходов
+ $G_DPWR $G_DGND ;Узлы источника питания и “земли”
+ IN1 IN2 IN3	; Входы
+ OUT1 OUT2	OUT3 OUT4 OUT5 OUT6 OUT7 OUT8 ; Выходы
+ PLD.MDL	;Имя модели динамики ПЛМ
+ IO_STD	;Имя модели вход/выход ПЛМ
+ DATA=B$	;Данные программы ПЛМ
* IN1 IN2 IN3 * TF TF TF + 01 01 01	; OUT1
+ 01 01 10	; OUT2
+ 01 10 01	; OUT3
+ 01 10 10	; OUT4
+ 10 01 01	; OUT5
+ 10 01 10	; OUT6
+ 10 10 01	; OUT7
+ 10 10 10$	; OUT8
.MODEL PLD_MDL UPLD(...); Определение модели динамики ПЛМ
296
Рис. 6.12. Декодер 3-8, реализованный на ПЛМ
6.4.4. Прочие устройства
Источники постоянных логических сигналов. Эти компоненты имеют выходы, но не имеют входов. Логический уровень выходного сигнала равен “1" для источников типа PULLUP и “0” для источников типа PULLDN.
Внутренние сопротивления источников задаются при описании модели вход/выход. Модель динамики эти источники не имеют. В табл. 6.17 приведем их описание.
Таблица 6.17
Источники постоянных логических сигналов
Тип	Параметр	Порядок перечисления выводов	Функциональное назначение
PULLUP	Количество источников логического сигнала ''1"	Вых.1, вых.2, ...	Матрица источников
PULLDN	Количество источников логического сигнала "0"	Вых.1, вых.2,...	Матрица источников
297
Приведем пример задания источников логических сигналов со своими моделями вход/выход:
U4 PULLUP(2) $G_DPWR $G_DGND ; Два источника “1”
+ PINO PIN1 R2K
U5 PULLDN(4) $G_DPWR $G_DGND ; Четыре источника “О”
+ BUSO, BUS1, BUS2, BUS3 R50
.MODEL R2K UIO (drvh=2K)
.MODEL R50 UIO (drvl=50)
Цифровые линии задержки. Они осуществляют задержку входного сигнала любой длительности (заметим, что вентили не пропускают импульсы, длительность которых меньше времени задержки). Линии задержки имеют тип DLYNE, в списке узлов после перечисления узлов подключения источника питания указываются <узел входа> и <узел выхода>.
Модель динамики линии задержки имеет форму
.MODEL <имя модели> UDLY [(параметры)]
Параметры линии задержки приведены в табл. 6.18 (значение по умолчанию — 0, единица измерения — с).
Таблица 6.18
Параметры линии задержки
Идентификатор	Параметр
DLYMN	Минимальная задержка
DLYTY	Типичная задержка
DLYMX	Максимальная задержка
6.5.	Функциональное описание цифровых устройств
При составлении моделей сложных цифровых компонентов удобно применять следующие примитивы:
Logic Expression — составление логических выражений (примитив LOGICEXP);
Pin-to-Pin Delay — задание задержек распространения сигналов (примитив PINDLY);
Constraint Cheker— правила проверки временных соотношений, таких, как минимальное время установки/сброса, минимальная длительность импульсов и т. п. (примитив CONSTRAINT). При нарушении этих ограничений в процессе моделирования выдаются предупреждающие соотношения.
298
6.5.1.	Логические выражения
Примитив LOGICEXP задается по формату
ххх LOGICEXP ^количество еходов>,количество выходов>) + <+узел источника питания> <-узел источника питания> + <входной узел 1> . .. <входной узел п> + <выходной узел 1>... <выходной узел п>
+ <имя модели динамики> <имя модели вход/выход>
+ [IO_LEVEL=<ypoeewb модели интерфейса^
+ [MNTYMXDLY=<ew6op значения задержки:»]
+ LOGIC:
+ логическое назначение^
Логическое назначение записывается двояко:
<номер выходного узла>={<логическое выражение:»} ^промежуточная переменная>={<логическое выражение:»} Каждый упомянутый в спецификации выходной узел должен иметь одно логическое выражение. Назначенные один раз промежуточные переменные могут использоваться в последующих логических выражениях. Промежуточным переменным присваиваются имена по тем же правилам, что именам узлов.
Логические выражения заключаются в фигурные скобки {} Они записываются на одной или более строках, строки продолжения имеют символ “+” в первой позиции. Приведем перечень логических операторов в порядке их старшинства:
---логическое отрицание;
& — логическое И;
л — логическое исключающее ИЛИ;
| — логическое ИЛИ.
В качестве операндов могут быть:
-	входные узлы;
-	предварительно определенные временные переменные;
-	предварительно определенные выходные узлы;
-	логические константы 0, 1, X, R, F.
При записи выражений можно применять круглые скобки для группирования операндов.
Модель динамики примитива LOGICEXP имеет такой же формат, как стандартные вентили:
.MODEL <имя модели:» LIGATE [(параметры)]
Логические выражения вычисляются в процессе моделирования в порядке их следования. Задержка появления сигналов на выходных узлах определяется в модели динамики. Внутренние обратные связи в логических выражениях не допускаются, однако внешние обратные связи по-прежнему возможны.
299
Приведем пример описания логики функционирования арифметико-логического устройства 74181. Временные задержки будут заданы ниже с помощью примитивов PINDLY и CONSTRAINT:
U74181 LOGICEXP(14, 8) DPWR DGND AOBAR
+A1BAR A2BAR A3BAR BOBAR B1BAR B2BAR
+ B3BAR SO S1 S2 S3 M CN LFOBAR
+ LF1BAR LF3BAR LAEQUALB LBAR LGBAR LCN+4
+ D0_GATE IO_STD
+ LOGIC:
‘Промежуточные переменные
+ 131 = { -((B3BAR & S3 & A3BAR) | (A3BAR & S2 & -B3BAR))}
+ I32 = { -((-B3BAR & S1) | (SO & B3BAR) | A3BAR) }
+ 121 = { -((B2BAR & S3 & A2BAR) | (A2BAR & S2 & -B2BAR))}
+ I22 = { -((-B2BAR & S1) | (SO & B2BAR) | A2BAR) }
+ 111 = { ~((B1 BAR & S3 & A1 BAR) | (A1 BAR & S2 & ~B1 BAR))}
+ 112 = { ~((~B1 BAR & S1) | (SO & B1 BAR) | A1 BAR)}
+ 101 = { -((BOBAR & S3 & AOBAR) | (AOBAR & S2 & -BOBAR)) }
+ I02 = { -((-BOBAR & S1) | (SO & BOBAR) | AOBAR) }
+ MBAR = {~M}
+ P = { 131 & 121 & 111 & I 01 }
*	Выходные переменные
+ LF3BAR = { (131 & -I32) л
+ -((121 & 111 & 101 & Cn & MBAR) | (121 & 111 & I02 &
+ MBAR ) | ( 121 & 112 & MBAR) | (I22 & MBAR))}
+ LF2BAR = {(121 & -I22) Л -((111 & I 01 & Cn & MBAR)
+	|(I11 & I 02 & MBAR) | ( 112 & MBAR) |)}
+ LF1 BAR = {(111 & -112) л ~((Cn & 101 & MBAR) | (I02 & MBAR))}
+ LFOBAR = {101 & -I02) Л -(MBAR & Cn) }
+ LGBAR = { -( I32 | (131 & I22) | (131 & 121 & 112) | (131 & I22 & 111
& I02)) }
+ LCN+4 = { -LGBAR | (P & Cn)}
+ LPBAR = { ~P }
+ LAEQUALB = { LF3BAR & LF2BAR & LF1 BAR & LFOBAR }
6.5.2.	Задание задержек распространения
Примитив PINDLY позволяет назначить задержки распространения сигналов сложным устройствам. Один единственный примитив
300
PINDLY позволяет моделировать временные соотношения и выходные характеристики целой интегральной схемы, имеющей в своем составе, в частности, тристабильные вентили Кроме того, в описание примитива PINDLY допускается включить спецификации контроля длительностей импульсов SETUP, HOLD, WIDTH, FREQ и GENERAL, которые используются в примитиве CONSTRAINT (см. ниже).
Примитив PINDLY задается по формату:
Uxxx PINDLY (количество путей>,количество входов раз-решения>
+ количество дополнит узлов>)
+ <+узел источника питания> <-узел источника питания>
+ <входной узел 1> ... сходной узел п>
+ [<узел разрешения 1> .. . <узел разрешения л>]
+ [<внутренний узел 1> ... внутренний узел л>]
+ <вых^Зной узел 1> ... походной узел п>
+ <имя модели вход/выход>
+ [ IO_LEVEL=<ypoeewb модели интерфейса;»]
+ [ MNTYMXDLY=<Bbi6op значения задержки;»]
+ [ BOOLEAN: <булевское назначение^]
+ PINDLY: назначение задержек>*
+ [TRISTATE:
+ ENABLE LO | HI <узел разрешения> назначение задержек^]
+ [ SETUP_HOLD: спецификация времен установки/удержания>]
+ [ WIDTH: <спецификация ширины импульса>]
+ [ ЕР.ЕО:спецификация частоты повторения^
+ [ GENERAL: <общая спецификация^
Здесь приняты следующие обозначения:
количество путей> — количество путей распространения сигналов от входных к выходным узлам; при этом количество входов путей должно быть равно количеству выходов. С каждым путем вход - выход ассоциируется задержка, вычисляемая по определенным правилам;
количество входов разрешения> — спецификация триста-бильных узлов, имеющихся в примитиве (может быть равным нулю). Тристабильные узлы используются в секциях TRISTATE;
количество внутренних узлов> — внутренние узлы используются в выражениях, определяющих задержки, но они не входят в пути вход — выход (может быть равным нулю).
Проиллюстрируем взаимосвязь различных узлов на примере следующего примитива (рис. 6.13)
301
Рис. 6.13. Правила назначения задержек
U1 PINDLY(4, О, 3) $G_DPWR $G_DGND
+ IN1 IN2 IN3 IN4 REF1 REF2 REF3 OUT1 OUT2 OUT3 OUT4
+ IO_MODEL DO_GATE
+ PINDLY:
+ . . . .
На рис. 6.13 имеются четыре буфера, включенные между узлами IN1-OUT1..... IN4-OUT4, и три дополнительных узла REF1,
REF2, REF3, состояния которых влияют на значения задержек, определяемых с помощью трех правил. Причем узлы REF1 и REF2 влияют на расчет задержек по Правилу 2, а узел REF3 — по Правилу 1 и Правилу 3. Из рис. 6.13 следует также, что задержки сигналов OUT2 и OUT3 вычисляются по одному и тому же правилу. Перейдем к описанию составления правил определения задержек.
Секция BOOLEAN. С помощью <булевского назначения> определяются промежуточные переменные, которые могут быть использованы в определении задержки>. Секция BOOLEAN может быть включена на любой строке в описании примитива PINDLY. Выражение <булевское назначение> имеет вид
<булевская переменная> = {<булевское выражение:»}
Имя <булевская переменная:» составляется по тем же правилам, что имя узла.
Выражение <булевское выражение> принимает значение TRUE (логическая “1”) или FALSE (логический “0")- Подобно всем остальным выражением оно должно быть заключено в фигурные скобки {}. В табл. 6.19 перечислим булевские операторы в порядке убывания их старшинства.
302
Таблица 6.19
Булевские операторы
Оператор	Название
	Логическое отрицание
	Равно
!=	Не равно
&	Логическое И
Л	Логическое исключающее ИЛИ
I	Логическое ИЛИ
В качестве операндов булевских выражений могут участвовать:
-	предварительно определенные <булевские переменные>;
-	Функции дополнительных узлов (см. ниже);
-	функции изменений (см. ниже);
-	<булевские константы> TRUE и FALSE.
Дополнительно операторы “==“ и “!=“ могут быть применены к логическим значениям <входные узлы> и логические константы>. Это позволяет проанализировать логические состояния узлов, например, выражение “CLEAR==1” принимает значение TRUE, если узел CLEAR имеет значение логической “1”, и FALSE — в противоположном случае.
Управляющие функции используются для определения изменений состояний внутренних узлов> или <выходных узлов>. Все управляющие функции принимают логические значения и поэтому могут входить в состав <булевских выражений^ Приведем список этих функций и их аргументов:
CHANGED (<узел>,<интервал времени>)
CHANGED_LH (<узел>,<интервал времени>)
CHANGED_HL (<узел>,<интервал времени>)
Функция CHANGED принимает значение TRUE, если указанный <узел> изменял свое состояние из “0” в “1” на указанном <интервале времени>, предшествующем текущему моменту времени, в противном случае — FALSE.
Аналогично, функция CHANGEDJ.H принимает значение TRUE, если указанный <узел> изменял свое состояние на указанном интервале времени>, предшествующем текущему моменту времени, в противном случае — FALSE. Отметим, что CHANGED_LH контролирует только самое последнее изменение.
Наконец, функция CHANGED_HL принимает аналогичные значения, контролируя переходы из “1” в “О”.
Если <интервал времени> задать равным нулю, то рассматриваемые функции примут значение TRUE, если в данный мо
303
мент времени состояние узла изменяется. Это дает возможность разбивать модель цифровых компонентов на две части: первая моделирует логику функционирования с нулевыми задержками, а вторая учитывает реальные задержки.
Функции изменений предназначены для контроля за изменением состояний <выходных узлов>, для которых вычисляются выражения для задержек>. Подобно дополнительным функциям они принимают значения логического “0” или “1”. Однако в отличие от них они не имеют аргументов и просто фиксируют изменение состояний выходных узлов в текущий момент времени. Они имеют вид
TRN_pn
Здесь р — значение предыдущего состояния, ал — нового состояния.
Логические значения состояний обозначаются символами: L (низкий уровень), Н (высокий уровень), Z (большое выходное сопротивление) и $ (любой уровень). Так, например, функция TRN_H$ контролирует переход из состояния логическая “1” в любое другое состояние.
Замечание. Функции TRN_pZ и TRN_Zn принимают значение TRUE, только если они используются в секции TRISTATE, описываемой ниже. Хотя выходные узлы устройств с открытым коллектором переходят в состояние высокого импеданса Z (вместо Н), в справочниках обычно приводятся значения задержек TPLH и TPHL. Поэтому в математических моделях устройств с открытым коллектором необходимо использовать функции TRN_LH и TRNHL, а в тристабильных устройствах — TRN_LZ, TRN_HZ, TRN_ZL и TRN_ZH.
Секция PINDLY. Ключевое слово PINDLY отмечает начало секции, содержащей одно или несколько назначений задержек>, которые имеют формат
<выходной узел>* ={ <выражение для задержек>}
Каждый <выходной узел>, перечисленный в спецификации примитива, должен иметь одно выражение для определения задержек. Причем несколько выходных узлов могут иметь общее <выражение для задержек> (на рис. 6.13 <выражение для задержек> обозначено как “Правило”), тогда в левой части назначения задержек помещается список их имен, разделяемых пробелами или запятыми.
<Выражение для задержек> заключается в фигурные скобки и может располагаться на нескольких строках. Это выражение имеет три значения задержек: минимальное, типичное и максимальное. В простейшем случае <выражение для задержек> представляет собой <значение задержек> вида
DELAY(<m/n>, <typ>, <тах>),
304
где <min>, <typ>, <max> — константы с плавающей запятой или выражения (в том числе и параметры), в секундах.
Для спецификации неизвестной величины используется -1. Например, DELAY(20ns, -1,35ns) задает минимальную задержку 20 нс, устанавливаемую программой по умолчанию типичную задержку и максимальную задержку 35 нс.
В более сложных выражениях для задержек> используются функции CASE вида
CASE
(
<булевское выражением <выражение для задержек>, ;Правило 1
<булевское выражением <выражение для задержекм .Правило 2
<выражение для задержекм ;3адержки по умолчанию
)
Аргументами функции CASE являются пары <булевское выражением выражение для задержекм замыкаемые финальным выражением для задержекм определяющим значение задержки по умолчанию. При вычислении функции CASE вычисляются <бу-левские выражения> в порядке их следования до тех пор, пока не будет получен результат TRUE. После этого задержкам присваиваются значения из соответствующего выражения. Если ни одно из выражений не имеет значения TRUE, задержкам присваиваются значения из последнего выражения.
Приведем пример:
+ BOLEAN
+ CLOCK = { CHANGED_LH( CLK, 0 ) }
+ PINDLY:
+ QA QB QC QD = {
+ CASE (
+	CLOCK & TRN_LH,	DELAY(-1,	13ns,	24ns),
+	CLOCK & TRN_HL,	DELAY(-1,	18ns,	27ns),
+	CHANGED_HL(CLBAR,O), DELAY(-1,20ns,28ns),
+	DELAY(-1,20ns, 28ns)	; По	умолчанию
+	)
+ }
В этом примере рассматривается четырехразрядный счетчик. Вводится булевская переменная CLOCK, которая принимает значение TRUE, когда дополнительный узел CLK изменяет свое состояние из “0" в “1” в текущий момент времени. Четыре выхода
11—1361
305
QA, QD имеют одно и то же правило определения задержек. Функция CASE позволяет назначить разные задержки в процессе счета или обнуления. Первые два правила определяют задержки в процессе счета (CLK изменяется из состояния “0" в “1”). Первое правило применяется при изменении состояний выходов из “О” в “1”, второе — из “1” в “0”. Третье правило непосредственно определяет задержки, когда изменяется состояние узла CLRBAR.
Секция TRISTATE содержит одно или более назначений задержек^ В отличие от секции PINDLY здесь состояния выходных узлов контролируются с помощью специальных узлов разрешения (enable). Непосредственно после ключевого слова TRISTATE указываются имена узлов разрешения и их полярность с помощью ключевого слова ENABLE по формату
ENABLE HI <узел разрешение ; Разрешение в состоянии “1” ENABLE LO <узел разрешение ; Разрешение в состоянии “О” Указанный <узел разрешение управляет назначениям всех выходных узлов текущей секции. Отметим, что <выражения для задержек в секции TRISTATE может содержать функции изменений, связанных с состоянием высокого импеданса, например TRN_ZL и TRN_HZ.
Приведем пример, демонстрирующий применение узлов разрешения для контролирования более чем одного выхода. Здесь также показано, что некоторые выходы могут быть обычными (PINDLY), а другие тристабильными (значения задержек опущены):
U1 PINDLY(3, 1, 2) $G_DPWR $G_DGND
+ IN1 IN2 IN3
+ ENA
+ REF1 REF2
+ OUT1 OUT2 OUT3
+ IO_MODEL
+ TRISTATE:
+ ENABLE LO = ENA
+ OUT1 = {
+	CASE(
+	CHANGED (REF1,0) & TRN.LH,
+	DELAY(...),
+	CHANGED (REF2, 0), DELAY(. . .),
+	TRN_ZL,	DELAY(.. .),
+ + ) +	}
+ OUT3 = { +	CASE(
306
TRN_LZ,
TRN_HZ,
DELAY(. . .),
DELAY(. .),
+ PINDLY:
+ OUT2 = {
+	CASE(
+	CHANGED (REF1,0), DELAY(.. .),
Структура управления задержек в данном примере показана на
OUT1
OUT2
OUT3
Рис. 6.14. Управление задержками с помощью узлов разрешения
Функционирование примитива PINDLY. Состояния выходных узлов примитива PINDLY изменяются при изменении состояний любого входного узла или узла разрешения. Каждому входному узлу соответствует выходной узел. Сначала определяются состояния внутренних переменных в секции BOOLEAN, затем вычисляются <выражения для задержек> в секциях PINDLY или TRISTATE. После этого изменившееся состояние входного узла присваивается соответствующему ему выходному узлу с задержкой.
Приведем пример реального счетчика 74LS160A:
ULS160ADLY PINDLY (5,0,10) DPWR DGND
307
+ RCO QA QB QC QD	; Входы
+ CLK LOADBAR ENT CLRBAR , Внутренние узлы
+ RCO_O QA_O QB_O QC_O QD_O ; Выходы
+ IO_LS MNTYMXDLY = {MNTYMXDLY}
+ IQ-LEVEL = {IO.LEVEL}
+ BOOLEAN:
+ CLOCK = { CHANGED_LH (CLK, 0) }
+ CNTENT = { CHANGED (ENT, 0) }
+ PINDLY:
+ QA_O QB_O QC_O QD_O = {
+ CASE(
+	CLOCK & TRN_LH,
+	DELAY(-1, 13NS, 24NS),
+	CLOCK & TRN_HL,
+	DELAY(-1, 18NS, 27NS),
+	CHANGED_HL (CLRBAR, 0),
+	DELAY(-1,20NS, 28NS),
+	DELAY( -1,20NS, 28NS)	; По	умолчанию
+ )
+	}
+ RCO_O = {
+	CASE(
+	CNTENT, DELAY(-1,9NS, UNS),
+	CLOCK & TRN_LH,
+	DELAY(-1,20NS,	35NS),
+	CLOCK & TRN_HL,
+	DELAY(-1, 18NS,	35NS),
+	DELAY(-1,20NS,	35NS)	;	По	умолчанию
+	)
+ }
6.5.3. Контроль временных соотношений
Примитив CONSTRAINT выполняет проверку соблюдения временных соотношений в процессе моделирования. Контролируется минимальное время установки/сброса, минимальная длительность импульсов, частота переключений и предусмотрен общий механизм проверки условий, формулируемых пользователем. Примитив CONSTRAINT только сообщает о нарушении временных сооб
308
щений и не изменяет логические состояния узлов и задержки. Он задается по формату
Uxxx CONSTRAINT (<количество входов>)
+ <+узел источника питания> <-узел источника питания>
+ сходной узел 1>... сходной узел п>
+ <имя модели вход/выход>
+ [ IO_LEVEL=<ypoeewb модели интерфейса^
+ [ BOOLEAN: <булевское назначение> * ]...
+	[ SETUP_HOLD: спецификация времен установ-
ки/удержания>]...
+ [ \№ОТН:спецификация ширины импульса> ]...
+ [ ЕЯЕО.спецификация частоты повторения^ ...
+ [ GENERAL: общая спецификация> ]...
Секция BOOLEAN. Содержит одно или несколько <булевских назначений> вида
<булевская переменная> - {<булевское выражение^
Секции BOOLEAN могут включаться в любом порядке при описании примитива CONSTRAINT. Синтаксис <булевских выраже-ний> такой же, что и в примитиве PINDLY, за исключением того, что не могут быть использованы функции изменений.
Секция SETUP_HOLD выполняет проверку времен установ-ки/сброса, она имеет формат
+ SETUPJHOLD:
+ CLOCK определение типа перехода>=сходной узел>
+ DATA (количество входов данных>)=<входной узел j>... < входной узел к>
+ [ ВЕТиРТ1МЕ=<значение интервала времени>]
+ [ HOLDTIME=<3wa4ewi/e интервала времени >]
+ [ RELEASETIME=<3wa4ewue интервала времени>]
+ [ WHEN {<булевское выражение>}]
+ [ MESSAGE=“<mexcm дополнительного сообщения^']
+ [ ERRORLIMIT=<3Ha4eHue> ]
+ [ AFFECTS-ALL | AFFECTS_NONE |
+ AFFECTS (#OUTPUTS)=<cnucox выходных узлов>]
CLOCK задает узел, относительно которого выполняется измерение длительности времен установки/удержания/отпускания. Параметр определения типа переходаь принимает значения LH или HL; он указывает, от какого фронта импульса (0-»1 или 1—>0) отсчиты
309
вается время установки/удержания.
DATA определяет перечень узлов, для которых выполняется измерение времен установки/удержания.
SETUPTIME определяет минимальное время, в течение которого все узлы, перечисленные в разделе DATA, должны находиться в неизменном состоянии до момента начала отсчета времен установки/удержания. <3начение интервала времени> должно быть неотрицательной константой или выражением (в секундах). Некоторые устройства имеют различные требования к времени установки в зависимости от состояния входных данных (“0” или “1”) в момент начала отсчета. В таком случае вместо SETUPTIME применяется одна из форм
SETUPTIME_LO=<3HaweHue интервала времени>
ЗЕТиРТ1МЕ_Н1=<значение интервала времени>
HOLDTIME определяет минимальное время, в течение которого все узлы, перечисленные в разделе DATA, должны находиться в неизменном состоянии после момента начала отсчета времен установки/удержания. <значение интервала времени> должно быть неотрицательной константой или выражением (в секундах). Некоторые устройства имеют различные требования к времени установки в зависимости от состояния входных данных (“0” или “1”) в момент начала отсчета. В таком случае вместо HOLDTIME применяется одна из форм
HOLDTIME_LO=<3HaweHue интервала времени>
HOLDTIME_HI=<3waweHue интервала времени>
RELEASETIME содержит спецификацию времени восстановления — минимального интервала времени стабильного состояния, предшествующего переключению. Если время восстановления зависит от направления переключения входных данных, то вместо RELEASETIME применяется одна из форм
RELEASETIME _Ш=<значение интервала времени>
RELEASETIME _НС=<значение интервала времени>
Различие между проверкой времени восстановления и установления состоит в том, что при контроле времени восстановления не разрешается одновременное изменение CLOCK/DATA. Поэтому, если даже время удержания HOLDTIME явно не задано, оно считается больше нуля. Это свойство позволяет задать значения времени восстановления непосредственно в тексте описания модели. По этой причине время восстановления обычно задается отдельно, независимо от спецификаций SETUPTIME или HOLDTIME.
310
Проверка времен установления/удержания/восстановления начинается после того, как наступило заданное изменение состояния узла CLOCK (LH или HL). В этот момент времени вычисляется логическое выражение WHEN. Если оно принимает значение TRUE, то выполняются все проверки, имеющие ненулевые значения интервалов времени.
WIDTH содержит спецификацию минимальной длительности импульса следующего вида:
+WIDTH
+ NQDE=<exodHOuyse/7>
+ [ М1Ы_Н1=<значение интервала времени> ]
+ [ М1Н_Ю=<значение интервала времени* ]
+ [ WHEN { <булевское выражение> } ]
+ [MESSAGE=“<mexcm дополнительного сообщения*"]
+ [ ERRORLIMi'T-<3Ha4ewue> ]
+ [AFFECTS_ALL|AFFECTS_NONE |
+ AFFECTS (#OUTPUTS)=<cnucox выходных узлов* ]
Переменная NODE указывает входной узел, для которого проводится контроль длительности импульса. MIN_HI задает минимальное время, в течение которого входной узел должен находиться в состоянии “1”, a MIN_LO — в состоянии “0”. Если значение MIN_HI не указано, то оно считается равным нулю, что означает отсутствие ограничений на минимальную длительность импульсов.
FREQ содержит спецификацию допустимой частоты переключений:
+ FREQ
+ НООЕ=<ехобнойузел>
+ [ MINFREQ=< значение частоты > ]
+ [ MAXFREQ=< значение частоты > ]
+ [ WHEN { <булевское выражение> } ]
+ [ MESSAGE=“<mexcm дополнительного сообщения*"]
+ [ ERRORLIMIT=<3wa4eHue> ]
+	[ AFFECTS_ALL | AFFECTS_NONE | AFFECTS
(#OUTPUTS)=<cnucoK выходных узлов*]
Переменная NODE указывает входной узел, для которого проводится контроль частоты переключений. MINFREQ задает минимальное частоту переключений, a MAXFREQ — максимальную.
При моделировании выводятся предупреждающие сообщения, когда период переключений больше/меньше значения 1/<значение частоты>.
GENERAL содержит спецификацию проверок, формулируемых пользователем.
311
+GENERAL
+ WHEN {<булевское выражение:»}
+ MESSAGE=“<meKcm дополнительного сообщениям
+ [ ERR0RLIMIT=<3HaweHue> ]
+	[ AFFECTS_ALL | AFFECTS_NONE | AFFECTS
(#OUTPUTS)=<otucok выходных узлов>]
Переменная WHEN задает правило контроля в виде булевского выражения. Текст сообщения об ошибки задается с помощью переменной MESSAGE.
Общие замечания. Спецификации контроля SETUP_HOLD, WIDTH, FREQ, GENERAL могут располагаться в тексте примитива CONSTRAINT в любом порядке. Более того, спецификация каждого типа может встречаться несколько раз. Каждая спецификация может содержать предложение WHEN, тогда проверка выполняется, если указанное в нем <булевское выражение:» истинно. Проверка GENERAL содержит предложение WHEN всегда. Все проверки содержат встроенные сообщения об ошибках. Дополнительные сообщения можно вывести с помощью спецификации MESSAGE.
Все проверки имеют необязательный параметр ERRORLIMIT, определяющий максимальное количество ошибок. По умолчанию оно принимается равным значению глобальной переменной DIGERRDEFAULT (см. диалоговое окно Global Settings), обычно 20. Значение этого параметра, равное нулю, интерпретируется как бесконечное значение. В случае, когда количество ошибок данного типа превышает максимальное, сообщения об ошибках больше не выводятся на экран программы МС7 и в выходной файл.
Если в процессе моделирования общее количество ошибок превышает значение глобального параметра DIGERRLIMIT (см. диалоговое окно Global Settings), моделирование прекращается. По умолчанию значение DIGERRLIMIT устанавливается равным бесконечности.
Пример. Приведем фрагмент примитива CONSTRAINT из текста модели счетчика 74LS160A, демонстрирующий возможности осуществления всех проверок с помощью одного примитива:
ULS160ACON CONSTRAINT (10) DRR DGND
+ CLK ENP ENT CLBAR LOADBAR А В C D EN IO_LS
+ FREQ: NODE = CLK MAXFREQ = 25MEG
+ WIDTH: NODE = CLK MIN_LO = 25NS MIN_HI = 25NS
+ WIDTH: NODE = CLRBAR MIN_LO = 20NS
+ SETUP_HOLD: DATA(1) = LOADBAR CLOCK LH = CLK
+ SETUPTIME = 20NS HOLDTIME = 3NS
+ WHEN = { CLRBAR!='O }
312
+ SETUP_HOLD: DATA(2) = ENP ENT CLOCK LH = CLK
+ SETUPTIME = 20NS HOLDTIME = 3NS
+ WHEN = { CLRBAR!= '0 & (LOADBAR!= '0 л CHANGED (LOADBAR,0)) &
+	CHANGED(EN,20NS)}
+ SETUP_HOLD: DATA(4) = А В C D CLOCK LH = CLK
+ SETUPTIME = 20NS HOLDTIME = 3NS
+ WHEN = { CLRBAR!= '0	& (LOADBAR!='1 л
CHANGED(LOADBAR.O)) }
+ SETUP_HOLD: DATA(1) = CLRBAR CLOCK LH = CLK
+ RELEASETIME_LH = 25NS
313
Глава 7
СЕРВИСНЫЕ ВОЗМОЖНОСТИ ПРОГРАММЫ
7.1. Средства помощи
При первоначальном знакомстве с программой МС7 полезно просмотреть демонстрацию ее основных возможностей, выбрав в меню Help пункт General Demo и затем изучить отдельные разделы помощи.
Рис. 7.1 Содержание встроенной документации к программе МС7
314
Рис. 7.2. Просмотр и редактирование модели компонента в текстовом окне
315
Кроме того, имеется достаточно подробная документация, содержание которой вызывается по команде Contents меню Help или нажатием клавиши F1 на любой фазе работы с программой. Работа со средствами помощи обычная. Сначала на экране, где расположены ключевые слова (см. рис. 7.1), указывается нужный раздел, а затем и нужная тема.
Помимо этого в режиме Info Mode (активизируется нажатием на •
пиктограмму LAJ ) щелчок курсора на компоненте схемы выводит подробную информация о нем. Для простых компонентов (резистор, конденсатор и т. п.) выводится диалоговое окно Properties (см. рис. 2.2), а для сложных компонентов, модель которых описывается в текстовом виде, выводится текстовое окно, в котором приводится фрагмент библиотеки с возможностями редактирования, как показано на рис. 7.2.
Рис. 7.3. Контекстно-зависимая помощь - информация о модели компонента
316
Причем эти изменения будут внесены в модели компонентов, находящихся на данной схеме. Если же описание модели в окне текста отсутствует, загружается библиотечный файл, в котором оно находится — также с возможностью редактирования, но только теперь внесенные изменения будут приниматься во внимание при моделировании и других схем.
В режиме Help mode (активизируется нажатием на пиктограмму
ЙЯ
_rJ ) щелчок курсора на компоненте вызывает на экран раздел помощи, в котором содержится описание модели выбранного компонента в формате SPICE или графического ввода схем (рис. 7.3). Если же курсор подвести к любому компоненту в окне схем или описанию его модели в текстовом окне (без нажатия на клавиши мыши) и затем нажать комбинацию клавиш AH+F1 — будет выведена эта же информация.
7.2. Обмен данными с программой PSpice и ранними версиями Micro-Cap
Обмен данными с PSpice. Программа МС7 читает и сохраняет следующие файлы в формате PSpice:
-	текстовые файлы описания схем и задания на моделирование (расширение имени .СКТ);
-	библиотеки математических моделей (расширение имени •LIB);
-	отсчеты цифровых сигналов (расширение имени .STM).
При трансляции схемы, созданной в МС7, в текстовый файл *.СКТ в формате PSpice по команде File>Translate/Schematic to SPICE Text File в него заносятся предложения схемы, автоматически добавляются резисторы, имитирующие внутренние сопротивления источников сигналов, директивы задания на моделирование, включая директиву создания файлов данных для программы построения графиков Probe, и директивы описания моделей компонентов.
Загрузка файлов версии МС5. Для преобразования схем или библиотек предыдущих версий МС5, МС6 в формат МС7 их нужно просто загрузить в программу МС7 по команде File>Open и затем сохранить по команде File>Save As.
Преобразование библиотек компонентов и символов предыдущих версий МС5, МС6 и подключение их к текущей библиотеке МС7 осуществляется по команде Merge редактора Component Editor (см. разд. 2.3.1).
При преобразовании файлов схем из версий МС5, МС6 необходимо иметь в виду следующее:
317
Рис. 7.4. Предварительный просмотр результатов вывода схемы на принтер
1
318
•	программа МС7 присваивает номера узлов по другому, чем МС5, МС6, поэтому в окнах Analysis Limits нужно при необходимости отредактировать имена переменных, откладываемых по осям X и /графиков;
•	программа МС7 не поддерживает директивы .MUTUAL для задания взаимной индуктивности; вместо этого нужно поместить на схеме компонент К из раздела Passive components библиотеки Analog Primitives;
•	в качестве обозначения степенной функции вместо символа Л необходимо использовать функцию POW(x,y); символ Л обозначает теперь логическую функцию XOR (исключающее ИЛИ);
•	в списке параметров резисторов и конденсаторов не используется ключевое слово POLY. Подобные полиномиальные операции теперь можно определить с помощью параметров VC1, VC2 для конденсаторов и IL1, IL2 для индуктивностей. Температурные коэффициенты конденсаторов и индуктивностей должны задаваться только с помощью директив .MODEL;
•	статистический разброс сопротивлений, конденсаторов и индуктивностей указывается с помощью параметров LOT и DEV, располагаемых в директивах описания их моделей непосредственно после скалярных коэффициентов R, С или L.
7.3.	Вывод на принтеры и плоттеры
В программе МС7 на принтеры/плоттеры выводятся чертежи схем, графики результатов моделирования и текстовая информация средств помощи.
При печати схем и графиков сначала по команде File>Print Setup выбирается тип принтера (из числа тех, что установлены в Windows), размер и ориентация бумаги. Далее при печати схем выполняется команда File>Print Review для установки масштаба (опции Auto и User) и просмотра расположения схемы на листах бумаги. На строке Раде выбирается номер страницы схемы. При этом схемы большого размера печатаются на нескольких листах бумаги и границы разреза изображаются на экране жирными линиями (рис. 7.4). После того, как все подготовительные операции выполнены, нажимается клавиша Print.
Вывод графиков результатов моделирования выполняется в той же последовательности, только режим предварительного просмотра и выбора масштаба недоступен. Можно только выбрать размер бумаги и ориентацию графиков на листе — вдоль или поперек. Поэтому единственный способ напечатать графики с уменьшением — выбрать размер листа бумаги малого формата, например А6: 105x148 мм.
319
Printer Preview
Рис. 7.5. Печать схемы и графиков результатов на одной странице
320
В версии МС7 режим предварительного просмотра перед печатью доступен также и для графиков результатов моделирования. При этом можно на одном листе напечатать чертеж схемы и графики, как показано на рис. 7.5.
Еще один пример выводов графиков на принтер показан на рис. 7.6. Этот рисунок перенесен в редактор WinWord следующим способом. В среде Windows установили плоттер типа HP, например HP 7470А, и в разделе “Выберите порт” указали FILE, в результате чего на диске будет создан управляющий файл в формате HP Graphics Language. Файлы этого формата загружаются в WinWord и могут быть отредактированы графическим редактором, обрабатывающим файлы в векторном формате, например Corel Draw.
Рис. 7.6. Вывод графиков в формате HP Graphics Language
Таким способом удобно документировать результаты моделирования, редактировать графики и включать их в текстовые отчеты. К сожалению, при такой процедуре нельзя использовать символы кириллицы: они видны на экране МС7 но при преобразовании в графический формат искажаются, внести символы кириллицы можно лишь при последующем редактировании векторных файлов. Другой способ переноса графиков в текстовые документы — использование команды Edit>Copy to ClipBoard для занесения содержания окна графиков (или схем) в буфер обмена Windows и затем сохранения его в растровом файле с помощью программы
321
PaintBrush со всеми недостатками, присущими растровым форматам: невозможность изменения шрифтов и редактирования графических объектов. Достоинство такого способа в гораздо более простой процедуре создания графических файлов, к тому же символы кириллицы переносятся без искажений. Кроме того, возможно использование команды Edit>Copy the Entire Window to a Picture File для копирования всего окна Windows в виде рисунков формата BMP, EMF или WMF.
Отчет о схеме (рис.
File>Translate/Bill of Materials.
7.7)
составляется
по команде
Bill of Materials AMPLDET.CIR MPEI		Razevig				
Item	Type	Value	Qnt	Power	Cost	Parts
1	Battery	9V	1	0	0.0000	VI
2	Resistor	3k	1	0	0.0000	R1
3	Resistor	1310	1	0	0.0000	R2
4	Resistor	250	1	0	0.0000	R3
5	Resistor	100k	1	0	0.0000	R4
6	Resistor	5k	1	0	0.0000	R5
7	Inductor	lOmH	1	0	0.0000	Ll
8	Capacitor	100 nF	1	0	0.0000	Cl
9	Capacitor	50nF	1	0	0.0000	C2
10	Capacitor	2 5 nF	1	0	0.0000	C3
11	Capacitor	luF	1	0	0.0000	C4
12	Diode	KD208A	1	0	0.0000	DI
13	NPN	KT315A	1	0	0.0000	VT1
14	Sine source	SIG	1	0	0.0000	V2
Total			14	0	0.00	
Рис. 7.7. Составление отчета о схеме
7.4.	Перенос схемы на печатную плату
Для переноса схемы на печатную плату выполняется команда File>Translate / Schematic Printed Circuit Board. По этой команде составляются текстовые файлы описания списка соединений в форматах Protel, ACCEL (P-CAD), OrCAD и PADS (см. рис. 7.8). Пример такого ASCII-файла P-CAD 2001 приведен на рис. 7.9
Чтобы информация о топологическом посадочном месте компонента бала перенесена в список соединений схемы, показанный на рис. 7.9, нужно добиться двух обстоятельств.
1). В диалоговом окне редактирования атрибутов компонентов (рис. 2.10, а) с помощью атрибута PACKAGE нужно каждому компоненту схемы поставить в соответствие его топологическое посадочное место, например, для транзистора КТ312В ввести атрибут ТО-22.
322
Рис. 7.8. Диалоговое окно команды File>Translate/Schematic Printed Circuit Board
(asciiHeader
(asciiversion 2 2)
(timestamp 2002 11 10 20 4 41)
(fileAuthor "Razevig")
(copyright "Copyright 1ЭЭ8 Spectrum Software")
(program "Micro-Cap" "7.0")
(headerString "Created by Micro-Cap")
(fileUnits Mil)
)
(netlist "PCB"
(complnst "Q2"
(compRef "2N2222 ")
(originalName "TO-22")
(compValue "")
)
(complnst "Q3"
(compRef "2N2222”)
(originalName "TO-22")
(compValue "")
)
Рис. 7.9. Список соединений в формате P-CAD 2001
323
2).В редакторе Package Editor (рис. 2.21) убедиться, что в перечни соответствий введены соответствующие записи, например, КТ312В ТО-22 (редактировать их здесь нельзя).
После этого составленный файл списка соединений загружается в соответствующий графический редактор. Например, в редакторе P-CAD 2001 РСВ Editor выполняется команда Utils>Load Netlist. При этом в САПР печатных плат должны быть созданы соответствующие топологические посадочные места. Поэтому гораздо проще в МС7 создать ссылки на случайные топологические посадочные места, составить файл списка соединений и затем его отредактировать.
324
Приложение 1
КАТАЛОГ ТИПОВЫХ АНАЛОГОВЫХ И ЦИФРОВЫХ КОМПОНЕНТОВ
В табл. П1 и П2 приведены условные графические обозначения (УГО) типовых аналоговых и цифровых компонентов и перечень их параметров в том же порядке, в котором они сгруппированы в меню Component в разделах Analog Primitives и Digital Primitives. При этом графические обозначения символов компонентов по возможности отредактированы согласно требованиям ЕСКД.
Таблица П1
Аналоговые типовые компоненты
Имя компонента	Графическое обозначение	Тип	Параметры
Passive components (Пассивные компоненты)			
Resistor	—1	1—	Резистор	сопротивление;» [TC=tc1[,tc2J]
Capacitor		II		Конденсатор	<емкость> [1С=<начальное напряжение:»]
Inductor	+	Индуктивность	<индуктивность> [Ю=<начальный ток>]
TLine	J L	Линия передачи	2О=<волновое сопро-тивление> [ТО=<задержка>] или [Р=<частота> [NL= олектрическая длина линии>]]
Diode	—	Диод	<имя модели:» [area] [OFF] [!С=<начальное напряжение;»]
325
Имя компонента	Графическое обозначение		Тип	Параметры
□45			Диод,повернутый на 45°	<имя модели> [area] [OFF] [1С=<начальное напряжение:-]
Transformer			Трансформатор высокочастотный	«индуктивности первичной обмотки>, «индуктивность вторичной обмотки>, «коэффициент связи>
К	'I I ( 1 ✓	r 1 4	Взаимная индуктивность	«имя индуктивно- сти»* «коэффициент связи> «имя модели> [размер]
Zener	4	4-	Стабилитрон P_009.bmp	«имя модели> [area] [OFF] [1С=«начальное напряжение:»]
Active components (Активные компоненты)				
NPN		Ij.	Биполярный п-р-п транзистор	«имя модели:» [area] [OFF] [IC=Vbe[,Vce]]
PNP	—1		Биполярный р-п-р транзистор	«имя модели:» [area] [OFF] [IC=Vbe[,Vce]]
NPN4			Биполярный боковой п-р-п транзистор	«имя модели:» [area] [OFF] [IC=Vbe[,Vce]]
PNP4			Биполярный боковой р-п-р транзистор	«имя модели:» [area] [OFF] [IC=Vbe[,Vce]]
NMOS	Hl	J	МОП-транзистор обедненного типа с п-каналом	«имя модели:» [L=] [W=] [AD=] [AS=] [PD=] [PS=] [NRD=] [NRS=] [NRG=] [NRB=] [OFF] [IC=Vds[,Vgs[,Vbs]]]
326
Имя компонента	Графическое обозначение		Тип	Параметры
PMOS		J	МОП-транзистор обедненного типа с р-каналом	<имя модели> [L=] [W=] [AD=] [AS=] [PD=] [PS=] [NRD=] [NRS=] [NRG=] [NRB=] [OFF] [IC=Vds[,Vgs[,Vbs]J]
DNMOS			МОП-транзистор обогащенного типа с п-каналом и внутренним соединением подложки и истока	<имя модели> [L=] [W=] [AD=] [AS=] [PD=] [PS=] [NRD=] [NRS=] [NRG=] [NRB=] [OFF] [IC=Vds[,Vgs[,Vbs]]]
DPMOS			МОП-транзистор обогащенного типа с p-каналом и внутренним соединением подложки и истока	<имя модели> [L=] [W=] [AD=] [AS=] [PD=] [PS=] [NRD=] [NRS=] [NRG=] [NRB=] [OFF] [IC=Vds[,Vgs[,Vbs]]]
NJFET	ч	J-	Полевой транзистор с управляющим р-n переходом и каналом п-типа	«имя модели> [area] [OFF] [IC=Vds[,Vgs]]
PJFET	J		Полевой транзистор с управляющим р-п переходом и каналом р-типа	<имя модели> [area] [OFF] [IC=Vds[,Vgs]J
Opamp	+ +		Операционный усилитель	<имя модели>
GaAsFET	ч	J	Арсенид-галлиевый полевой транзистор с каналом п-типа	<имя модели> [area] [OFF] [IC=Vds[,Vgs]]
Waveform sources (Источники сигналов)				
Battery		1	—	Источник постоянного напряжения (батарея)	<напряжение> или <имя>
327
Имя компонента	Графическое обозначение	Тип	Параметры
Pulse source	ф	Источник импульсного напряжения	<имя модели> Примечание. Амплитуда сигнала в режиме АС равна 1 В
Isource		Источник постоянного тока	<ток> или <имя>
User source	ф	Источник напряжения, задаваемый пользователем	Имя текстового файла (расширение .USR), содержащего выборочные значения напряжения. Примечание. Амплитуда сигнала в режиме АС равна 1 В
Sine source	ф	Источник синусоидального напряжения	<имя модели> Примечание. Амплитуда сигнала в режиме АС равна 1 В
V	ф	Независимый источник переменного напряжения	DC <напряжение> [АС <модуль>[фаза]] [PULSE ...] [SIN ...] [EXP ..] [PWL . .] [SFFM...J
1	фз	Независимый источник переменного тока	DC <ток> [AC <mo-дуль>[фаза]] [PULSE ...] [SIN ...] [EXP .] [PWL .] [SFFM ...]
Fixed Analog		Подключение источника постоянного напряжения (эквивалент двух элементов Battery и Ground)	Атрибут PART <имя>, например, Vin, Vcc Атрибут VALUE <зна-чение> — ЭДС постоянного напряжения
Function sources (Функциональные источники сигналов)			
NFV	(NF)	Источник напряжения, задаваемый функциональной зависимостью)	Алгебраическая формула для расчета напряжения источника ЭДС. Использовать комплексные переменные не разрешается
328
Имя компонента	Графическое обозначение		Тип	Параметры
NFI	•мгф		Источник тока, задаваемый функциональной зависимостью	Алгебраическая формула для расчета тока источника тока (как функция любых зависящих от времени переменных)
NTVofl		ф	Таблично задаваемый источник напряжения, управляемый током	(Х1,у1) (х2,у2) [(хЗ.уЗ) (х100,у 100)]
NTIofl			Таблично задаваемый источник тока, управляемый током	(х1,у1) (х2,у2) [(хЗ.уЗ)... (хЮО.уЮО)]
NTIofV	ктф		Таблично задаваемый источник тока, управляемый напряжением	(х1,у1)(х2,у2) [(хЗ,уЗ)... (х100,у 100)]
NTVofV	ф		Таблично задаваемый источник напряжения, управляемый напряжением	(х1,у1) (х2,у2) [(хЗ,уЗ)... (хЮО.уЮО)] •
Laplace sources (Линейные управляемые источники, задаваемые преобразованием Лапласа)				
LFIofV	/4		Источник тока, управляемый напряжением (ИТУН), задаваемый формулой	Выражение для передаточной функций (от комплексной переменной s)
LFIofl	\.ф		Источник тока, управляемый током (ИТУТ), задаваемый формулой	Выражение для передаточной функций (от комплексной переменной s)
329
Имя компонента	Графическое обозначение			Тип	Параметры
LFVofV	: Ф			Источник напряжения, управляемый напряжением (ИНУН), задаваемый формулой	Выражение для передаточной функций (от комплексной переменной S)
LFVofl		’ Ф		Источник напряжения, управляемый током (ИНУТ), задаваемый формулой	Выражение для передаточной функций (от комплексной переменной S)
LTIofV	.'"c			Источник тока, управляемый напряжением (ИТУН), задаваемый таблично	(частота1, модуль1, фаза1) (частота2, мо-дуль2, фаза2) (частота N, модуль N, фаза N)
LTVofV	:ф			Источник напряжения, управляемый напряжением (ИНУН), задаваемый таблично	(частота 1, модуль 1, фаза 1) (частота 2, модуль 2, фаза 2) (частота N, модуль N, фаза N)
LTIofl		Н		Источник тока, управляемый током (ИТУТ), задаваемый таблично	(частота 1, модуль 1, фаза 1) (частота 2, модуль 2, фаза 2) (частота N, модуль N, фаза N)
LTVofl		г Ф		Источник напряжения, управляемый током (ИНУТ), задаваемый таблично	(частота 1, модуль 1, фаза 1) (частота 2, модуль 2, фаза 2) (частота N, модуль N, фаза N)
330
Имя компонента		Г рафиче-ское обозначение			Тип	Параметры
Z Transform sources (Линейные управляемые источники, задаваемые Z-преобразованием)						
Zlofl					Источник напря-	Алгебраическая фор-
		4 2С			жения, задаваемый функциональной зависимостью	мула для расчета напряжения источника ЭДС (как функция любых зависящих от времени переменных)
ZlofV		0 LF_( О	5		Источник тока, задаваемый функциональной зависимостью	Алгебраическая формула для расчета тока источника тока (как функция любых зависящих от времени переменных)
ZVofl		г		5	Таблично задаваемый источник напряжения, управляемый током	(х1,у1) (х2,у2) [(хЗ.уЗ)... (х100 ,у 100)]
ZVofV		nt(			Таблично задаваемый источник тока, управляемый током	(х1 ,У1) (х2,у2) [(хЗ.уЗ)... (Х100, у 100)1
Dependent sources (Линейные и нелинейные зависимые источники)						
lofV		О			Линейный источник тока, управляемый напряжением (ИТУН)	«коэффициент передачи>
lofl					Линейный источник тока, управляемый током (ИТУТ)	«коэффициент передачи>
Vofl		+		j)	Линейный источник напряжения, управляемый током (ИНУТ)	«коэффициент передачи>
331
Имя компонента	Графическое обозначение			Тип	Параметры
VofV	 ф			Линейный источник напряжения, управляемый напряжением (ИНУН)	<коэффициент передачи>
HVofl	ф		4-	Полиномиальный источник напряжения, управляемый током (ИНУТ)	[POLY(k)] п1р n1m[n2p п2т] ... [пкр пкт] [рО р1 ... рк] [IC=v1,v2,v3,...,vk]
GlofV			)	Полиномиальный источник тока, управляемый напряжением (ИТУН)	(POLY(k)] п1р п1т [п2р п2т] ... [пкр пкт] [рО р1 ... рк] [IC=v1 ,v2,v3	vk]
Flofl			)	Полиномиальный источник тока, управляемый током (ИТУТ)	[POLY(k)] nip п1т [n2p п2т] ... [пкр пкт] [рО р1 ... рк] [IC=v1,v2,v3	vk]
EVofV	О + 0		Ф	Полиномиальный источник напряжения, управляемый напряжением (ИНУН)	[POLY(k)] п1р n1m [п2р n2m]... [пкр пкт] [рО р1 ... рк] [IC=v1,v2,v3,...,vk]
Macros (Макромодели, заданные схемами замещения)					
Abs	—	—bZ.		Вычисление абсолютной величины	—
Amp		^>		Усилитель	СА!М=<коэффициент усиления>
Clip	—		—	Ограничитель с линейной зоной	МАХ =<максимальное напряжение:»; □Х=<граница линейной зоны>
332
Имя компонента	Графическое обозначение					Тип	Параметры
Centap				e		Трехобмоточный трансформатор с ферромагнитным сердечником	L1, L2, L3 - индуктивности обмоток (Коэффициент связи равен 0,999)
Delay						Звено запаздывания с волновым сопротивлением 50 Ом	Ое1ау=<запаздывание>
Dif	—		—			Дифференцирующее устройство	SCALE =<масштабный коэффициент*
Div			—			Делитель	SCALE «масштабный коэффициент*
F		F			-	Линейное звено, определяемое с помощью преобразования Лапласа	РЭ=<передаточная функция переменной s>
Int	—		—			Интегратор	SCALE «масштабный коэффициент* \/1М1Т=<начальное напряжение*
Gyrator	s		к			Гиратор	С=<проводимость>
Mui			v)—			Перемножитель	ЭСАЕЕ=<масштабный коэффициент*
Noise	-(*>-					Генератора кусочно-линейного сигнала на основе датчика случайных чисел rnd	Тэ=<интервал дискретизации по времени* \/з=<масштабный коэффициент*
333
Имя компонента	Графическое обозначение				Тип		Параметры
Pot	PINA	PINC Jpumb				Потенциометр		Н=<полное сопротив-ление> РЕЯСЕМТ=<относитель ное сопротивление между левым А и средним В выводами>
Put	Cathode Anode				Тиристор		Те же параметры, что у модели SCR (в схеме замещения отсутствует резистор RGK)
Resonant			Резонатор				РО=<центральная час-тота>
							
	plu			—IK——'		minus	(_11М=<индуктивность> О=<добротность>
Schmitt		--	—	—	Триггер Шмитта		Х1, Х2, Y1, Y2 - пороговые уровни; РоШ=<выходное сопротивление; 5!дп=<флаг инверсии (+1 или -1)>
SCR					Тиристор		!Н=<ток удержания> IGT=<tok включения управляющего элек-трода> ТО1М=<время включения > VTMIN =<минимальное напряжение> УОРМ=<напряжение пробоя в прямом вклю-чении> □УОТ=<максимально допустимая величина dv/dt> TQ = <параметр> К1 =<коэффициент> К2 =<коэффициент>
Slip		/	/		Усилитель с зоной нечувствительности		МАХ=<максимальное напряжение> ОХ=<граница зоны не-чувствительности>
334
Имя компонента	Графическое обозначение					Тип	Параметры
Sub	+	Л				Вычитающее устройство	КА, КВ - весовые коэффициенты уменьшаемого и вычитаемого (указываются в графе VALUE)
Sum (КА,КВ)		(+				Сумматор	КА, КВ - весовые коэффициенты слагаемых
Sum3 (КА, КВ, КС)	—	м		—		Сумматор трех сигналов	КА, КВ, КС - весовые коэффициенты слагаемых
Triac	a not	le /2	cathode gate			Тиристор	Те же параметры, что у модели SCR (используется более сложная схема замещения)
Triode						Триод (электронная лампа)	к=<масштабный коэффициент Ми=<коэффициент усиления> Сдр =<емкость анод-сетка> Сдс =<емкость сетка-катод> Срс=<емкость анад-катод>
VCO		;co				Управляемый генератор	VP =<амплитуда сигна-ла> РО=<центральная час-тота> КР=<крутизна перестройки частоты (Гц/В)>
Xtal	plus minus					Кварцевый резонатор	РО=<центральная час-тота> R1=<сопротивление потерь> О=<добротность>
555	RESE 4— ♦—	тТ TvccTc H RES OUT RIG DISCH ~~JCND			3NT	Измеритель временных интервалов	<имя макромодели>
335
Имя компонента	Графическое обозначение		Тип	Параметры
Subckts (Макромодели, заданные текстовыми описаниями на языке PSpice)				
Opamp_su bckt_5	-	+ + 1	Операционный усилитель с 5 выводами (вход вход +, питание -, питание +, выход)	—
Opamp_su bckt_7			Операционный усилитель с 7 выводами (вход -, вход +, внешняя коррекция, питание -, питание +, выход)	
Comp_6	1 2	s. + T g + V 5t ^‘14 &*	Компаратор напряжения	—
Amp_subck	1	2 1=	Усилитель	
Connectors (Соединители)				
Ground			“Земля”	—
Tie	—1		Соединитель	Имя контакта
Jumper Jumper2		1*1			Перемычки без электрического соединения в точках пересечения (джамперы)	—
Jumpdiagl			Диагональная перемычка р	V—
336
Имя компонента	Графическое обозначение		Тип	Параметры
Miscellaneous (Смесь)				
Sampl and Hold			Устройство выборки и хранения	<выражение для входного сигнала> — имя выражения, из которого берутся выборочные значения <условие> — условие, при выполнении которого берутся выборки спериод» — период взятия выборок
S (V-Switch)	• + •	I	Ключ, управляемый напряжением	<имя модели»
Switch	+ 	1	Ключ, управляемый напряжением, током или временем	[V,] или [1,] или [Т,] значение! ,значение2,[Воп, [Roff]]
W (l-Switch)	t		Ключ, управляемый током	<имя модели»
Arrow	—►-		Стрелка	Имя контакта
Bublel	•		Контакт	Имя контакта
Bubble2	•		Контакт	Имя контакта
12—1361
337
Таблица П2
Цифровые типовые компоненты
Имя компонента	Графическое обозначение		Тип
Standard Gates (Стандартные вентили)			
And Gates	Mill II.LJ		Логическое И с 2-9 входами
Buffers	-		Буфер
Inverters	—		Инвертор
Nand Gates	11II1II11		Логическое И-НЕ с 2-9 входами
Nor Gates			Логическое ИЛИ-НЕ с 2-9 входами
Or Gates			Логическое ИЛИ с 2-9 входами
Xor Gates			Исключающее ИЛИ
Xnor Gates			Исключающее ИЛИ-НЕ
338
Имя компонента	Графическое обозначение		Тип
Tri-State Gates (Вентили с тремя состояниями)			
And-Tri Gates		2^-	Логическое И с 2-9 входами
Nand-Tri Gates			Логическое И-НЕ с 2-9 входами
Or-Tri Gates			Логическое ИЛИ с 2-9 входами
Nor-Tri Gates			Логическое ИЛИ-НЕ с 2-9 входами
Inv-Tri Gates	-		Инвертор
Buf-Tri Gates	-		Буфер
Xnor-Tri Gates			Исключающее ИЛИ
Xor-Tri Gates			Исключающее ИЛИ-НЕ
339
Имя компонента	Графическое обозначение			Тип
Edge-Triggered Flip-Flops (Триггеры с динамическим управлением)				
JKFF		, FREE 	а	 J	Q У CLKD К	QB t CLRB		JK-триггер с отрицательным фронтом срабатывания и низким уровнем сигналов установки и сброса
DFF		|PREB D	Q )> CLK QB TCLRB		D-триггер с положительным фронтом срабатывания и низким уровнем сигналов установки и сброса
Gated Flip-Flops/Latches (Триггеры с потенциальным управлением)				
LATCH	-	^РРЕВ D	Q GATE QB TcLRB		Однотактный синхронный D-триггер
SRFF	-	|PREE S	Q OATE R	QB T CLRB		Двухтактный синхронный RS-триггер
Pullups/Pulldowns (Источники постоянных логических сигналов)
Pullup	+	Источник логического сигнала “1”
g
Pulldown	—	Источник логического сигнала “О”
g
340
Имя компонента	Графическое обозначение				Тип
Delay Line (Цифровые линии задержки)					
Delay 1	Hz		+ ДТ-		Цифровая линия задержки
Programmable Logic Arrays (Программируемые логические матрицы)					
PLAND33, PLOR33, PLANDC33 L		InO I	IM In2		Программируемые логические матрицы с 3 входами и 3 выходами гические выражения)
					UUIO 	Out, _Out2	
	ogic Expression (Лс				
Logic2x1	A В		—	C	Логическое выражение с двумя входами и одним выходом
Logic2x2	A В			_c ' p	Логическое выражение с двумя входами и двумя выходами
Pin Delay (Задержки распространения сигналов)					
Pin Dalay 2x1	A R			01	Назначение задержки распространения сигналов устройству с одним входом, одним узлом разрешения и одним выходом
Pin Dalay 2x2	A В		——	OA OB	Назначение задержки распространения сигналов устройству с двумя входами и двумя выходами
Constraints (Контроль временных соотношений)					
Constraint	IN			J	Контроль соблюдения временных соотношений
AtoD Converters (Аналого-цифровые преобразователи)					
О	.	Reference Analog, Djgjta| -k	r				Аналого-цифровой интерфейс
341
Имя компонента	Графическое обозначение						Тип
AtoD4, AtoD8, AtoD12, AtoD16	—		Ref | Status Over-range Convert n	Outo Out1 Out2 Out3 Gnd I			—	Макромодель интерфейса А/Ц с 4 - 16 разрядами
DtoA Converters (Цифро-аналоговые преобразователи)							
N	High-level D|git.al 1 .Analog Low- level						Цифро-аналоговый интерфейс
DtoA4, DtoA8, DtoA12, DtoA16			| Ref InO In1 Ou1 In2 In3 | Gn		j		Макромодель интерфейса Ц/А с 4 - 16 разрядами
Stimulus Generators (Генераторы цифровых сигналов)							
Stiml	(-TUU			OutO			1-разрядный цифровой сигнал
Stim2, Stim4, Stim8, Stiml 6		□			Out1 OutO		2-, 4-, 8-, 16-разрядный цифровой сигнал
FStiml	(J1JU			-.QutO			Источник 1-разрядного цифрового сигнала, записанного в файле
Fstim2, FStim4, FStim8, FStiml 6		□			Out! OutO		Источник 2-, 4-, 8-, 16-разрядного цифрового сигнала, записанного в файле
Fixed Digital							Источник постоянного напряжения
342
Имя компонента	Графическое обозначение			Тип
Animation (Анимация цифровых устройств)				
Digital Switch	1			Ключ, управляемый щелчком курсора
LED				Индикатор состояния узла (логический 0 — черный цвет, логическая 1 — красный)
Seven Segment				Семисегментный индикатор
343
Приложение 2
ТИПОВЫЕ СХЕМЫ
Программа МС7 поставляется с большим количеством примеров, которые полезно просмотреть в процессе освоения программы и использовать в качестве справочного материала при составлении собственных схем. Приведем перечень наиболее полезных примеров, расположенных в каталоге \MC7\DATA.
Аналоговые схемы
Вах — регулятор тембра;
Bpfilt — полосовой фильтр на ОУ;
Buttern — реализация фильтра Баттерворта на управляемом источнике напряжения, задаваемом с помощью преобразования Лапласа;
Carlo — простейшая LC-цепь (иллюстрация влияния случайного разброса параметров по методу Монте-Карло на характер переходных процессов и частотных характеристик);
Choke — выпрямитель,
CMOS — МОП-ключи;
Colpitts — автогенератор по схеме Колпитса;
Core — иллюстрация расчета петли гистерезиса ферромагнетика;
СогеЗ — 3-обмоточный трансформатор;
Crossovr — моделирование влияния неидентичности параметров на характеристики разделения спектра сигнала на 2 канала;
Curves — измерение статических выходных вольт-амперных характеристик биполярного транзистора;
Diffamp — вариация коэффициента передачи тока биполярного транзистора дифференциального усилителя;
Dira — иллюстрация вычисления и отображения различных функций от переходных процессов;
Filter — активный фильтр Чебышева;
F1 — применение макромодели управляемого генератора VCO;
F2, F3 — применение нелинейных управляемых источников;
F4 — изучение макромодели вакуумного триода;
344
FFT1 — иллюстрация применения функций цифровой обработки сигналов (DSP) при анализе переходных процессов;
FFT3 — расчет корреляционной функции;
FFT4 — расчет импульсной характеристики линейной цепи с помощью обратного преобразования Фурье комплексного коэффициента передачи;
FFT3 — расчет корреляционной функции;
FFT5 — применение корреляционной функции для выделения сигнала на фоне помех;
FFT7 — выделение с помощью диалогового окна DSP стационарного участка переходного процесса для расчета его спектра;
Gasfet — исследование характеристик GaAsFET транзистора;
Gilbert — аналоговый умножитель;
Gummel — исследование SPICE модели Гуммеля-Пуна биполярного транзистора;
Gyrtest —демонстрация свойств гиратора;
Ivbjt — построение статических характеристик биполярного транзистора;
L1, L2 — применение преобразования Лапласа для задания передаточных функций линейных цепей;
L3 — задание передаточной функции фильтра Баттерворта 20-го порядка;
Ltra3 — три типа моделей линии передачи;
Moscaps — измерение емкостей модели МОП-транзистора при импульсном воздействии;
Mosdiff— дифференциальный усилитель на МОП-транзисторах;
Noisebjt — расчет спектра шума транзисторного усилителя;
Nyquist — исследование устойчивости линейной цепи по методу Найквиста;
Opampl —сравнение трех моделей операционного усилителя;
Р1 —табличное задание комплексной передаточной функции;
Peril, perf2 — анализ по методу Монте-Карло;
Potdemo — демонстрация макромодели потенциометра;
Rise — измерение времени установления колебаний в RLC-цепи при учете разброса параметров по методу Монте-Карло;
PRLC — расчет переходных процессов и частотных характеристик простейшей пассивной цепи;
Sh2 — применение устройства выборки и хранения;
Subcktl —пример макромодели, имеющей текстовое описание в формате SPICE;
Switc — три типа ключей;
345
System 1 — решение дифференциального уравнения;
System2 — моделирование функциональной схемы системы автоматического регулирования;
Т1 — примеры таблично задаваемых источников сигналов;
TH, TI2, TI3 — примеры измерения характеристик линии передачи;
Thy1, Thy2 — испытания тиристоров;
Trans — различные трансформаторы;
XtaH — кварцевый автогенератор;
UA709, иА741 — схемы операционных усилителей;
User, user2 — примеры источников сигналов, записанных в файлах;
Zdomain — пример источника сигнала, задаваемого с помощью Z-преобразования .
Цифровые и смешанные схемы
283 — 4-разрядный сумматор;
555Astab, 555Мопо — применение макромодели таймера 555;
Add16 — 16-разрядные АЦП и ЦАП;
Counter — счетчик;
Counter2 — программируемый счетчик;
Decoder — применение ИС 74145;
Digosc — генератор на логических элементах;
Eelgate — схема логического элемента ЭСТЛ;
FTSIM8 — генераторы цифровых сигналов типа STIM и FSTIM,
Mixed, Mixedl, Mixed4, Mixed5;
07 — примеры моделирования смешанных аналого-цифровых устройств;
Osc1 — генератор на триггере Шмитта;
PLA2, PLA3 —применение ПЛИС (программируемых логических интегральных схем);
STIMSamp, STIMst2, STIMst3, STIMst4 — генераторы цифровых сигналов различной разрядности;
TTLinv — воздействие импульсного сигнала на ТТЛ-инверторы, представленные в виде принципиальных схем и логических примитивов.
Построение трехмерных графиков
3D1 — формирователь затухающего гармонического колебания;
3D2 — полосовой фильтр.
346
Анимация
Anim — схема с 7-сегментным индикатором и ключом;
Anim3 — схема с тремя 7-сегментными индикаторами.
Разработка печатных плат
РСВ — пример, иллюстрирующий передачу списка соединений схемы на разработку печатных плат;
Текстовые файлы в формате SPICE (*.СКТ)
Astable — моделирование аналогового устройства;
PLA1, PLA2 — использование макромоделей ПАЛ;
Ttinv — воздействие импульсного сигнала на модель ТТЛ инвертора;
UA709 — модель операционного усилителя цА709.
347
Приложение 3
ПРАКТИЧЕСКИЕ ЗАНЯТИЯ*
УПРАЖНЕНИЕ 1
Моделирование простых линейных пассивных цепей
1. Моделирование интегрирующей RC-цепи (фильтра нижних частот)
Схема интегрирующей показана на рис. П1.
цепи с источником импульсного сигнала
V1 IMPULS
R1 10k
Рис. П1. Схема интегрирующей цепи
Используя систему схемотехнического моделирования МС7, необходимо провести теоретический расчет основных параметров цепи и выполнить моделирование в режимах расчета частотных характеристик и анализа переходных процессов.
1.1. Теоретический расчет
Используя встроенный калькулятор МС7 SI , рассчитайте постоянную времени T=RC, граничную частоту по уровню 1/V2 =0,707 (или-3 дБ)
348
f = _1
rp 2л 7
и величину фазового сдвига (в градусах) на граничной частоте
<ргр = -(180/л)агс1д(2л/грТ).
При расчете задавайте значение емкости и сопротивления в производных (дробных и кратных) единицах (например, величина сопротивления 10 кОм вводится в калькулятор как 10k), а число л как pi. Функция arctg обозначается как atan. Для арифметических операций умножения, деления и возведения в степень используются общепринятые обозначения: *, / и л соответственно. Извлечение квадратного корня выполняется функцией sqrt.
Для удобства считывания результата установите инженерный (Engineering) формат представления чисел и количество цифр после запятой (Digits) задайте равным 3.
В системе МС используются следующие обозначения производных единиц:
Обозначение	Наименование	Значение	Обозначение	Наименование	Значение
10‘3	м(милли)	m или М	103	к (кило)	к или К
10’®	мк (микро)	и или U	10®	М (мега)	meg или Мед
10’9	н (нано)	п или N	10э	Г (гига)	д или G
10'12	п (пико)	р или Р	ю12	Т (тера)	t или Т
* В составлении описаний практических занятий принимал участие доцент М. Ю. Лишак
Эти обозначения набираются в латинском регистре после численного значения величины без пробела.
1.2. Построение принципиальной схемы
Компоненты размещаются на схеме в следующей последовательности. В меню Component из раздела Analog Primitives выбирается нужный компонент. Резисторы (Resistor) и конденсаторы (Capacitor) находятся в подразделе Passive Components, полупроводниковые приборы находятся в подразделе Active Devices, источники сигналов — в подразделе Waveform Sources. После выбора компонента щелчком левой кнопки мыши его условное графическое обозначение (УГО) появляется на рабочем поле. Изо-
349
бражение компонента устанавливается в нужную точку схемы при нажатой левой кнопке мыши. Если нужно изменить ориентацию компонента на схеме, то при нажатой левой кнопке мыши нажимают правую кнопку. Каждое такое нажатие поворачивает изображение на 90° по часовой стрелке. Отпускание левой кнопки мыши фиксирует компонент в точке, указываемой курсором, и на экран выводится окно для ввода значения параметра компонента или имени его модели. Для ускорения выбора компонента удобно одновременным нажатием Ctrl+1 вывести на экран список наиболее часто встречающихся компонентов — нужные компоненты выбираются в них щелчком мыши и размещаются на схеме прежним образом (при необходимости можно создать несколько таких списков и наносить компоненты на рабочее окно нажатием Ctrl+2 и т. п.).
Положение компонентов на рабочем поле «привязано» к узлам сетки. Для удобства построения схемы эту сетку можно сделать видимой, нажав на кнопку Grid -Lili.
После размещения на схеме источника импульсного напряжения Pulse source укажите имя модели IMPULS и введите значения ее параметров в окне текста: VZERO — начальное значение сигнала; VONE — максимальное значение сигнала; Р1 — начало переднего фронта; Р2 — начало плоской вершины импульса; РЗ — конец плоской вершины импульса; Р4 - момент достижения начального уровня (т. е. окончания импульса); Р5 — период повторения импульсов. Параметры Р1 и Р2 могут иметь одинаковые значения (при этом длительность переднего фронта будет равна нулю). Аналогично при РЗ = Р4 длительность заднего фронта импульса равна нулю.
Для удобства работы текстовое окно можно поместить на одном экране с окном схемы. Для этого нужно разделить экран на 2 части, выполнив команду Windows>Split Horizontal, и затем мышью установить требуемую высоту текстового поля.
Приведем пример описания источника периодических прямоугольных импульсов с нулевым начальным уровнем и амплитудой 1 В, имеющих длительность 1 мс и период повторения 2 мс:
.MODEL PULSE PUL (VZERO=0 VONE=1 P1=0 P2=0 P3=1m P4=1m P5=2m)
Здесь PULSE — произвольное имя модели источника, которое задается пользователем.
При построении схемы следует учитывать, что для работы программы моделирования необходимо, чтобы хотя бы один узел схемы был заземлен. Элемент заземления (Graund) выбирается в меню Component в разделе Analog Primitives в подразделе Соп-
350
nectors (элементы соединения). Заземленный узел всегда имеет 0-й номер, который на схеме не отображается. Полный перечень типовых компонентов, размещенных в библиотеке в разделах Analog Primitives и Digital Primitives, приведен в Приложении 1.
Выводы компонентов соединяются проводниками по команде Options>Mode>Wlre, активизируемой также нажатием на пикто-Fjj грамму Uzl.
Номера узлов проставляются на схеме нажатием на пиктограм-му LH_1 в дальнейшем они потребуются при построении графиков характеристик схемы.
По окончании создания схемы по команде File>Save As присвойте файлу схемы уникальное имя, записав его в каталог \MC7\DATA.
ВНИМАНИЕ. При работе в сети не пытайтесь записать свои 'файлы схем на сервер — он'защищен от записи. Если они записываются не в стандартный каталог \MC7\DATA, а в другой, то перед выполнением моделирования необходимо переписать в него из каталога \MC7\DATA файлы загружаемых примеров, а в каталог \MC7\LIBRARY — файл списка загружаемых библиотек математических моделей NOM.LIB и все упоминаемые в нем файлы, которые имеют расширение имени .LIB, .MOD, МАС и .ОКТ.
1.3.	Расчет частотных характеристик цепи
Выполнив команду Analysis>AC, перейдите к анализу частотных характеристик. В открывшемся окне задания на расчет (рис. П2) укажите диапазон частот Frequency Range и имена переменных, графики которых нужно построить (Р — номер графика; X Expression — переменная, откладываемая по оси X, например частота F; Y Expression — переменная, откладываемая по оси У, например V(2) — напряжение в узле 2). Здесь ph (первые буквы слова phase — фаза) означает определение фазы напряжения. Обратите внимание на то, что в системе МС7 при задании диапазона частот (так же, как и интервала изменения любых других величин) сначала указывается максимальное значение, а потом минимальное. Минимальное значение частоты может быть равно 0. Задавая конкретные пределы изменения частоты, исходите из того, что для удобства наблюдения частотных характеристик максимальная частота должна быть в несколько раз больше рассчитанной граничной частоты. Количество рассчитываемых точек графика (Number of Points) определяет точность построения графика. Целесообразно задать эту величину равной 100 — 500.
351
Рис. П2. Окно задания параметров расчета частотных характеристик
При открытии окна задания параметров по умолчанию установлен логарифмический масштаб по горизонтальной оси и логарифмический закон изменения частоты. Для удобства сопоставления рассчитываемых характеристик с теоретическими задайте линейный масштаб по оси частот и линейный закон изменения частоты. Для этого нужно щелкнуть по крайней левой кнопке в таблице, содержащей описание графиков (при этом изображенная на ней сетка станет равномерной: LJ ), и в поле, где задается закон изменения частоты (Frequency Step), выбрать Linear.
Включите опцию автоматического масштабирования по осям координат графиков Auto Scale Ranges. После этого для выполнения моделирования нажмйте на кнопку Run или клавишу F2
На построенных графиках АЧХ и ФЧХ цепи проведите измерение рассчитанных ранее теоретически характеристик цепи: граничной частоты и величины фазового сдвига на граничной частоте. Измерения проводятся с помощью электронного маркера, включаемого нажатием на пиктограмму Cursor Mode IefI или клавишу F8 Результаты измерений сравните с теоретическими расчетами.
Электронный маркер можно устанавливать как вручную (при нажатой левой кнопке мыши), так и автоматически по заданному значению горизонтальной (кнопка GOTO X J) или вертикальной (кнопка GOTO Y rtl ) координаты графика. Например, для измерения граничной частоты по уровню 0,707 нужно включить маркер на графике АЧХ, затем нажать кнопку GOTO Y, в открывшемся окне
352
задать значение уровня и указать, какой маркер — левый (LEFT) или правый (RIGHT), нужно установить по заданному уровню.
Для возвращения в режим редактирования схемы нужно закрыть окно анализа частотных характеристик или нажать на клавишу F3.
1.4.	Анализ переходных процессов
Выполнив команду Analysis>Transient, перейдите к анализу переходных процессов. В окне задания параметров моделирования (рис. ПЗ) укажите длительность интервала времени и имена переменных, графики которых нужно построить. Так же, как и при расчете частотных характеристик, целесообразно включить опцию автоматического масштабирования по осям координат графиков Auto Scale Ranges. В поле Maximum Time Step, где задается наибольшая величина шага дискретизации процессов при моделировании, по умолчанию устанавливается 0. Это означает, что величина шага выбирается программой автоматически. Целесообразно начинать моделирование именно в этом режиме. Если при этом получаются недостаточно гладкие графики переходных процессов, то величину шага следует задать вручную, помня, что чем меньше шаг, тем больше время моделирования.
Рис. ПЗ Окно задания параметров расчета переходных процессов
После задания всех параметров для выполнения моделирования нажмите на кнопку Run или клавишу F2.
Смоделируйте реакцию цепи на последовательность прямоугольных импульсов, имеющих начальный уровень 0, амплитуду 1 В, длительность ги =107", где Т — постоянная времени цепи,
353
и период повторения Тп = 2ти. Постройте графики напряжений на входе и выходе цепи сначала на раздельных рисунках, а затем на общем. Используя электронные маркеры, проведите измерение длительности переходного процесса по уровню 0,9 от максимального значения и сравните с теоретическим значением, равным 2,3-Г
1.5.	Вариация параметров
Проведите расчет частотных характеристик при вариации одного из параметров элементов цепи. Для этого в режиме анализа частотных характеристик в окне задания параметров моделирования задайте режим пошагового изменения параметров (кнопка Stepping) и укажите имя варьируемого параметра и пределы его изменения (см. пример на рис. П4). После этого в поле Step It (“Изменять с шагом”) нужно выбрать положительный ответ Yes и нажать ОК. Для активизации режима моделирования с вариацией параметров необходимо из окна расчета частотных характеристик
(AC Analysis) запустить программу, нажав на кнопку Run или на клавишу F2.
Рис. П4. Вариация емкости С1
Проведите моделирование, изменяя величину емкости конденсатора или сопротивления резистора в 2 раза в большую и в меньшую стороны от первоначального значения. По результатам моделирования оцените степень изменения граничной частоты цепи.
Проведите аналогичное моделирование в режиме анализа переходных процессов и оцените влияние параметров цепи на время установления напряжения на выходе.
354
2. Моделирование дифференцирующей RC-цепи
Схема дифференцирующей цепи (фильтра верхних частот) с источником импульсного сигнала показана на рис. П5.
Рис. П5. Схема дифференцирующей цепи
Аналогично п.1 рассчитайте посюянную времени дифференцирующей RC-цепи и определите граничную частоту. Изобразите ожидаемую форму АЧХ цепи.
В режиме анализа по переменному току (Analysis>AC) рассчитайте АЧХ и ФЧХ цепи. Используя электронные маркеры, измерьте величину граничной частоты и фазового сдвига на граничной частоте. Сравните измеренные значения с теоретическими.
В режиме моделирования переходных процессов (Analysis> Transient) получите графики напряжений на входе и на выходе цепи. Варьируя параметры элементов цепи, определите их влияние характер выходных импульсов.
3. Моделирование параллельного колебательного контура (полосового фильтра)
Схема параллельного колебательного контура с источником импульсного сигнала показана на рис. П6. Здесь резистор R1 имитирует резонансное сопротивление контура.
Рис. П6. Схема параллельного колебательного контура
355
На этой схеме в качестве источника импульсов используется уже не источник ЭДС, как в предыдущих случаях, а источник тока (имеющий бесконечно большое внутреннее сопротивление). Этот источник выбирается в подразделе Waveform Sources раздела Analog Primitives меню Component. Параметры источника тока, в отличие от рассмотренного в п. 1 источника напряжения, задаются не в текстовом окне, а записываются в строке атрибута VALUE в окне, которое открывается при размещении изображения источника на схеме, либо при двойном щелчке по нему. Например, запись АС 1 PULSE (О 1А 0 0 0 1m 2m) означает следующее. После ключевого слова АС указывается значение амплитуды тока синусоидального источника, используемого при расчете частотных характеристик (в данном примере 1 А). Параметры импульсного сигнала, заключенные в круглые скобки, перечисляются в следующем порядке: начальное значение сигнала, максимальное значение сигнала, начало переднего фронта, длительность переднего фронта, длительность заднего фронта, длительность плоской вершины импульса, период повторения (обратите внимание, что последовательность перечисления временных параметров сигнала не такая, как для источника импульсного напряжения). Таким образом, в данном примере описана периодическая последовательность прямоугольных импульсов тока амплитудой 1А, имеющих длительность 1 мс и период повторения 2 мс.
По заданным значениям элементов схемы колебательного контура рассчитайте его параметры:
—	резонансную частоту f0 = —, 2л<£С
гг
—	характеристическое сопротивление р = J— ;
fl
—	добротность Q = —;
Р
—	полосу пропускания по уровню 1/72 = 0,707 П = .
В режиме анализа по переменному току (Analysis>AC) рассчитайте АЧХ и ФЧХ контура, проведите измерение резонансной частоты и полосы пропускания. Результаты измерения сравните с теоретически рассчитанными значениями.
В режиме моделирования переходных процессов (Analy-sis>Transient) получите график напряжения на контуре. По графику измерьте период собственных колебаний в контуре и сравните его с теоретическим значением 7"0 = 1//0. Варьируя сопротивление
356
резистора, определите его влияние на характер переходных процессов в колебательном контуре. Дайте физическое объяснение полученных результатов.
УПРАЖНЕНИЕ 2
Измерение статических характеристик транзистора
Измерение статических характеристик производится для маломощного биполярного транзистора, включенного по схеме с общим эмиттером, в режиме анализа по постоянному току (Analysis>DC). Сначала измерения проводятся при стандартной температуре +27СС, а затем в интервале температур. Схема включения транзистора показана на рис. П7.
Рис П7 Схема включения транзистора
Транзистор размещается на схеме по команде Сотро-nent>Analog Primitives>Active Devices>NPN (или PNP — в зависимости от типа транзистора). Для того чтобы имя модели транзистора было видно на схеме, нужно двойным щелчком по символу транзистора открыть окно его атрибутов и включить флажок Display справа от строки Value, содержащей значение атрибута MODEL (т е имя модели транзистора).
Для обеспечения рабочего режима транзистора по постоянному току к его коллектору подключается источник постоянного напряжения (батарея) V1, а к базе — источник постоянного тока IB. Источники напряжения (Battery) и тока (ISource) находятся в подразделе Waveform Sources раздела Analog Primitives меню Component.
357
4.	Измерение входной характеристики
Выполнив команду Analysis>DC, перейдите к построению статических характеристик транзистора. Для построения графика входной характеристики (зависимости /Б(С/БЭ) тока базы от напряжения между базой и эмиттером) необходимо варьировать величину тока базы, задаваемого источником И. Для этого в верхней части окна DC Analysis Limits (рис. П8), в котором задаются параметры моделирования, укажите:
—	в строке Variable 1 — имя (Name) варьируемого источника и пределы изменения (Range) тока (в соответствии с принятым в системе МС7 правилом сначала указывается максимальное значение, потом минимальное, а затем величина шага);
—	в строке Variable 2 — None, что означает неизменность параметра второго источника.
Учтите, что заданное таким образом максимальное значение тока источника не обязательно должно совпадать со значением, указанным в атрибутах источника при составлении схемы. В нижней части окна указываются имена переменных, откладываемых по горизонтальной (X Expression) и вертикальной (У Expression) осям графика. Для графика входной характеристики это соответственно напряжение между базой и эмиттером Vbe и ток базы lb транзистора VT1. Для автоматического масштабирования графика по осям координат включите опцию Auto Scale Ranges.
Рис. П8. Задание на расчет входной характеристики
358
Нажатием на кнопку Run выполните моделирование и проанализируйте полученную характеристику.
При малых значениях напряжения 17БЭ ток базы близок к нулю (транзистор закрыт), поэтому для удобства дальнейшего анализа целесообразно перестроить входную характеристику так, чтобы исключить ее начальный участок. Для этого нажатием на кнопку Cursor Mode 1^1 или клавишу F8 включите электронный маркер и по графику определите значение напряжения 17БЭ, при котором начинается заметный рост тока базы. После этого, нажав на кнопку Limits («Пределы») НО , опять перейдите в окно задания параметров моделирования и в поле X Range (Диапазон по оси X) измените левую границу графика в соответствии с измеренным значением, округленным с точностью до 0,1 В. Затем отключите режим автоматического масштабирования и снова постройте график характеристики. При необходимости аналогичным образом измените и правую границу графика.
Для оценки влияния температуры на параметры транзистора проведите расчет входной характеристики в интервале температур от -60° до +20°С. Для этого в окне задания параметров в группе полей, объединенных заголовком Temperature, установите линейный (Linear) метод изменения температуры и задайте ее максимальное значение, минимальное значение и шаг изменения. Затем, нажав на кнопку Run, получите семейство графиков. При этом в заголовке графиков будет указан интервал температур, для которого проводилось моделирование.
По результатам моделирования сделайте вывод о характере влияния температуры на ток базы транзистора.
5.	Измерение проходной характеристики и определение крутизны транзистора
По методике, аналогичной описанной в п.1, выполните расчет и построение проходной характеристики транзистора — зависимости /к(б/БЭ) тока коллектора от напряжения между базой и эмиттером.
Затем задайтесь величиной тока коллектора в рабочей точке (в интервале /к = 1 - 5 мА) и в режиме измерений определите управляющее напряжение 17БЭ, при котором обеспечивается этот ток. В выбранной рабочей точке определите значение крутизны
s , dlK _ Д/к
Дб/БЭ
359
Для этого на графике проходной характеристики поместите два электронных маркера симметрично относительно рабочей точки как можно ближе к ней — один слева, а другой справа (рис. П9). При этом в нижней части графика будут указаны следующие значения:
координаты маркеров (под заголовком Left — для левого маркера и Right — для правого);
разности координат (под заголовком Delta), т. е. приращения Д/к и Д иБЭ;
отношения разностей координат (под заголовком Slope — Наклон).
Рис. П9. Измерение крутизны транзистора
Таким образом, на графике проходной характеристики в графе Slope будет указано приближенное значение крутизны транзистора. Выразите измеренное значение крутизны в миллисименсах (мСм).
Выполните расчет и построение графика зависимости крутизны от управляющего напряжения. Для этого в окне задания параметров в качестве величины, откладываемой по вертикальной оси графика, (Y Expression) укажите отношение приращения тока /к к приращению напряжения иБЭ: del(lc(VT1 ))/del(Vbe(VT1)). На полученном графике определите крутизну в рабочей точке и сравните ее с измеренным ранее значением.
6.	Измерение зависимости /К(/Б) и определение статического коэффициента усиления тока
Проведите измерение зависимости /К(/Б) тока коллектора от тока базы. Пределы изменения тока базы, как и ранее, задайте от 0 до 100 мкА.
360
В режиме измерений для нескольких значений тока коллектора /к определите величину статического коэффициента усиления тока в схеме с общим эмиттером 8 = /К//Б.
С помощью МС постройте график зависимости В(/к) для значений тока коллектора до 30 мА. Для этого в поле Range графы Variable 1 укажите пределы изменения тока базы, исключив нулевое значение, например: 200u,1u,1u. В графе X Expression укажите имя варьируемой переменной lc(VT1), а в графе У Expression — отношение токов lc(VT1)/lb(VT1) Подберите величину верхнего предела изменения тока базы таким образом, чтобы максимальный ток коллектора составлял около 30 мА. На полученном графике определите величину коэффициента В для выбранных ранее значений тока коллектора и сравните ее со значениями, найденными по графику зависимости /К(/Б). Опишите характер зависимости статического коэффициента усиления тока транзистора от величины коллекторного тока.
7.	Измерение выходных характеристик
Выполните расчет и построение семейства графиков выходных характеристик транзистора — зависимостей тока коллектора /к(Окэ) от напряжения между коллектором и эмиттером при нескольких значениях тока базы.
Рис. П10. Задание на расчет статических выходных характеристик
Для этого нужно, во-первых, изменить имя и параметры варьируемого источника, указанные в графе Variable 1, и, во-вторых, дать
361
описание второго варьируемого источника (рис. П10), что позволит получить не один график, а семейство графиков.
В режиме измерений поместите два маркера на пологом участке характеристики и определите выходную проводимость транзистора
а . d/K А/к
С/1/кэ Д(УКЭ
для нескольких значений тока базы. Измерение двьп производится аналогично измерению крутизны. Для перехода с одного графика на другой используйте клавиши ? и Сделайте выводы о характере зависимости выходной проводимости транзистора от тока коллектора.
УПРАЖНЕНИЕ 3
Исследование частотных характеристик нелинейных цепей
1. Измерим частотную зависимость комплексного входного сопротивления пассивной цепи ZBX. Установим, на каких частотах обеспечивается согласование цепи с источником сигнала, имеющим внутреннее сопротивление RS.
На рис. П11 представлен пример схемы линейной цепи, изучаемой при выполнении упражнения № 1. Комплексное входное сопротивление этой цепи равно ZBX = V(ln)//(RS).
Rs
50
L1
_ Д____
— + ( ici 10 Mh RL
4 5Uf	50 I
Рис. П11. Схема линейной цепи
В программе МС7 для модуля, действительной и мнимой частей комплексных величин используются следующие обозначения:
MAG(z) — модуль z. При построении графиков можно просто указать z;
RE(z) — действительная часть z,
IM(z) — мнимая часть z.
362
При построении графиков в программе МС7 не поддерживается арифметика комплексных чисел, поэтому при выполнении операций с комплексными переменными приходится представлять их в форме z = а + ф = RE(z) + jlM(z) и оперировать с действительными и мнимыми частями. Например, для расчета модуля входного сопротивления цепи, представленной на рис. П11, следует в графе Y Expression задания на расчет частотных характеристик указать V(in)/l(Rs), а для расчета его действительной части — (Re(V(ln))A2+lm(V(ln))A2)/(Re(l(Rs))A2+lm(l(Rs))A2), см. рис. П12,а.
.10.
,199998
'2Чт(У(1п|Г2ИНе(1(В5]Г2*1т
16000 0,1200
vfcMRSJ
F
6)

Рис П12. Задание на расчет модуля и действительной части входного сопротивления фильтра (а) и его результаты (6)
363
Пример результатов такого расчета приведен на рис. П12,6. Обратим внимание, что на рис П11 и П12,а введены имена узлов In и Out, а также позиционные обозначения сопротивлений источника сигнала и нагрузки Rs, RI, чтобы не изменять расчетные формулы для схем другой конфигурации. На этом рисунке также принята определенная ориентация резистора Rs, чтобы ток через него был положительным в принятой системе координат.
На рис. П12,а в первых двух строках вместо формульных выражений можно поместить буквенные обозначения А и В, указав на схеме (П12,а) их текстовое определение:
.define A V(ln)/l(Rs)
.define В (Re(V(in))A2+lm(V(ln))A2)/(Re(l(Rs))A2+lm(l(Rs))A2)
2. Измерим зависимость от частоты комплексных Y-параметров биполярного транзистора Y,, и Y21. По результатам измерений оценим граничную частоту транзистора, при которой модуль параметра Y2i уменьшается в ^2 раз по сравнению с его значением на низких частотах.
Напомним, что линейный четырехполюсник описывается следующими уравнениями:
It - Уц1А + Y]2^2,
/2 = У21 L7, + Y22^2’
Здесь 17,, U2 — напряжения на входе и выходе четырехполюсника; А, /2 — токи, втекающие в четырехполюсник. Отсюда следуют выражения для измерения /-параметров:
Гц = 1,1 U, и /21 = l2/U,
при
l/2 = o, /12 = l,/U2
и
Y22 = I2/U2
при
U, = 0.
Измерение Y-параметров биполярного транзистора проводятся с помощью специальных схем, пример одной из которых приведен на рис. П13. Два источника напряжения обеспечивают заданный режим по постоянному току, короткое замыкание на выходе по переменному току и подачу на вход источника ЭДС для проведения измерений модулей, действительных и мнимых частей этих /-параметров.
364
Рис. П13. Схема измерений /-параметров Уц и У21
Так что для этой схемы, например Гц = l(Cg)/V(ln).
365
Список литературы
1.	Алексеев А. Система моделирования CircuitMaker И PC Week/RE, 2000, № 6. — С. 28.
2.	Карлащук В. И. Электронная лаборатория на IBM PC. Программа Electronics Workbench и ее применение. — М.: Солон-Р, 1999.
3.	Петраков О. PSpice-модели для программ моделирования И “Радио”, 2000, № 5. — С. 28—30.
4.	Разевиг В. Д. Моделирование аналоговых электронных устройств на персональных ЭВМ. — М.: Изд-во МЭИ, 1993.
5.	Разевиг В. Д. Система схемотехнического моделирования Micro-Cap 6. — М : Горячая линия-Телеком, 2001.
6.	Разевиг В. Д. Система сквозного проектирования электронных устройств DesignLab 8.0. — М.: Солон, 1999.
7.	Разевиг В. Д. Универсальная программа проектирования электронных устройств APLAC И PC Week/RE, 1997, № 26. — С. 45—46.
8.	Разевиг В. Д. Система проектирования OrCAD 9.2. — М.: Со-лон-Р, 2001.
9.	Разевиг В. Д., Лаврентьев Г. В., Златин И. Л. SystemView — средство системного проектирования радиоэлектронных устройств/ Под ред. В. Д. Разевига. — М.; Горячая линия-Телеком, 2002.
10.	Разевиг В. Д., Потапов Ю. В., Курушин А. А. Проектирование СВЧ устройств с помощью пакета программ Microwave Office I Под редакцией В. Д. Разевига. — М.: Солон-Р, 2003.
11.	Электротехника и электроника в экспериментах и упражнениях. Практикум по Electronics Workbench I Под ред. Д. И. Панфилова. В 2 т. — М.: Додэка, 1999 — 2000.
12.	Хайнеман Р. PSpice. Моделирование работы электронных схем. Пер. с нем. — М.: ДМК Пресс, 2001 (см. рецензию в PC Week/RE, № 44/2001, — С. 22).
13.	Antognetti Р., Massobrio G. Semiconductor Device Modeling with SPICE. — McGraw-Hill, Second Edition, 1993.
14.	Connelly, Choi. Macromodeling with SPICE. — Prentice Hall, 1992.
15.	Foty D. MOSFET Modeling with SPICE. Principles and Practice. — Prentice Hall, 1997.
366
16.	Rashid M. H. SPICE for Power Electronics and Electric Power. — Prentice Hall, 1993.
17.	Micro-Cap 7. Electronic Circuit Analysis Program User’s Guide — Sunnyvale: Spectrum Software, 2001 (электронная копия находится на Web-странице http://www.spectrum-soft.com/manual.shtm, 2,2 Мб).
18.	Micro-Cap 7. Electronic Circuit Analysis Program Reference Manual. — Sunnyvale: Spectrum Software, 2001 (электронная копия находится на Web-странице http://www.spectrum-soft.com/manual. shtm, 3,5 Мб).
19.	Исаков А. Б., Скобельцын К. Б., Скобельцын Г. К. Система автоматизированного формирования базы данных параметров моделей радиоэлектронных компонентов / EDA Express, № 4, 2001. — С. 16.