Text
                    Перевод с английского
А. В. ЛУКАЧЕРА
под редакцией
Д-ра техн, наук
Г, Н. СОЛОВЬЕВА
Scan Pirat
Москва «Мир» 1986

Оглавление Предисловие редактора перевода .........................5 Введение........................ .... .............6 Глава 1. Введение в цифровую электронику . .............8 Системы счисления.................................. 8 Коды...............................................18 Глава 2. Основные числовые операции....................23 Функции И и И-НЕ...................................23 Функции инвертирования.............................34 Комбинирование логических функций..................37 Сложные логические функции ..........42 Функция ИСКЛЮЧАЮЩЕЕ ИЛИ................... 46 Генераторы синхроимпульсов и мультивибраторы ... 54 Триггеры и регистры сдвига.........................58 Глава 3. Логические функции............................68 Биполярные переключающие транзисторы...............68 Устройства отображения информации..................75 Л отческие семейства интегральных схем.............81 Интегральные схемы ТТЛ.............................85 Глава 4. Процессы изготовления.........................92 Печатные платы.....................................92 Макетирование и экспериментирование...............100 Экспериментальный стенд...........................109 Глава 5. Упражнения с вентилями........................ИЗ Упражнения с логическими вентилями................115 Тесты . ..........................................124 Глава 6. Триггер . ...................................128 JK-триггер........................................130 Упражнения с триггерами...........................132 Тесты.............................................141 Глава 7. Цифровые счетчики и индикаторы...............144 Упражнения со счетчиком...........................144 Тесты.............................................152 Глава 8. Сдвиговые регистры...........................155 Упражнения со сдвиговым регистром...............155 Тесты .......................................... 161 230
Глава 9. Вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ....................163 Упражнения с вентилем ИСКЛЮЧАЮЩЕЕ ИЛИ . . . 163 Тесты............................................168 Глава 10. Цифровая система..................., . . 171 Проект...........................................172 Глава 11. Проекты трех цифровых устройств...........178 Цифровая игральная кость ........................178 «Взбеоись на гору» ............................. 186 Музыкальный генератор на 14 нот..................199 Ответы..............................................211 Приложение 1. Описание серии ТТЛ 5400/7400 ........ 215 Таймер 555 ..................................... 215 ИС 7400 — четыре 2-входовых вентиля И-НЕ.........218 ИС 7402 — четыре 2-входовых вентиля ИЛИ-HE . . . 218 ИС 7404 — шесть инверторов.......................219 ИС 7432—-четыре 2-входовых вентиля ИЛИ..........220 ИС 7447 — дешифратор — драйвер 7-сегментного индика- тора ............................................220 ИС 7476 — два JK-триггера с установочными входами 221 ИС 7486 — четыре 2-входовых вентиля ИСКЛЮЧАЮ- ЩЕЕ ИЛИ..........................................222 ИС 7490 — десятичный счетчик.....................223 Синхронный реверсивный двончно-десятичный счетчик типа 74192 с установочными входами...............224 Приложение 2....................................... 226 Библиография........................................227 Предметный указатель................................228
ББК 32.973 Х75 УДК 621.38 Хокинс Г. X 75 Цифровая электроника для начинающих: Пер, с англ. —М.: Мир, 1986. — 232 с., ил. В книге американского автора излагаются основные сведения о логических операциях и способах их реализации на интегральных элементах, пользуясь которыми радиолюбители могут создавать различные цифровые электронные схемы, предназначенные для уп- равления роботами, моделями кораблей и самолетов, а также цве- томузыкальными устройствами. Приведены практические рекомен- дации по изготовлению печатных плат и вспомогательных деталей на основе новых технологических приемов, достаточно просто осваи- ваемых радиолюбителями. Для радиолюбителей и читателей, увлекающихся самодеятель- ным техническим творчеством. 2401000000-120 , ББК 32.973 ~ 04'1(01 )-86 163'86, 41 1 6Ф7.3 Редакция литературы па информатике и электронике © 1983 by Harry М. Hawkins © перевод на русский язык, «Мир», 1986
Предисловие редактора перевода За последние 30 лет произошло активное обновление техни- ческих средств цифровой электроники. Появилась интегральная схемотехника, созданы средние и большие интегральные схемы. В последнее время трудно найти какую-либо отрасль науки и техники, развитие которой не было бы связано с вопросами эф- фективного применения современных средств интегральной схемо- техники. В связи с этим все большее число специалистов, не ра- ботающих непосредственно в области цифровой электроники, в своей научной деятельности связаны с эксплуатацией, проектиро- ванием, разработкой и производством различных логических циф- ровых устройств на интегральных схемах. Такое положение на- стоятельно требует появления литературы, позволяющей само- стоятельно изучить основы цифровой электроники. Предлагаемый перевод книги Г. Хокинса является по суще- ству одной из первых и удачных попыток в этом направлении. В небольшом по объему издании автор сумел лаконично и весь- ма доступно изложить логические основы, сущность интегральной схемотехники и технологии печатного монтажа, а также функ- ционального содержания широко используемых схем от венти- ля до счетчиков, регистров и сумматоров. Положительной осо- бенностью книги является то, что значительная ее часть отра- жает практический материал. Во всех главах приведены упраж- нения и эксперименты с реальными интегральными схемами; об- суждаются полученные результаты. Заключительная глава содер- жит вопросы реализации трех проектов. Все эксперименты и проекты, а также методика их проведения ориентированы на использование доступных интегральных схем. Книга несомненно будет полезна специалистам, связанным с разработкой и применением различных схем цифровой электро- ники во всех областях современной науки и техники, а также учащимся старших классов средней школы. Г, Н. Соловьев
введение Для последних лет характерен бурный рост числа применений цифровой электронной техники во всех областях нашей жизни. Возможно, в скором времени промышленные роботы смогут управлять целыми за- водами. Контроль операций обработки, поиска и хра- нения информации часто уже сейчас более эффективно осуществляется цифровыми устройствами, а не челове- ком. Цифровое управление постепенно входит в состав оборудования автомобилей и других видов транспорта. С появлением электронных калькуляторов логариф- мическая линейка превратилась в музейную редкость. Мини-компьютеры разных типов стали применяться В обучении и в быту. Сегодня в тысячах квартир можно увидеть цифровые настольные и телевизионные игры, а многие бытовые приборы выпускаются програм- мируемыми (некоторые даже с памятью). Почти каж- дая традиционная область электроники стала объек- том для поиска возможности применения в ней циф- ровой техники. В недалеком будущем, возможно, цифровые схемы получат такое же распространение, как электронные лампы тридцать лет назад. Для того чтобы использо- вать их с максимальной эффективностью, необходимо иметь представление о том, как они работают. По всей видимости, обучение цифровой технике будет для бу- дущего поколения так же важно, как обучение ариф- метике для нынешнего, с той, однако, существенной разницей, что появляющуюся новую технику человеку придется изучать в течение всей трудовой деятель- ности. Эта книга, представляющая введение в цифровую электронику, была задумана как начальный курс для неспециалистов, имеющих желание научиться основам цифровой техники. Для понимания материала книги не требуется знания цифровой или иной электроники, б
Практические навыки работы с аппаратурой, кото- рые читатель получит после выполнения упражнений в гл. 5—10, пригодятся как любителю, так и специали- сту в области техники. В этих упражнениях- сначала приводятся примеры конструирования реально функ- ционирующих моделей цифровых устройств, описан- ных в книге, после чего показывается, как тестировать эти модели, определять их характеристики и доводить до работоспособного состояния. Все упражнения были разработаны с той целью, чтобы обеспечить практи- ческую демонстрацию изучаемых принципов. Послед- ний пример в гл. 10 иллюстрирует ряд принципов цифровой техники, применяемых при разработке больших систем. При проверке и доработке упражнений были учтены замечания, высказанные студентами в ходе обсуждений. Однако перед тем, как приступить к вы- полнению упражнений, следует хорошо усвоить ввод- ный материал предшествующих глав, содержащих краткое рассмотрение теоретических вопросов. Мате- матический аппарат в книге был предельно упрощен и использовался только в тех случаях, когда необхо- димо было предоставить обоснование для выбора структуры электрической схемы. Используемые в упражнениях интегральные схемы ТТЛ, резисторы и другие компоненты легко доступны, недороги и мО1ут быть приобретены по почте либо куплены почти во всех магазинах электронных това- ров. Ниже перечислены студенты <моего> класса цифровой электроники, помощь которых в проверке и переработке упражнений трудно переоценить. Без таких преданных делу студентов работа над этой книгой вряд ли могла быть завершена. Фил Бикелхопт Уэйн Дюпре Майкл Миллер Барри О’Мелли Марк Пальмезано Джон Робинсон Роберт Сэлеми Джо Стоун Мэт Тейер Крис Вуд Эд Зак Джо Зубер Автор посвящает книгу своей жене Джерри и своим детям Баллу, Эду, Дону и Тому.
Глава 1 ВВЕДЕНИЕ В ЦИФРОВУЮ ЭЛЕКТРОНИКУ Системы счисления Наиболее привычной нам системой счисления является десятичная, т. е. имеющая основание 10. Это лишь одна из многих систем, которая получила свое распространение, вероятно, по той причине, что у человека на руках 10 пальцев. Системы счис- ления с основаниями, отличными от 10, на протяже- нии многих лет используются учеными, но сфера их применения ограничена специальными разделами ма- тематики. Последние достижения техники заставили обра- титься к другим системам счисления. Электронные вычислительные машины, к примеру, потребовали разработки систем, удобных для описания происхо- дящих в них электронных процессов. Оказалось, что для этой цели лучше всего подходят двоичная, восьмеричная и шестнадцатеричная системы с осно- ваниями соответственно 2, 8, 16. Двоичная система играет роль основного языка вычислительной ма- шины. Две другие применяются для общения чело- века с вычислительной машиной и для хранения в ней информации. Поскольку вычислительная машина может ра- ботать только с двоичными числами или с числами, выраженными в других системах типа восьмеричной или шестнадцатеричной, десятичные числа перед их поступлением в вычислительную машину должны быть преобразованы к представлению в одной из этих систем. После того как вычислительная ма- шина закончит операции над введенной в нее инфор- мацией, результат может быть напечатан или выве- ден на экран дисплея в системе счисления, отличной от десятичной. Чтобы представить этот результат в более привычной нам форме, его необходимо пре- образовать обратно к десятичному виду, 8
В этом разделе кратко описаны десятичная, двоичная, восьмеричная и шестнадцатеричная систе- мы, показано, как преобразовывать числа из одной си- стемы счисления в другую, приведены примеры использования систем счисления в цифровых устрой- ствах. Десятичная система В десятичной системе имеется 10 цифр (0—9)', представляющих 10 различных состояний, поэтому говорят, что ее основание равно 10. Здесь числа больше 9 выражаются с использованием степеней числа 10. Например, само число 10 можно предста- вить как 10 X 1, число 100 — как 10 X 10 и т. д. Числа, выражающие степени числа 10, записываются обычно с показателем степени. Так, число 10, запи- сывается как 101, 100 записывается как 102, 1000 — как 103. Показатель степени показывает, сколько раз число 10 умножается само на себя. Любое деся- тичное число можно записать в виде суммы произве- дений десятичных цифр на степени числа 10. Напри- мер, число 6973 можно представить в виде следую- щей суммы: 6000(6 X 103) + 900(9 X 102)Д- 70(7 X X Ю1)-]-3 (3 X 10°). Нулевая степень любогочисла равна 1. Запись чисел с помощью степеней числа 10 часто используют для компактного представления очень больших и очень малых чисел. Например, число 125.000.000.000 можно записать в виде 1,25 X Ю11. Обратите внимание на величину показателя сте- пени. Для любого записанного этим способом числа, большего 1, степень числа 10 показывает, на сколь- ко разрядов вправо необходимо сместить десятичную запятую для получения записи числа в привычном нам виде. При записи чисел, меньших единицы, по- казатели степени будут отрицательными. Так, число 0,000 003 56 можно представить с использованием степени 10 в виде 3,56 X 10~6 или 35,6 X 10~7. Для чисел, меньших 1, степень числа 10 показывает, на какое число разрядов влево необходимо сместить десятичную запятую, чтобы получить традицион- ную запись числа. В табл. 1.1 приведено несколько 9
Таблица 1.1. Десятичные числа, представленные с помощью степеней числа 10 1=1 х 10° 10 = 1 х ю1 100 =1 х ю2 1000 = 1 х ю3 10 000 =1 х 10* 100 000 =1 х Ю5 1 000 000 = 1 х 10е 0,1 =1 х Ю’1 0,01 = 1 х 10~г 0,001 - 1 х Ю"3 0,0001 = 1 х Ю-* 0,00001 =1 х ю~$ 0,000001 = 1 X 10-е 654 = 6,54 х Ю2 8,50 = 850 х ю~г 3,141659 = 3,141,659 х Ю~в примеров записи чисел с помощью степеней чис- ла 10. В повседневной жизни, где все вычисления про- изводятся в десятичной системе, указывать явно основание системы счисления нет необходимости. По-иному обстоит дело в цифровой электронике, где могут потребоваться и другие системы счисления. Чтобы отличить одну из них от другой, в записи числа договорились указывать основание системы счисления. Например, 55ю обозначает число 55 в де- сятичной системе счисления, а 112 — число 3 в двоичной. Двоичная система Основанием двоичной системы является число 2. Это значит, что в двоичной системе используются толь- ко две цифры, 0 и 1, которых достаточно для пред- ставления любого числа. В качестве примера рассмотрим двоичное число 110010г. Единицы и нули в двоичном числе называют разрядами или битами, а положение каждого бита в числе определяет величину показателя степени основания 2. Старший значащий разряд (СЗР) нахо- дится в числе слева, а младший значащий разряд 10
----Двоичная цифра — Десятичный эквивалент двоичного разряда г- Степени числа 2 2?о 2э 2» 27 26 2s 2з 2Z 21 2° 1024 512 256 128 64 32 16 8 4 2 1 0 0 0 0 0 1 1 0 0 1 0 32 ----------------------- 16 -------------------------- о «е----------------------------- 0-^----------------------------------- 2 -<-------------------------------------- 4- 0 -g------------------------------------------ so Десятичный эквивалент двоичного числа 1100|0г Рис. 1 1. Преобразование двоичных чисел в десятичные. 21 245 Остаток 21 122 1 2I 61 О 21 30 1 21 15_____ о Запасе в воротном 217 1 порядке 2[_3______ 1 2II______ 1 О ”* 1 2451О = 1111010/2 Рис. 12 Способ преобразования числа 245ю к его двоичному эквиваленту. (МЗР) — справа. На рис. 1.1 показано преобразова- ние двоичного числа НООЮг в десятичное 50ю. На- помним, что при переводе числа из одной позицион- ной системы счисления в другую вклад каждой цифры исходного числа в образование суммы экви- валента определяется не только значением этой цифры, но и ее положением в числе. Так, если в рассматриваемом числе отбросить крайний правый 11
Куль, Получится чйсло 110012. Проведем преобразо- вание, Как показано на рис. 1.1. Десятичный эквива- лент 25 составит ровно половину полученного нами в первый раз числа. Рис, 1.2 иллюстрирует преобразование десятич- ного числа в двоичное. Его суть состоит в том, что десятичное число последовательно делят на 2 до тех пор, пока частное не станет меньше делителя. Получающаяся при этом последовательность остат- ков из нулей и единиц, прочитанная при движении снизу вверх, представляет собой двоичный эквива- лент десятичного числа. Двоично-десятичное пре- образование заключается в непосредственном пред- ставлении каждой единицы двоичного числа ее десятичным эквивалентом. Для получения резуль- тата полученные десятичные эквиваленты нужно просуммировать. Это преобразование поясняет рис. 1.1. Целесообразность применения двоичной системы в цифровой электронике объясняется тем, что два возможных состояния электрической схемы (вклю- чено и выключено) можно представить с помощью всего двух цифр 1 и 0. На первый взгляд может по- казаться неудобным использовать систему счисле- ния, в которой двузначное, к примеру, десятичное число записывается шестью двоичными разрядами. На самом деле преимущества двоичной системы с избытком компенсируют ее недостатки. В тех же слу- чаях, когда существуют ограничения на объем па- мяти для хранения информации, можно использовать две другие системы счисления — восьмеричную и шестнадцатеричную. Восьмеричная система Как видно из названия, восьмеричная система счисления имеет основание 8 — для записи чисел в ней используется восемь цифр 0—7. Между восьме- ричными и двоичными числами существует полезное соотношение. Максимальное трехразрядное двоичное число равно 7. Иными словами, десятичным эквива- лентом двоичного числа 1112 является 7ю- Таким образом, преобразование двоичного числа в восьме- 12
ричное выполняется очень просто: сначала нужно сгруппировать разряды двоичного числа в группы по три, начиная справа, а затем каждую группу за- менить восьмеричной цифрой (рис. 1.3). Простейший Двоичное J01 Восьмеричное 5 101г= 5а .Восьмеричное Двоичное 2?б8=: fifarbffd 0101111Ю2 Двоичное 110100 Восьмеричное "К'ц 110100г=б48 Восьмеричное Двоичное 5433а ~ 5 4 3 3 10110061I61T Ю1100011011а Двоичное Щ Q£9 Цо oof Воашеричное 7 0 6 1 П10001 Ю0012 = 7061а Восьмеричное Двоичное 20018 =* ЛАД < оюооооообоТ D1000000000f2 Рис. 1.3. Преобразование двоичных чисел в восьмеричные и об- ратно. способ преобразования из восьмеричной системы в десятичную и наоборот состоит в том, что сначала число преобразуется в двоичный эквивалент. Пре- образование числа 143210 к восьмеричному виду по- казано на рис. 1.4 г । 716 Й1 358 21 179 Определение двоичного эквивалента числа 1432ю с по- мощью метода последовательного деления 21 1432 Остаток О О о 1 1 Записывая остатки снизу вверх, полу- 0 чаем 1432ю= 101100110002 1 1 0 1 двоичное число на группы по три разряда, на- чиная справа. Преобразуем каждую тройку в цифру 0—7. В результате получим восьмеричный эквивалент числа 143210- jgnooiiooo 2'6 3 V 1432,0 = 2630а 215_ 2 |Д_ о Разбиваем Рис. 1.4. Преобразование числа 143210 к восьмеричному виду. 13
В связи с тем что в восьмеричных числах нет дру- гих, не встречающихся в десятичных числах цифр, с ними удобно производить вычисления, поэтому они находят широкое применение в программировании. Восьмеричное число содержит меньше символов, чем его двоичный эквивалент, а преобразование восьме- ричных чисел в двоичные и наоборот выполняется достаточно просто. Шестнадцатеричная система Назначением шестнадцатеричной системы является се применение в программировании. «Байты» или «слова» информации, с которыми оперирует вычисли- тельная машина, обычно состоят из 3, 16, 32 или более разрядов. Программы для вычислительной машины должны быть как можно короче и понятнее. Предпо- ложим, программист хочет задать машине команду длиной 8 разрядов. Если он воспользуется двоичной системой, ему нужно будет указать значение каждого из 8 разрядов команды. Если он отдасхлредпочтсние восьмеричной системе, ему понадобится определить 3 разряда. Если же он воспользуется шестнадцатерич- ной системой, ему достаточно будет задать всего 2 символа. По всей видимости, программист остановит свой выбор на шестнадцатеричной системе, в которой числа записываются короче. Основанием шестнадцатеричной системы служит число 16. Она включает в свой состав 16 символов О — 9 и А — F. Использование букв для обозначения цифр связано с тем, что если роль шестнадцатеричных цифр будут играть двузначные числа 10—15, то шест- надцатеричная система не будет иметь преимуществ перед восьмеричной. Из анализа рис. 1.5, показываю- щего преобразование шестнадцатеричного числа С2716 к двоичному, восьмеричному и десятичному виду, становится очевидным удобство шестнадцатеричной системы для программирования. На рис. 1.6 приведены восьмеричные, двоичные и шестнадцатеричные экви- валенты чисел Но—1510. Хорошее знание двоичной, восьмеричной и шест- надцатеричной систем счисления является обязатель- ным для каждого, кто решил серьезно заняться изуче- 14
Каждый символ шестнадцатеричного числа может быть представлен с помощью четырех двоичных разрядов. Для преобразования числа С2716 запишем четырехразрядный эквивалент каждой цифры: (напомним, что С представляет собой двенадцатую цифру в шестнадцатеричной системе): С 2 7 1100 0010 0111 В результате получим двоичный эквивалент числа C27i6: С271в = 1100001001112 Разобьем число на группы по три и преобразуем его к восьмеричному виду: ПО 000 100 111 6 0 4 7 Получим, что С271в = 6047s. Преобразуем число 1100001 ОСИП к десятичному виду,как показано иа рис. 1.1: 1100001001112 = 311110 В результате получим, что C27i в = 1100001001112 = 60478 = 31111 о Рис. 1.5. Преобразование числа C27i6 к восьмеричному виду. Десятичное Двоичное Восьмеричное Шестнадцатеричное 0 0000 0 0 1 0001 1 1 2 0010 2 2 3 0011 3 3 4 0100 4 А 5 0101 5 5 6 0110 6 6 7 0111 7 7 8 1000 10 8 9 1001 11 .9 10 1010 12 А 11 1011 13 В 12 1100 14 С 13 1101 15 D 14 1110 16 Е 15 1111 17 F эис. 1.6. Числа в четырех наиболее распространенных системах счисления. 15
нием цифровой техники. Выше было приведено лишь краткое введение в системы счисления — на самом деле их изучение не исчерпывается рассмотрением способов преобразования. Тем не менее представлен- ного в этой книге материала достаточно для усвоения основ цифровой электроники. Более глубокое понима- ние предмета предполагает знание арифметических операций, связанных с упомянутыми выше системами счисления. В конце книги приведен список литера- туры, содержащий несколько прекрасных учебников для желающих более детально разобраться в двоич- ной, восьмеричной и шестнадцатеричной системах счисления. Коды Систематические способы представления инфор- мации получили название кодов. Простейшими из кодов, представляющих собой, по всей видимости, самую старую форму общения, пользуются даже животные. В человеческом обществе коды появились в результате поиска способов передачи информации между людьми, разделенными физическими и соци- альными барьерами, такими как расстояние и язык. Например, проблема приема и передачи сообщений между отдаленными пунктами, закодированных в двоичном виде, в гелиографе разрешалась благодаря использованию зеркал и ламп, а с появлением телег- рафа двоично-кодированные электрические сигналы стали передаваться по проводам. С развитием тех- ники возникла необходимость в разработке кодов для общения с машинами. Критерии для этих кодов оста- лись неизменными: коды должны быть систематиче- скими и понятными как машине, так и оператору. В этом разделе рассматриваются коды, используе- мые в устройствах, предназначенных для организации связи с вычислительной машиной. Дело в том, что работа оператора значительно упрощается, если он может обращаться к вычислительной машине на понятном ей языке. Чтобы сделать возможным такой способ связи, были разработаны специальные устрой- ства типа телетайпа. Эти устройства, называемые периферийными, предназначены для перевода языка 16
программиста в коды, с которыми может работать вычислительная машина. Наиболее распространенным из них является двоично-кодироваиный десятичный код (в дальнейшем будет использоваться применяемый в отечественной терминологии термин — двоично-деся- тичный код). Коды Бодо и ASCII представляют два других распространенных кода, которые обладают более широкими по сравнению с двоично-десятичным кодом возможностями. Являясь логическим развитием телеграфного кода, они хорошо подходят для работы в клавишных устройствах, таких как телетайп. Двоично-десятичный код Двоично-десятичный код представляет собой про- стой код, который предназначен для преобразования десятичных чисел (чаще всего это числа 0—9) в четырехразрядные двоичные слова. Например, двоич- Таблица 1.2. Двоично-десятичные эквиваленты десятичных чисел Десятичные числа Двоична - десятич- ные числа 0 0 0 0 0 1 0 0 0 1 2 0 0 10 3 0 0 11 4 0 10 0 6 0 10 1 6 0 110 7 0 111 8 10 0 0 9 10 0 1 10 10 10 11 10 11 12 110 0 13 110 1 14 1110 15 1111 но-десятичным эквивалентом числа 5ю является 0101. Число 9ю представляется как 1001, а число 7ю — как 0111. В табл, вания из десятичного в для десятичных чисел до 1.2 приведены преобразо- двоично-десятичный код числа 15ю, являющегося 17
максимальным из чисел, которые могут быть выра- жены четырьмя двоичными разрядами. Калькуляторы дают примеры практического при- менения двоично-десятичного кода. Если нажать любую числовую клавишу, то во внутренние цифро- вые схемы калькулятора будет послан двоично-де- сятичный код числа, обозначенного на клавише. На- пример, при нажатии клавиши числа 4 в калькуля- тор поступит код 0100. После завершения выбранной вычислительной операции ее результат должен быть преобразован в калькуляторе из двоично-деся- тичного представления в десятичное для того, чтобы его можно было отобразить на экране индикатора. Код Бодо Код Бодо был разработан для передачи сигналов при работе с телетайпами. Оказалось, что двоично- десятичный код не подходит для этой цели по той причине, что с его помощью можно представить толь- ко 16 символов, в то время как количество клавиш в этих устройствах, как правило, намного больше. Код был разработан на основе уже существующих телеграфных кодов и явился их естественным пре- емником. Типичная клавиатура телетайпа с кодом Бодо для каждой клавиши показана на рис. 1.7. Используя этот код, с помощью 5 разрядов можно представить 32 различные функции клавиатуры. При вводе информации, набираемой на клавиа- туре телетайпа, устройство автоматически кодирует каждую строку символов в последовательность 5-разрядных кодов. Приемное устройство преобра- зует поток данных в коде Бодо обратно к тому виду, в котором он был введен. 5-разрядные слова отделя- ются друг от друга специальными импульсами, ко- торые условно показаны на рис. 1.7 с помощью интервалов между изображением клавиатуры и раз- рядами кодов ниже и справа от нее. Таким образом, при передаче каждого слова после пробела следует 5 разрядов кода Бодо, представляющих функцию нажатой клавиши. Заканчивается слово «импульсом конца», который немного превышает «импульс на- чала» по длительности. Код Бодо называют также 18
Рис 1 7 Код Бою Пжь символов kov получаются стыкованием (соединением) двух разрядов справа и трех разрядов снизу Например, С = OHIO. Управляющие команды: S? — проосл, CR—возврат карегка, LF — переход к новой строке, LTRS — ре- iMJTp цифр; FIGS —ренитр цифр, Bl FS — разряды; BELL —звонок 5-уровневым кодом по той причине, что каждый символ передаваемой информации содержит 5 двои- чных разрядов. Код ASCII Название кода ASCII является акронином Аме- риканского стандартного кода для обмена инфор- мацией (American Standard Code for Information Interchange). Он появился позже кода Бодо и име- ет ряд важных преимуществ по сравнению с по- следним. С помощью кода Бодо могут быть пред- ставлены только 32 функции или символа, которые к тому же расположены в произвольном порядке. Эти два ограничения кода Бодо делают одни операции вычислительной машины трудновыполнимыми, а другие — вообще невозможными. Применение кода ASCII позволяет в значительной степени решить эти проблемы. Клавиатура ASCII и полный список кодов пока- заны на рис. 1.8. Аналогично коду Бодо код ASCII 19
Controls Characters NUL DLE 14 SP 0 p p 0 0 0 0 SOH к. DCl t 1 A Q a q 1 STX ’х DC 2 "> 2 в R b r 0 1 ЕТХ ‘х DC3 ”, ft 3 c s c s 1 EOT «г DC 4 ”. $ 4 D T d t 0 0 1 ENQ NAK % % 5 E и e u 1 АСК «х SYN L & 6 F V f V 0 1 BEL «. ETB , 7 G w e w 1 BS CAN 4 ( 8 H X h X 0 0 0 1 нт ► EM ) 9 I Y i У 1 NL = SUB s. « J Z j z 0 1 VT », ESC ec к l k 1 FF Ч FS 's » < L \ 1 0 0 1 CR < GS ”, — a M ! m } 1 SO «0 RS "s > N n — 0 1 SI ". US “S / •> О — о DEL/ 1 1 2 3 4 0 1 0 1 0 1 0 1 5 BITS 0 1 0 1 6 0 1 7 Рис. 1.8. 7-разрядные символы кода ASCII. Четыре левых бита расположены в таблице справа, а три правых — внизу. Например, D = 0010001 (с любезного согласия Teletype Corp.). Управляющие команды: NUL — пусто; SOH— начало заголовка; STX — начало текста; ЕТХ —конец текста; EOT — конец передачи; ENQ— запрос; АСК— подтверждение; BELL —звонок; BS —возврат на шаг; НТ—горизонтальная табуляция; NL — новая строка; VT — вертикальная табуляция; Fb —перевод формата; CR — возврат каретки; SO — выход; SI— вход; DLE— авторегисгр 1; DC1 — управление устройством 1; DC2— управление устройством 2; DC3 — управление устройством 3; DC4— управление устройством 4; КАК — отрица- ние; SYN —синхронизация; ЕТВ —конец блока; CAN — аннулирование; ЕМ — конец носителя; SUB — замена; ESC — авторегистр 2; FS — разделитель фай- лов; GS — разделитель групп; RS — разделитель записей; US — разделитель устройств; DEL — задержка; BITS — разряды. хорошо приспособлен для работы с телетайпами и в то же время обладает достаточно широкими воз- можностями для организации связи клавиатуры с вычислительной машиной. На рис. 1.8 показано, каким образом 4 разряда справа и 3 разряд? внизу кодируют каждый символ 20
или функцию клавиатуры. Поскольку в коде ASCII для представления каждого символа используется 7 разрядов, его также называют 7-уровневым кодом. Иногда к 7-разрядному коду добавляют восьмой разряд, носящий название контрольного. Его устана- вливают в единичное или нулевое состояние с тем расчетом, чтобы число «включенных» или единичных позиций в 8-разрядном слове было соответственно четным или нечетным. Контрольный разряд исполь- зуется для выявления ошибок в переданном сообще- нии. Если вычислительной машине, принимающей закодированное в коде ASCII сообщение, будет дано указание принимать слова только с четным числом единиц, слово с нечетным числом единиц в машину не попадет и оператор будет уведомлен о том, что в передаче данных произошла ошибка. Типичное закодированное в коде ASCII слово по- казано на рис. 1.9. Обратите внимание, что для обо- Рис. 1.9. Типичный способ передачи семиразрядного кода ASCII с помощью 11 тактов (один такт используется для бита контроля четности). значения начала передается импульс единичной дли- тельности, а для обозначения конца — двойной. Для передачи собственно кода ASCII, который в данном случае представляет символ клавиатуры «U», слу- жат 7 импульсов, расположенных между импульсами начала и конца. При использовании контроля на не- четность на месте восьмого импульса должен нахо- диться сигнал высокого уровня. 21
В современных вычислительных машинах код ASCII используется для связи с внешним миром, причем оператор может печатать сообщение в при- вычной ему форме, а схемы пульта переведут его для вычислительной машины в код ASCII. Сообщения, поступающие из вычислительной машины, переводятся обратно из кода ASCII на язык, понятный оператору. Существуют и другие коды, такие как код EBCDIC, использующийся главным образом в боль- ших вычислительных машинах, код Холлерита, при- меняемый для записи информации на перфокартах, но при рассмотрении элементарных цифровых опера- ций знание этих кодов не требуется,
Глава 2 ОСНОВНЫЕ ЧИСЛОВЫЕ ОПЕРАЦИИ В этой главе рассматриваются основные цифровые операции и электронные схемы, предназначенные для реализации этих операций. Цифровая электроника представляет собой «логическую» науку. Логика, если говорить в общем, является наукой о формальных принципах рассуждений. Цифровую логику поэтому можно рассматривать как науку рассуждений с чис- лами— она имеет дело с утверждениями типа «если—• то» в самом буквальном смысле слова. Если имеется в наличии определенный набор обстоятельств, то происходит определенное действие. Для данного на- бора обстоятельств результат всегда будет один и тот же. Такая предсказуемость цифровой логики значи- тельно упрощает цифровую электронику. Почти все цифровые функции могут быть реализованы с по- мощью специальных схем, называемых вентилями. Если сложность логической операции не позволяет обеспечить ее выполнение на одном вентиле, она все- гда может быть реализована с помощью комбинации вентилей. Такие логические схемы называются схе- мами комбинационной логики. В этой главе дается объяснение основным логиче- ским функциям: И, И-НЕ, ИЛИ, ИЛИ-HE и ИС- КЛЮЧАЮЩЕЕ ИЛИ. Наряду с ними рассматри- ваются функции инверсии, комбинационные и триг- герные схемы. После чтения этой главы следует при- ступить к выполнению упражнений в гл. 5, которые помогут закрепить пройденный материал. Функции И и И-НЕ Двумя основными логическими функциями явля- ются функции И и И-НЕ. Эти функции связаны между собой таким образом, что одна представляет 23
собой инверсию другой. Это означает, что они проти- воположны по своей функции. Электронные схемы, позволяющие реализовать цифровые функции и при- меняемые в различных устройствах управления, называются вентилями. Использование этого термина объясняется тем, чго эти схемы могут задерживать или пропускать цифровую информацию по принципу обычного вентиля, предназначенного для управления потоком жидкости. В целях большей ясности иллюстрации принципов работы схем во всех примерах этой книги исполь- зуется только положительная логика, в которой для обозначения состояния «истина» (включено) приме- няют высокий уровень сигнала, единицу (1) или поло- жительное напряжение. Состояние «ложь» (выклю- чено) представляется с помощью сигнала низкого уровня, нуля (0) или отсутствия положительного нап- ряжения. (В цифровой электронике применяется также и отрицательная логика, которая иногда трак- туется как противоположная положительной, по- скольку положительное состояние (+) представляется в ней низким уровнем сигнала, а отрицательное (—) — высоким. Более точно можно сказать, что в отри- цательной логике низкий уровень (0) означает истин- ное, или включенное, состояние, а высокий — ложное, или выключенное.) Вентиль И В цифровой логике вентиль И выполняет функ- цию, обеспечивающую высокий (+) уровень выход- ного сигнала только в том случае, когда на всех вхо- дах присутствуют сигналы высокого уровня (+). Символ, применяемый для обозначения вентиля И, представлен на рис. 2.1. Хотя изображенный на ри- сунке вентиль имеет два входа, А и В1, в общем слу- чае у вентиля может быть до восьми входов. Принцип действия вентиля И проиллюстрирован на рис. 2.2, где изображена простая схема, состоящая из лампы (С), двух ключей (А и В) и батареи. Ключи в данном случае играют роль входов, лампа — выхода. Работа схемы основана на том принципе, что 24
Рис. 2.1. Логическое обозначение двухвходового вентиля И Внутри контура изооражеиия символа обычно ааписывают выполняемою вентилем логическую функцию, в данном случае И. Рис. 2 2. Реализация функции логического И с помощью элек- трической схемы. состояние выхода однозначно определяется состоя- ниями ключей. Для каждого входа возможны лишь два состояния — включено или выключено. Первое принимается за 1 (высокий уровень), второе —за О (низкий уровень). В таблице истинности на рис. 2.3 показаны все возможные входные условия или логические состоя- ния схемы. Логику каждого состояния можно пояс- нить следующим образом. Состояние 1. Оба ключа А и В «разомкнуты» (в состоянии логического нуля). По разомкнутой цепи ток течь не может, поэтому лампа выключена (в ну- левом состоянии). Состояния 2—3. В состояниях 2 и 3 один ключ замкнут (1), а другой разомкнут (0). Цепь не 25
замкнута, и лампа в обоих случаях находится в вы- ключенном (нулевом) состоянии. Состояние 4. В данном случае оба ключа «замкну- ты» (в состоянии высокого уровня). Цепь замкнута и лампа С (выход) находится во включенном со- стоянии. Состоя ~ ние Входы Выход С А В 1 0 0 0 2 1 0 1 3 0 1 1 4 1 1 1 Рис. 2.3. Таблица истинно- сти вентиля И, на которой показаны все возможные входные н соответствующие им выходные состояния. Как можно заметить из вышеизложенного, выход вентиля И будет равен 1 только тогда, когда оба входа (или все входы, если их больше двух) имеют высокий уровень сигнала (+)• Эту связь между вы- ходом и входом обычно записывают следующим об- разом: А • В = С или АВ = С. Точка, расположенная между буквами А и В, слу- жит для обозначения функции И. Этот тип отношения представляет собой математическую формулу из об- ласти булевой алгебры — специального раздела ма- тематики, на котором основана цифровая логика. В конце книги приведен список литературы, содержа- щий отличные пособия по булевой алгебре, к кото- рым следует обратиться для более детального озна- комления с предметом. Хотя для понимания основ цифровой электроники знание булевой алгебры не обязательно, при более глубоком изучении предмета без нее не обойтись. Выражение АВ —С обычно произносится следую- щим образом: «если А равняется 1 И В равняется 1, то С будет равняться 1» или «А И В равно С». В качестве примера применения вентиля И на практике рассмотрим рис. 2.4, на котором изображен вентиль, ко входам которого подсоединены датчики. Датчик температуры обеспечивает переключение в 26
Рис. 2 4. Логическая схема принятия решения на основе логиче- ской функции И исходя из показаний датчиков температуры и влажности. 70 °F — + (1); 69 ° F==(0); Нет дождя—4-(1); Дождь —(0). диапазоне температур 69—70°F — если температура больше или равна 70° F, па вход А поступает сигнал высокого уровня (1). На вход В сигнал высокого уровня (1) будет поступать в том случае, если дат- чик дождя показывает «сухо» (нет дождя). С по- мощью этой схемы на основе анализа состояния сиг- нала в точке С («включено» или «выключено») мож- но принять решение о целесообразности купания. Если температура больше или равна 70° F и нет дож- дя, то свет горит и можно заключить, что погода благоприятствует купанию. Выключенное состояние лампы будет означать, что условия для купания не подходящие и нужно заняться чем-нибудь другим. Рассмотренный пример позволяет сделать следую- щий вывод. Любое логическое заключение, требую- щее одновременного наличия ряда условий для приня- тия решения, может быть реализовано электрическим 27
способом с помощью вентилей И. Хотя в этом при- мере можно обойтись и без применения вентилей, он приведен здесь для наглядности демонстрации принципа. Следует также отметить, что в реальных схемах вместо ручных тумблеров, показанных на рис. 2.2, используются транзисторные ключи. Вентиль И-НЕ Вентиль И-НЕ применяется чаще вентиля И и представляет функцию, инверсную функции венти- ля И. Это означает, что они имеют противоположные логические состояния. Вентили И-НЕ, как правило, дешевле, так как их электронная структура проще, и легче в употребле- нии, чем вентили И. Ниже в этой главе будет пока- зано, как сделать схему И из вентилей И-НЕ. Вход Выход А В С (И) С(И-НЕ) 0 0 0 1 0 1 0 1 1 0 0 1 1 f 1 0 Рис. 2.5. Таблицы истинно- сти логических функций И и И-НЕ. Таблицы истинности для вентилей И и И-НЕ пред- ставлены на рис. 2.5 Буквы «НЕ» после И означают «НЕ» И. В логическом обозначении вентиля И-НЕ для указания инверсии или функции НЕ используется черта сверху. Например, А- В = С служит выражением для функции И-НЕ, представ- ленной па рис. 2.5. Произносится это так: АЙВ рав- няется НЕ С. Выходной сигнал вентиля И-НЕ имеет высокий уровень для любых комбинаций входных сигналов, за исключением той, при которой на всех входах нахо- дятся сигналы высокого уровня. В последнем случае выходной сигнал вентиля И-НЕ будет иметь низкий 28
уровень. Рассмотренная на рис. 2.4 схема, помогаю- щая принять решение о целесообразности купания, может быть реализована также с помощью вентиля И-НЕ. В ней выключенное состояние лампы будет указывать на то, что условия для купания подходя- щие. Включенное состояние лампы будет обозначать такую ситуацию, когда одно из условий не выпол- няется и необходимо выбрать другое занятие. Для обозначения вентиля И-НЕ к точке выхода символа, применяемого при обозначении вентиля И, пририсовывается маленький кружок, как показано на рис. 2.6. Он означает, что ожидаемый выходной сиг- нал вентиля И будет инвертироваться. Этот символ также используется в обозначениях других вентилей и логических устройств. Его появление на входе схе- мы означает, что логическое состояние входного сиг- нала должно быть проинвертировано (или будет про- инвертировано в устройстве). Как уже указывалось ранее, вентиль И-НЕ яв- ляется наиболее распространенным из вентилей. Удобство применения этого вентиля определяется тем обстоятельством, что на его основе можно реализо- вать любые логические функции, в том числе и функ- цию И. Ниже в этой главе использование вентиля И-НЕ будет рассмотрено более подробно. Функция ИЛИ и ИЛИ-НЕ Функция, выполняемая вентилем ИЛИ, играет в цифровой технике не менее важную роль, чем функ- ция вентиля И. Вентили ИЛИ и ИЛИ-HE, противо- положные по своей логике, реализуют функции, в 29
которых результирующее действие происходит при на- личии хотя бы одного, причем любого из условий. Рассмотренные вентили наряду с вентилями других типов находят применение в схемах управляющей ло- гики. Вентиль ИЛИ Вентиль ИЛИ реализует функцию, которая обес- печивает высокий уровень выходного сигнала в том случае, когда сигнал хотя бы на одном из входов имеет высокий уровень. Общепринятое обозначение для вентиля ИЛИ показано на рис. 2.7. Хотя на ри- сунке вентиль имеет два входа, обозначенные А и В, часто у вентилей бывает большее число входов. Рис. 2.7. Обозначение двухвходового вентиля ИЛИ. Рис 2 8. Электрическая схема, иллюстрирующая логическую функцию ИЛИ. 30
Реализация функции ИЛИ с помощью электриче- ской схемы показана на рис. 2.8. Ключи представляют собой входы А и В, а лампа С — выход. Все возмож- ные комбинации логических состояний входов и вы- хода приведены на рис. 2.9. Как видно из таблицы Рис. 2.9. Таблица истинно- сти функции логического ИЛИ. Состоя- ние Входы Выход С А В 1 0 0 1 2 0 1 0 3 1 0 0 4 1 1 0 1 истинности, появление сигнала высокого уровня (ло- гической 1) в точке С происходит при замыкании любого из входных ключей. Иначе говоря, лампа за- горится, если замкнуть хотя бы один ключ. Таблицу истинности для этой схемы можно пояс- нить следующим образом. Состояние 1. Сигналы на обоих входах А и В имеют низкий уровень, поэтому схема разомкнута и лампа С выключена (С = 0). Состояния 2—3. В каждом из этих случаев один из ключей замкнут, а другой разомкнут, поэтому лампа включена и в точке С присутствует сигнал ло- гической 1. Состояние 4. Оба ключа замкнуты, вследствие чего сигнал на выходе имеет высокий уровень и лам- па горит. Из вышеизложенного можно сделать вывод, что на выходе С сигнал логической 1 будет появляться всякий раз, когда на один ИЛИ другой вход подается сигнал высокого уровня. Эту закономерность обычно записывают следующим образом: А + В== С. Знак «+» здесь служит для обозначения логической функции ИЛИ. Выражение это произносится так: «Если А ИЛИ В равняется 1, то С равняется 1». В качестве примера использования функции ИЛИ рассмотрим ситуацию, когда для принятия положи- тельного решения необходимо наличие по меньшей 31
Рис. 2.10. Схема принятия решения на основе функции ИЛИ. Включено=1 (да); выключено=-0 (нет). мере одного из двух битов единичной информации. Предположим, вы хотите сходить на баскетбольный матч, но только при том условии, что один или оба ваших друга составят вам компанию. Если же ни один из них пойти не может, то вы тоже не пойдете. Пред- положим далее, что три ваших дома соединены по схеме, показанной на рис. 2.10. В домах ваших дру- зей установлено по ключу, а в вашем доме располо- жена лампа (индикатор решения). К заранее уста- новленному времени, скажем к пяти часам вечера, каждый из друзей решит, идти ему на игру или нет. В соответствии с принятым решением он установит переключатель во включенное («да») или выключен- ное («нет») положение. Результат вы сможете опре- делить по лампе, играющей роль индикатора решения, ток на которую может поступать через вентиль ИЛИ. Если лампа не горит, это означает, что ни один из друзей не хочет идти на игру и поэтому вы тоже не пойдете-. В противном случае вы можете смело го- товиться к посещению матча в компании с одним или обоими друзьями. Конечно, следует признать, что в приведенном примере невозможно определить, один или оба друга желают пойти на матч и если один, то кто именно. Это затруднение можно разрешить с помощью теле- фонного звонка. 82
Из вышеизложенного можно сделать вывод, что вентиль ИЛИ удобен для использования в системах, где требуется принятие решения на основе поступаю-' щих извне сигналов о наличии или отсутствии необ- ходимых условий. Вентиль ИЛИ-НЕ Вентиль ИЛИ-HE, реализующий сигнал, инверс- ный логической функции вентиля ИЛИ, представляет пример другой часто используемой логической схемы. На рис. 2.11 представлены логические состояния вхо- Рис. 2.11. Таблица истинно- ностн, иллюстрирующая ло- гику работы двухвходового вентиля ИЛИ-НЕ. Состоя- ние Вход Выход С А В 1 0 0 0 2 1 0 0 3 0 1 0 4 1 1 1 дов и выхода двухвходового вентиля ИЛИ-НЕ. Буквы НЕ после ИЛИ означают НЕ ИЛИ, т. е. со- стояние логического сигнала, противоположное выра- батываемому вентилем ИЛИ. Обратите внимание, что Рис. 2.12. Обозначение двухвходового вентиля ИЛИ-НЕ. Буквы внутри контура обычно не показываются. это логическое отношение эквивалентно отношению между вентилями И-НЕ и И. Обозначение двухвходо- вого вентиля ИЛИ-НЕ показано на рис. 2.12. Малень- кий кружок, расположенный в точке выхода схемы, обозначает инверсию логической функции ИЛИ. Ло- 2 Зак. 692 33
гическая функция ИЛИ-HE выражается с помощью формулы _ А4-В = С, которая произносится: «А ИЛИ В равняется НЕ С». Другими словами: «Если сигнал в точке А имеет вы- сокий уровень (1) ИЛИ сигнал в точке В имеет высо- кий уровень (1), то в точке С (выхода) сигнал дол- жен быть НЕ высокого уровня (0)». Инверсия логи- ческого сигнала обозначается с помощью черты над буквой. Хотя в основном вентили предназначены для использования в логических схемах принятия реше- ний, их можно применять и в сложных системах управления. Функции инвертирования Процесс инвертирования, или смены логического состояния высокого уровня (1) на низкий (0) или на- оборот, играет в цифровой электронике важную роль. В связи с использованием в ней двоичной системы счисления инвертирование сводится к переходу от од- ного логического состояния к его дополнению или противоположному логическому состоянию. Ниже бу- дет показано, как реализовывать функцию инверсии с помощью вентилей и других устройств типа инвер- торов. Инверторы на вентилях Для инвертирования логического сигнала могут применяться вентили И-НЕ и ИЛИ-HE. Рассмотрим первый из них, представленный на рис. 2.13. Обра- тите внимание, что, поскольку входы инвертора объ- Рис. 2.13. Инвертор на основе вентиля И-НЕ. 34
единены, сигнал, поступающий в точку X, попадет на входы А и В одновременно. Как видно пз таблицы истинности на рис. 2.14, в схеме возможны две ком- Рис. 2 14. Таблица истинно- сти, па которой показаны два возможных состояния входов и выхода для ин- вертора на вентиле И-НЕ. X с А В Выход 0 1 0 1 1 0 бинации сигналов. При поступлении на входы А и В сигналов низкого уровня (0) на выходе (С) появится сигнал высокого уровня, если же на входы А и В подать сигнал высокого уровня (1), то в результате на выходе будет сигнал низкого уровня (0). В связи с тем что на все входы вентиля И-НЕ (ИЛИ-НЕ) поступает один и тот же логический сигнал, выходной сигнал будет представлять собой инверсию входного. При объединении нескольких входов вентилей И-НЕ и ИЛИ-HE их можно рассматривать как один вход. Обозначение инвертора показано на рис. 2.15. Тре- угольник является стандартным символом, применяе- (Вход) А « В (Выход} Рис. 2.15 Логическое обозначение и формулы инвертора (А=В или А=В). мым в электронике для обозначения усилителя или буфера, а маленький кружок в точке выхода указы- вает на функцию инверсии. В тех случаях, когда инвертирование сигнала не требуется, в качестве буфера можно использовать вентили И или ИЛИ. Хотя буфер может применяться Для усиления сигнала, обычно его назначение состоит в разделении сигнала между двумя схемами с тем, 2* 35
Рис. 2.16. Обозначение неинвертирующего буфера или усилителя. чтобы работа одной схемы не мешала работе другой. Обозначение неинвертирующего буфера представлено на рис. 2.16. Обратите внимание, что в точке выхода отсутствует кружок, обозначающий инверсию. Логика работы буфера показана на рис. 2.17. По- скольку входы вентиля объединены, на них поступают Состоя-' нив Вход Выход С К В 1 0 0 0 2 1 1 1 Рис. 2.17. Таблица истинно- сти, описывающая работу буфера. сигналы одинакового уровня, причем низкий (0) уро- вень на входах (А и В) дает низкий уровень (0) на выходе (С), а высокий уровень на входах (А и В) обеспечивает высокий (1) уровень на выходе (С). Отсюда следует, что при использовании вентиля И с объединенными входами инвертирования не проис- ходит и вентиль можно использовать в качестве бу- фера. Сказанное справедливо и для вентиля ИЛИ. Другие инверторы Инверторы составляют неотъемлемую часть устройств обработки цифровой информации. В на*
стоящее время выпускаются недорогие интегральные схемы, содержащие шесть инверторов (например, ИС 7404) О. В тех случаях, когда вентили ИЛИ-НЕ или И-НЕ остаются в схеме неиспользованными, их можно применять в качестве инверторов. Очевидно, что этот способ более удобен, чем установка допол- нительных интегральных схем с инверторами. В случае когда необходима всего одна операция инвертирования, бывает проще и выгоднее с точки зрения стоимости использовать в качестве инвертора транзистор. В одной из последующих глав этой книги дается более подробная информация, касающаяся применения транзистора для переключения и инвер- тирования. Комбинирование логических функций Логические вентили составляют основную элемент- ную базу вычислительной техники* 2). Простые логи- ческие функции3) можно реализовать на одном вен- тиле, более сложные — с помощью комбинации венти- лей. Бывают случаи, когда необходимо функцию вен- тиля одного типа реализовать на вентилях другого типа. Скажем, что делать проектировщику, если ему нужен вентиль И-НЕ, а в наличии есть только вен- тили И? То обстоятельство, что проектировщик для получения необходимой логической функции имеет возможность использовать различные сочетания имеющихся в распоряжении интегральных схем, служит доказательством гибкости интегральных схем. В этом разделе рассматриваются схемы комбина- ционной логики и показывается, как с помощью вен- тилей одного типа реализовывать функцию вентилей другого типа. *) Краткая характеристика используемых в книге интеграль- ных схем, а также их отечественные аналоги приведены в при- ложении. — Прим. ред. 2) Сказанное справедливо лишь для раннего этапа развития вычислительной техники до появления интегральных схем сред- ней и большой степени интеграции. — Прим. ред. г) Этн функции носят название элементарных. — Прим. ред. 37
И-НЕ И Если взглянуть на таблицу истинности для венти- ля И-НЕ еще раз (рис. 2.5), можно заметить, что инвертирование выходного сигнала вентиля И-НЕ дает в результате функцию И. Поэтому проектиров- щиЛу, которому требуется функция И и у которого имеются в распоряжении только вентили И-НЕ, сле- дует просто проинвертировать выходной сигнал вен- тиля И-НЕ. Схема, выполняющая эту операцию, представлена на рис. 2.18, а ее таблица истинности — Рис. 2.18. Реализация функции И па вентилях И-НЕ. на рис. 2.19. Обратите внимание, что функция, пред- ставленная столбцом D, на рис. 2.19 совпадает с функцией И, приведенной на рис. 2.3. Вход И-НЕ Выход С И Выхода А В 0 0 1 1 0 1 0 1 1 1 1 0 0 0 0 1. Рис. 2 19. Сравнение таблиц истинности двухвходовых вентилей И-НЕ и И. На рис. 2.20 показано, как можно изобразить эту схему по-другому. Все три иллюстрации эквивалент- ны, поскольку все схемы выполняют одну и ту же логическую функцию. В большинстве случаев исполь- Рис. 2.20. Реализация функции И с помощью вентилей И-НЕ. 38
зуется самая простая схема вентиля И (рис. 2.20, С)\ В более сложной схеме, изображенной на рис. 2.20, А, указан способ соединения вентилей, что делает ее более иллюстративной. Следует помнить, что на осно- ве вентиля И-НЕ с помощью инвертирования можно реализовать функцию И. Аналогичным образом мож- но получить вентиль И-НЕ из вентиля И, но этот способ применяется реже. ИЛИ-НЕ ИЛИ Функция ИЛИ также может быть реализована на основе вентиля ИЛИ-HE. Инвертирование выходного сигнала вентиля ИЛИ-HE дает в результате логиче- скую функцию, обратную функции соответствующего вентиля. Этот способ проиллюстрирован на рис. 2.21. Об- ратите внимание, что все три схемы (А, В и С) экви- Рис 2 21. Реализация функции ИЛИ с помощью вентилей ИЛИ НЕ валентны по своей логической функции, таблица истинности для которой приведена на рис. 2.22. Из Рис. 2 22. Таблица истин- ности, в которой для сравнения показаны функ- ции ИЛИ-HE и ИЛИ. Вход ИЛИ-НЕ Выход с ИЛИ Выход D К В 0 0 ' 1 0 1 0 0 1 0 1 0 1 1 1 0 1 нее можно заметить, что функции ИЛИ-HE и ИЛИ представляют собой инверсию (дополнения) друг друга. Для обозначения этой логической функции на 39
практике достаточно одного символа, изображенного на рис. 2.21, С. Рис. 2.21 показывает способ получе- ния функции с помощью вентилей ИЛИ-НЕ. Все три схемы эквивалентны и представляют функцию ИЛИ. При инвертировании выходного сигнала вентиля ИЛИ в результате получается функция ИЛИ-НЕ. На практике для реализации функции ИЛИ способ с вентилями ИЛИ-НЕ используется более часто, чем любой другой, в связи с большим распространением и меньшей стоимостью этих вентилей. И-НЕ ИЛИ До сих пор для получения требуемых логических функций инвертировались только выходные сигналы вентилей. Однако с этой же целью могут инвертиро- ваться сигналы на входах вентилей И-НЕ и ИЛИ-НЕ. На рис. 2.23 показан способ получения функции ИЛИ на основе вентиля И-НЕ с помощью ннверти- Рис. 2.23. Реализация функции ИЛИ. на вентилях И-НЕ. рования его входных сигналов. Из сравнения функ- ции в точке С с функцией ИЛИ, приведенной в пра- вом столбце рис. 2.24, можно увидеть, что они экви- валентны. Этот метод, который проиллюстрирован на рис. 2.23, полезен тем, что при отсутствии вентиля ИЛИ позволяет реализовать одноименную функцию на более распространенных вентилях И-НЕ. В каче- 40
стве инверторов кроме вентилей И-НЕ могут также быть использованы вентили ИЛИ-НЕ и другие. Схема на рис. 2.23, Л более наглядна, так как в ней показан Рис. 2.24. Таблица сти функции ИЛИ, ной в результате рования входных вентиля И-НЕ. истинно- Входы Выход С функция ИЛИ А В НОЛ У 4t!ii * инверти- 0 0 0 0 сигналов О 1 1 1 1 0 1 1 1 1 1 1 способ получения функции ИЛИ, однако на практике вентиль ЙЛИ обозначается, как показано на рис. 2.23, С. ИЛИ-НЕ И При инвертировании сигналов на входах вентиля ИЛИ-НЕ на выходе будет получаться логическая функция вентиля И. На рис. 2.25 показана реализа- ция этой схемы на вентилях ИЛИ-НЕ. Все три схе- мы А, В и С эквивалентны, поскольку каждая из них реализует одну и ту же логическую функцию И. Хотя рис. 2.25, С достаточно для описания логики, рис. 2.25, А наглядно иллюстрирует способ получения логической функции. Для инвертирования входных Рис. 2 25. Реализация функции И с помощью вентилей ИЛИ-НЕ. 41
логических сигналов может быть использован любой инвертор. Таблица истинности этой системы вентилей пред- ставлена на рис. 2.26. В правый столбец включена Вход Выход С Функция И А В 0 0 0 0 0 1 0 0 1 0 0 0 1 f 1 1 Рис. 2.26. Таблица истин- ности функции И, полу- ченной из вентилей ИЛИ-НЕ. таблица истинности функции И. Обратите внимание, что выход С совпадает полностью с выходом вен- тиля И. Сложные логические функции В цифровой технике часто бывает необходимо с помощью одной схемы реализовать логическую функ- цию, представляющую собой комбинацию элементар- ных логических функций (вентилей) различных типов, Цифровые устройства, осуществляющие обработку поступающей информации, могут включать схемы как комбинационной, так и последовательностной логики. В логике последовательностного типа управляющие сигналы вырабатываются один за другим, иначе го- воря, последовательно. В комбинационной логике вы- ходной сигнал представляет собой суперпозицию не- скольких логических функций, выполняемых отдель- ными частями схемы. Проектирование цифровых уст- ройств обычно начинают с записи задачи на языке алгебры логики и применения принципов последней для ее решения. Этот раздел математики, оперирую- щий с двоичной системой счисления, представляет теоретические основы минимизации схем цифровой логики по объему оборудования и преобразования од- них логических функций в другие с целью уменьше- ния стоимости схемы. 42
Комбинационная логика Схемы комбинационной логики состоят из совокуп- ности различных вентилей. Выходной сигнал комби- национной схемы определяется типом используемых вентилей, способом их соединения и состоянием вход- ных сигналов. Наиболее широко распространенные схемы комбинационной логики часто выпускают в виде интегральных схем на одном кристалле. Приме- рами логических комбинационных схем являются счетчики, дешифраторы и драйверы дисплея. Комбинационная схема с четырьмя входами А, В, С и D и одним выходом Е представлена на рис. 2.27. Таблица истинности для этой схемы приведена на рис. 2.28. Обратите внимание, что из всех 16 возмож- ных комбинаций входных сигналов, поступающих на 4 входа, только при комбинациях с номерами 4, 8, 12, 13, 14, 15 и 16 выходной сигнал в точке Е будет иметь высокий уровень. Приведенная схема обеспечивает на Рис. 2.27. Схема комбинационной логики, состоящая из двух двухвходовых вентилей И и одного двухвходового вентиля ИЛИ (E=AB+CD). 43
Шаг Вход Выход Е А В с D 1 0 0 0 0 0 2 0 0 0 1 0 3 0 0 1 0 0 4 0 0 1 1 1 5 0 1 0 0 0 б 0 1 0 1 0 7 0 1 1 0 0 S 0 1 1 1 1 9 1 0 0 0 0 10 1 0 0 1 0 11 1 0 1 0 0 12 1 0 1 1 1 13 1 1 0 0 1 14 1 1 0 1 1 15 1 1 1 0 1 1 б 1 1 1 1 1 Рис. 2 28. Таблица истинности для схемы на рис. 2.27. выходе сигнал 1, только если А И В ИЛИ СИИ1)] находятся в состоянии логической 1 (высокий уро- вень) и ни в каком другом случае. Формула булевой алгебры для этой схемы записывается так (AB) + (CD) = E. Этот пример может быть проиллюстрирован с по- мощью следующей жизненной ситуации, в которой требуется принять определенное решение. Предположим, один из супругов запланировал ме- роприятие, для осуществления которого потребуется автомобиль, причем в мероприятии возможно участие второй супружеской пары. Если пара X (входы А и В) или пара Y (входы С и D) решит принять участие в поездке, то мероприятие состоится, но при условии, ') Так как в алгебре логики операция И имеет более высо- кий приоритет, это выражение и обозначающую его формулу можно записывать без скобок. — Прим. ред. 44
что супруги в одной из пар поедут вместе. Ключи от автомобиля есть у каждой пары, поэтому любая из них может воспользоваться им даже в случае отказа другой пары от поездки. Принятие решения в этом случае осуществляется сравнительно просто и может быть проиллюстрировано схемой, представленной на рис. 2.27. Этот пример может показаться слишком сложным решением простой задачи, но он приведен здесь лишь с целью иллюстрации логики процесса принятия ре- шения. Решения, получаемые на основе схем комби- национной логики, но намного более сложные, прини- маются людьми каждый день без формализации си- туации с помощью таблицы истинности. По мере усложнения решаемых задач возрастает необходи- мость применения таблиц истинности и систем авто- матизированного проектирования логических уст- ройств. Последовательностная логика Схемы последовательностной логики обычно при- меняют в тех случаях, когда выходной сигнал должен быть выработан на основании как новой, модифици- рованной, так и предыдущей информации. Перед по- явлением сигнала на выходе схемы должна произой- ти последовательность событий, часто в определен- ном порядке. В схемах логики этого типа обычно не- обходимы синхронизация и наличие элементов па- мяти. К схемам последовательностной логики относятся счетчики, регистры сдвига и устройства памяти. В не- которых случаях ко входам добавляются элементы памяти, которые модифицируют обрабатываемую по регулярным сигналам синхронизации информацию. Получающийся в результате выходной сигнал явля- ется функцией хранимой и вновь полученной инфор- мации. Большинство схем последовательностной логики составляют счетчики и регистры сдвига, большое ко- личество типов которых выпускается в виде инте- гральных схем. Как правило, эти схемы включают генераторы импульсов или мультивибраторы, служа- 45
щие для синхронизации ее частей с помощью прямо- угольных импульсов. Ниже эти схемы будут рассмот- рены более подробно. Функция ИСКЛЮЧАЮЩЕЕ ИЛИ Вентиль, получивший название «ИСКЛЮЧАЮ- ЩЕЕ ИЛИ», имеет в вычислительной технике ряд особых применений. Он используется в схемах цифро- вого сложения или арифметических схемах, в схемах дешифраторов, а также при обнаружении ошибок и поиске (необходимого) цифрового слова. ИСКЛЮЧАЮЩЕЕ ИЛИ Обычный вентиль ИЛИ обеспечивает высокий уро- вень выходного сигнала (логическую 1), когда один или большее число входов находятся в состоянии вы- сокого уровня. В отличие от него вентиль ИСКЛЮ- ЧАЮЩЕЕ ИЛИ дает 1 на выходе только в том слу- чае, когда сигналы на двух входах различны Таб- лицы истинности для обычного вентиля ИЛИ и для вентиля ИСКЛЮЧАЮЩЕЕ ИЛИ представлены на рис. 2.29. Обратите внимание, что в вентиле ИСКЛЮ- Шаг Входы Вен- тиль ИЛИ Вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ К в 1 0 0 0 0 2 0 1 1 1 3 1 0 1 1 4 1 1 1 0 Рис. 2 29. Таблица истинности двухвходового вентиля ИЛИ и вентиля ИСКЛЮЧАЮЩЕЕ ИЛИ. ЧАЮЩЕЕ ИЛИ сигнал высокого уровня появляется на выходе только при шагах 2 и 3. Обозначение вен- тиля ИСКЛЮЧАЮЩЕЕ ИЛИ показано на рис. 2.30. Две дуги на входе символа вентиля ИСКЛЮЧАЮ- *) То есть один в состоянии высокого, а другой в состоянии низкого уровня. — Прим, рсд. 4S
Рис. 2 30. Обозначение обычного вентиля ИЛИ (слева) и вен- тиля ИСКЛЮЧАЮЩЕЕ ИЛИ (справа). ЩЕЕ ИЛИ отличают его от обычного вентиля ИЛИ, приведенного на рисунке для сравнения. В булевой алгебре для обозначения функции ИС- КЛЮЧАЮЩЕЕ ИЛИ используется знак «+», обве- денный кружком, как показано на рис. 2.30. Контроль четности Одной из важных областей применения вентиля ИСКЛЮЧАЮЩЕЕ ИЛИ являются схемы контроля четности. Четность в цифровой технике определяется количеством разрядов с высоким уровнем сигнала 0 1 1 0 1 1 0 0 1 0 1 1 Рис. 2.31. Два шестиразрядных двоичных слова для контроля по четности и по нечетности. А—четное число единиц (4); В — нечетное число единиц (3). (логическая 1) в цифровом слове. Контроль может быть по четности или по нечетности. Например, из двух цифровых слов, показанных на рис. 2.31, слово, изображенное на рис. 2.31,А, имеет четное число единиц (состояний высокого уровня) и называется 47
Рис. 2 32. Схема контроля нечетности четырехразрядных цифро- вых слов. четным словом, а изображенное на рис. 2.31, В — нечетное число единиц и является нечетным сло- вом. Схема, представленная на рис. 2.32, реализует контроль четности и служит для идентификации не- четного числа единиц в четырехразрядном двоичном слове. В таблице истинности на рис. 2.33 показаны логические состояния для всех комбинаций входных сигналов этой схемы. Столбец четности введен для обозначения тех слов (восьми или половины от об- щего числа), которые содержат нечетное число еди- ниц. Как видно из рис. 2.33, при поступлении на вхо- ды схемы, изображенной на рис. 2.32, четырехраз- рядиого двоичного слова с нечетным числом единиц на выходе появляется сигнал высокого уровня. Рас- смотрим реальный случай, когда информация пере- дается с помощью четырехразрядных двоичных слов с четным числом единиц. Слова поступают на схему контроля четности, и для слов, имеющих четное чи- 48
Входы Выход Е Четность (.чисто единиц) А в с D 0 0 0 0 0 0 0 0 1 1 Нечет (1) 0 0 1 0 1 Нечет (1) 0 0 1 1 0 0 1 0 0 1 Нечет (1) 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 Нечет (3) 1 0 0 0 1 Нечет (1) 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 Нечет (5) 1 1 0 0 0 1 1 0 1 1 Нечет (3) 1 1 1 0 1 Нечет (3) 1 1 1 1 0 Рис. 2 33. Таблица истинности для схемы на рис. 2 32, на которой выделены слова с нечетным числом единиц. ело единиц, выходной сигнал будет оставаться в состоянии низкого уровня. Это означает, что слова передаются без ошибок. Однако, если помеха како- го-либо рода приводит к изменению слова, заклю- чающемуся в добавлении или потере 1, в результате получится слово с нечетным числом единиц. Это означает, что в передаче слова произошла ошибка. Появляющийся на выходе схемы контроля сигнал высокого уровня может быть использован для оста- новки процесса передачи информации и печати со- общения об ошибке. На основе принципа контроля на четность конструируют устройства, которые не только обнаруживают ошибку, но и печатают на ме- сте соответствующего разряда символ наличия ошибки. Более сложные схемы контроля по четности мо- гут применяться и для ряда целей, таких как по- строение корректирующих тестов, при использований 49
которых любой результат, не удовлетворяющий пред- варительно установленному требованию по четности, считается ошибочным. Схема сравнения слов Другим применением вентилей ИСКЛЮЧАЮ- ЩЕЕ ИЛИ является их использование в схеме срав- нения двоичных слов. Схема сравнения четырехраз- рядных слов, спроектированная на двух вентилях ИСКЛЮЧАЮЩЕЕ ИЛИ и одном вентиле ИЛИ (или И), показана на рис. 2.34. Таблица истинности, опи- сывающая работу этой схемы, приведена на рис. 2.35. Из нее видно, что, когда слова А и В равны (шаги 1—4), сигнал на выходе С имеет низ- кий уровень. Если же слова неодинаковы, например при шагах 5—7, выходной сигнал в точке С имеет высокий уровень. Схему сравнения слов применяют в тех случаях, когда требуется найти необходимое слово среди большого количества информации (слов). На основе этой схемы можно спроектировать устройство, позво- Рис. 2 34 Схема сравнения двух лвухразрядных слов на основа вентилей ИСКЛЮЧАЮЩЕЕ ИЛИ. 50
ляющее подсчитать, сколько раз встречается данное слово, и, исходя из этого, вычислить част 01 у его по- явления. Предположим, необходимо выяснить, сколь- ко человек среди населения той или иной страны (база данных) имеют рост 170 см. Пусть в базе ставленные в виде цифровых слов, а высота 170 см закодирована словом 00110. Тогда при прохожде- нии базы данных через детектор каждый раз, когда встречается указанное слово, на выходе схемы будет появляться сигнал высокого уровня. Конечно, кроме этого, существует много других применений вентиля ИСКЛЮЧАЮЩЕЕ ИЛИ, например в схемах бло- кировки определенных цифровых комбинаций. Сложение Сложение двоичных чисел является одной из основных операций вычислительной техники. Факти- чески любая арифметическая операция реализуется в вычислительной машине на основе сложения. Так, команды умножения и деления сводятся к сложной системе операций сложения и так называемых сдви- гов. Устройства сложения вычислительных машин могут быть построены на основе вентилей ИСКЛЮ- ЧАЮЩЕЕ ИЛИ, поскольку они являются хорошими компараторами: при сравнении двух или более элек- трических сигналов эти вентили вырабатывают сиг- нал, показывающий, эквивалентны они пли раз- личны. 51
Вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ имеет в двоич- ном сумматоре то же назначение, что и в схеме сравнения слов. Двоичное сложение не является та- ковым в буквальном смысле слова, поскольку в дво- ичной системе используются только две цифры, а для получения суммы достаточно сравнить сигналы, по- ступающие на вход сумматора. Вентиль ИСКЛЮ- ЧАЮЩЕЕ ИЛИ подходит для этой цели наилучшим образом. Принцип двоичного сложения нетрудно понять, если вспомнить, что двоичное число 102 играет в двоичной системе ту же роль, что и число Юю— в десятичной. Хотя они не равны (десятичный экви- Десятичное сложение: Перенос — №» 1 Прибавить 9 к 7 Примеры двоичного сло- жения: Перенос — I7 +У9 16 —►о 1. Прибавить 1 к 0 Перенос - 01 ► 1 2. Прибавить 1 к 1 Перенос - +ii 10 ir 0 3. Прибавить 10 к 1 Перенос - 10 11 —В>1 4. Прибавить 10 к 10 Перенос - НО +1ю 100 —>1 5. Прибавить 11 к 11 111 +111 110 Рис. 2.36. Примеры двоичного сложения. 52
Рис 2 37. Схема полусумматора на вентилях И и ИСКЛЮЧАЮ- ЩЕЕ ИЛИ. валент числа 102 составляет 2ю), общим между ними является то, что оба представляют собой первое по порядку двузначное число в соответствующей систе- ме. Принимая это за основное правило, для двоич- ного сложения получим тот же алгоритм, что и для десятичного. При сложении десятичных чисел всякий раз, когда сумма двух цифр больше 9, цифра млад- шего разряда полученной суммы записывается в столбце под двумя складываемыми цифрами, а циф- ра старшего разряда переносится в следующий стол- бец. Тот же принцип используется и в двоичном сло- жении. Каждый раз, когда сумма двух цифр больше 1, цифра младшего разряда суммы записывается в столбец складываемых цифр, а старший разряд (еди- ница) переносится в следующий столбец. На рис. 2.36 приведено несколько примеров двоичного сложения. Схема двухразрядного двоичного сумматора по- казана на рис. 2.37. Этот простой сумматор, предна- значенный для сложения двух двоичных разрядов, называется полусумматором по той причине, что 53
у него отсутствует вход для приема сигнала пере» носа от предыдущего сумматора. Сумматор, имею- щий вход для приема сигнала переноса, называется полным. На рис. 2.38 приведена таблица истинности Шаг Входы Выходы А В Сумма Перенос 1 0 0 0 0 2 0 1 1 0 3 1 0 1 0 4 1 ] 0 1 Рис. 2.38. Таблица истинно- сти для схемы полусумма- тора. для полусумматора, показанного на рис. 2.37. Обра- тите внимание, что перенос вырабатывается един- ственный раз в состоянии 4, когда оба входа рав- ны 1. Генераторы синхроимпульсов и мультивибраторы Чтобы цифровые схемы работали правильно, не- обходима их своевременная и точная синхрониза- ция *>. Например, в карманном калькуляторе при вы- полнении сравнительно простой арифметической опе- рации для получения результата исходные данные должны пройти через сумматоры, в которых будет выполнено несколько десятков сложений и сдвигов. Очевидно, что при наличии всего одного сумматора эти операции нельзя выполнить одновременно. По- этому в этом случае их выполняют последовательно, причем каждое вычисление должно синхронизоваться по входу и по выходу в установленные моменты вре- мени. При последовательном выполнении не может быть никакого перекрытия выполнения различных операций во времени, поскольку поступление в одно и то же время на входы сумматоров данных, относя- щихся к различным операциям, приведет к ошибоч- ным результатам. ’) Речь идет о последовательных схемах. — Прим, ред. 54
Синхронизация Специальные периодические импульсы, называе- мые сигналами синхронизации, используются для установки необходимого временного режима работы схемы. При этом одного импульса часто бывает до- статочно для управления сотнями различных опера- ций в цифровой вычислительной машине. Существует много способов генерации сигнала синхронизации. Некоторые схемы способны вырабатывать свой соб- ственный сигнал синхронизации и поэтому во внеш- ней синхронизации не нуждаются. В таких схемах для генерации импульсов могут быть предусмотрены специальные секции, разработанные на основе цик- лических или реверсивных счетчиков. Схемы, не име- ющие своей собственной синхронизации, управля- ются с помощью сигналов от внешнего мультивибра- тора. Мультивибраторы Мультивибратор представляет собой цифровой генератор колебаний, который вырабатывает после- довательный поток импульсов, или волн. В радио- технических устройствах и других нецифровых гене- раторах колебаний выходные сигналы обычно имеют синусоидальную форму и могут различаться по час- тоте и амплитуде. Форма выходного сигнала в циф- ровых схемах — прямоугольная. Его частота опреде- лена заранее и постоянна, а все импульсы имеют одинаковую амплитуду. Оба типа выходных сигналов рассмотренных генераторов колебаний показаны на рис. 2.39. Применение в цифровой электронике им- Рис. 2.39. Формы выходных сигналов генератора колебаний, а — сигнал прямоугольной формы; б—сигнал синусоидальной формы. 55
Рис. 2.40. Выходные сигналы нестабильного и моностабильного мультивибраторов. а — импульсы нестабильного мультивибратора (запускаются одним импуль- сом синхронизации); б— импульсы Моностабильного мультивибратора (за- пускаются с началом первого импульса синхронизации и заканчиваются с концом второго). пульсов прямоугольной формы объясняется тем, что переход от низкого к высокому или от высокого к низкому уровню сигнала должен происходить бы- стро, и чем больше степень прямоугольности сигна- ла, тем быстрее цифровые вентили и другие логиче- ские схемы могут изменять свои состояния. К двум наиболее распространенным типам мультивибрато- ров в цифровой электронике относятся нестабильный и моностабильный мультивибраторы. Нестабильный мультивибратор При запуске нестабильного мультивибратора он начинает генерировать последовательность прямо- угольных импульсов. Его называют нестабильным по той причине, что когда он начинает работать, то не может оставаться длительное время в одном состоя- нии. Схема мультивибратора спроектирована таким образом, что когда выходной сигнал имеет высокий уровень, она стремится перевести его в состояние низкого уровня и наоборот. Выходной сигнал не яв- ляется стабильным ни в состоянии высокого, ни в со- 66
стоянии низкого уровня. Обычно частота и длитель- ность выходного сигнала могут регулироваться, что делает нестабильный мультивибратор очень точным устройством. В цифровых схемах синхронизации обычно используется тот или иной тип нестабильного мультивибратора, в результате чего их точность ча- сто достигает 1 с/мес. Форма выходного сигнала не- стабильного мультивибратора показана на рис. 2.40, а. Моностабильный мультивибратор Моностабильные мультивибраторы иногда назы- вают мультивибраторами с однократным запуском. Они стабильны только в одном состоянии, обычно низкого уровня. При запуске моностабильного муль- тивибратора он генерирует одиночный импульс пря- моугольной формы, после чего возвращается в со- стояние низкого уровня до поступления следующего импульса. Ширину импульса выходного сигнала мультивибратора обычно можно регулировать. Ти- пичная форма выходного сигнала моностабильного мультивибратора показана на рис. 2.40,6. Промышленный таймер типа 555 Промышленный таймер типа 555, несмотря на свое название, фактически представляет собой моно- стабильный/нестабильный мультивибратор, который прост в употреблении, гибок >, недорог и легко до- ступен. Эта схема используется в некоторых из по- следующих упражнений этой книги, и поскольку она фактически представляет из себя мультивибратор, здесь уместно привести краткое описание ее работы. Схема таймера типа 555 не относится к ТТЛ-схе- мам. Она принадлежит к аналогичному типу схем, известному под названием линейных интегральных схем, и используется при построении устройств уп- равления в промышленности. Поскольку выходной сигнал схемы имеет прямоугольную форму, а частота *) Под гибкостью в данном случае понимается возможность работы схемы в различных режимах и в широком диапазоне значений временных параметров. — Прим, ред.: 57
и длительность импульсов регулируемы, ее можно использовать вместо мультивибратора. Промежуток времени между соседними выходными импульсами может составлять от нескольких микросекунд до не- скольких часов. Схема 555 обладает способностью работы в двух режимах — либо моностабильного, либо нестабильного мультивибратора. В режиме не- стабильного мультивибратора схема поддерживает точно контролируемую частоту и длительность сиг- нала, которые устанавливаются двумя внешними ре- зисторами и одним конденсатором. При наиболее часто используемом напряжении питания в 5 В вы- ходное напряжение высокого уровня схемы 555 бу- дет составлять около 3,5 В (величина, достаточная для управления ТТЛ-схемами). Ниже в упражнениях этой книги схема 555 будет использована в качестве генератора сигналов прямоугольной формы различ- ных частоты и длительности. Эти параметры могут регулироваться изменением величин внешних ре- зисторов и конденсаторов. Как это делать — опи- сывается в каждом упражнении, где это необ- ходимо. Триггеры и регистры сдвига Триггер является элементом хранения информа- ции, который широко применяется при построении счетчиков, регистров сдвига и других устройств па- мяти. Триггер простейшего типа может быть по- строен на двух вентилях И-НЕ. Регистры сдвига со- стоят из нескольких триггеров, соединенных после- довательно, так что информация «вдвигается» в один конец регистра и «выдвигается» из другого. Суще- ствуют различные типы сдвиговых регистров, боль- шинство из которых работает по принципу «первый вошел — первый вышел». Промежуток времени, в те- чение которого данные находятся в регистре, назы- вается временем хранения. В этом разделе будет описано, как работает триггер и как с его помощью можно реализовать регистр сдвига. Несколько существующих типов триггеров полу- чили название в соответствии с принципом, по кото- рому они работают. К наиболее распространенным 53
Рис. 2 41. Схема и таблица истинности базового RS-триггера. типам триггеров относятся RS-(Reset-Set) ”, D- и JK-триггеры, среди которых наиболее широко при- меняется RS-триггер. RS-триггер Схема RS-триггера показана на рис. 2.41. Этот триггер, как и любой другой, имеет два выхода, Q и Q, каждый из которых является дополнением дру- гого. Это означает, что если Q = 1, то Q = 0, и если Q = 0, то Q — 1. Предположим, что на S-вход триггера, показан- ного на рис. 2.41, подан сигнал низкого уровня (0), а R-вход установлен в состояние высокого уровня (1). Предположим далее, что сигнал на выходе Q высокого уровня (1). Тогда вентиль 1 И-НЕ будет «включен», поскольку на обоих входах имеется сиг- нал низкого уровня. Сигнал с выхода Q поступает на один из входов вентиля И-НЕ, в связи с чем сиг- нал на его выходе будет иметь высокий уровень [(логическую 1). Поскольку на другом входе венти- ля 2 И-НЕ — также сигнал высокого уровня, выход- ной сигнал вентиля 2 И-НЕ будет низкого уровня (0). Это состояние, при котором Q — 1 и Q = 0, яв- ляется стабильным. Если на R-входе сигнал перехо- дит в 0, а на S-входе—-в 1, то выходной сигнал вен- Г) Reset — сброс, set — установка. — Прим. ред.
Рис. 2.42. Блок-схема D-триггера на двухвходовых вентилях И-НЕ. тиля 2 (Q) должен перейти в состояние высокого уровня. В свою очередь поступление этого сигнала на вход вентиля 1 изменит состояние его выхода на 0 (низкий уровень). Так как выход каждого вен- тиля соединен со входом другого, наличие одинако- вых логических состояний на двух выходах триггера одновременно невозможно. В результате получим, что если S-вход установ- лен в состояние низкого уровня, то RS-триггер имеет выходное состояние Q= 1, Q = 0. Если R-вход уста- новлен в 0, то выходы будут находиться в состоянии Q — 0, Q=l. При работе с триггером нужно сле- дить за тем, чтобы на обоих входах одновременно не было сигналов низкого уровня, поскольку в этом случае триггер будет неуправляемым. D-триггер Триггер D-типа, блок-схема которого представ- лена на рис. 2.42, имеет ряд отличительных особен- ностей по сравнению с RS-триггером. D-триггер 60
представляет собой «синхронизируемое» устройство. Это_ означает, что изменение выходных сигналов Q и Q управляется входным сигналом синхронизации прямоугольной формы некоторой частоты. Логиче- ский сигнал, поступающий на D-вход, передается на выход Q с некоторой задержкой после изменения сигнала синхронизации из 0 в 1 (от низкого к высо- кому уровню), который часто называют «передним фронтом». В некоторых случаях для управления уст- ройством используется задний фронт, который опре- деляет переход сигнала синхронизации из 1 в О, Триггер D-типа применяют -в тех случаях, когда не- обходимо либо передать разряд данных от D к Q, либо сохранить его в памяти. D-триггеры позволяют спроектировать регистр,- носящий название регистра типа «защелка». Выход- ные сигналы какого-либо цифрового устройства по- стоянно поступают на входы регистра-«защелки», но заносятся в него лишь при подаче импульса синхро- низации одновременно на все триггеры регистра Дальнейшее изменение сигналов на входах регистра- «защелки» не оказывает влияния на его состояние до прихода следующего сигнала синхронизации. При определении количества триггеров регистра-«защел- ки» исходят из разрядности фиксируемых слов. Так, для «защелкивания» четырехразрядных слов ре- гистр-«защелка» должен состоять из четырех триг- геров. Использование регистра-«защелки» в цифро- вых часах позволяет совместить процесс счета с ото- бражением времени на экране индикатора. JK -триггер Возможности JK-триггера предоставляют ему ряд преимуществ по сравнению с RS-или D-триггерами. Блок-схема JK-триггера, который иногда называют двухступенчатым, показана на рис. 2.43 * 2). Наряду *) Работа регистра-«защелки» аналогична принципу действия затвора фотоаппарата. — Прим. ред. 2) Вообще JK-триггер не обязательно должен быть двухсту- пенчатым. Двухступенчатые триггеры, которые строят на основе двух триггеров, принимают информацию по одному фронту им- пульса синхронизации (или в течение всего импульса), а пере- ключаются по другому фронту. — Прим. ред. 61
R 6 S Рис. 2 43 Блок-схема JK-триггера. с управляющими входами установки и сброса, име- ющимися и в триггерах других типов, у JK-триггера имеется вход синхронизации, служащий для управ- ления выходным сигналом. J- и К-входы использу- ются для управления входной логикой. Типичный JK-триггер работает по следующим правилам: i. Сигналом низкого уровня на входе_ R или S производят «сброс» триггера в О (Q — О, Q = 1) или «установку» триггера в 1 (Q = 1, Q = 0). На входах R и S одновременно не должно быть сигналов низ- кого уровня, в противном случае схема выйдет из-под контроля. 2. Если на входы J и К подать сигнал высокого уровня (1), выходной сигнал будет иметь частоту в два раза меньше частоты сигнала синхронизации. 3. Если на входах J и К будет сигнал логиче- ского 0, состояние выходов Q и Q останется неиз- менным. Это хороший способ временного отключе- ния устройства. 4. Если J = 1 и К = 0, с очередным сигналом синхронизации триггер установится в состояние Q — 1, Q=0, 62
Рис. 2.44. Временная диаграмма, на которой показано срабаты- вание JK-триггера от переднего фронта импульса синхронизации. 5. Если J = 0 и К = 1, очередной сигнал синхро- низации приведет к установке Q в 0 и Q в 1. На рис. 2.44 показано изображение JK-триггера, а также приведены временные диаграммы, иллю- стрирующие логику работы схемы при наличии на входах J и К сигналов различного уровня. На основе JK-триггеров строятся сдвиговые реги- стры и другие схемы цифровой электроники^ 63
Сдвиговые регистры Сдвиговый регистр строят на нескольких тригге- рах, в которых «сдвиг» информации происходит от одного триггера к другому — отсюда название «сдви- говый регистр». Сдвиговый регистр принадлежит к такому типу устройств, в которых информация мо- жет вводиться и выводиться в последовательном и параллельном виде. На основе регистров сдвига воз- можно конструирование устройств, выполняющих другие операции, такие как прямой и обратный счет. Ниже будет описан принцип работы сдвигового ре- гистра на JK-триггерах, а также будет рассмотрена схема специального кольцевого регистра. 4-разрядный сдвиговый регистр • Регистр сдвига, состоящий из четырех JK-триг- геров, показан на рис. 2.45. Выходы Q и Q каждого триггера соединены непосредственно с J- и К-вхо- дами следующего триггера (А с В и С с D). Пред- варительно все триггеры очищаются (сбрасываются), в состояние Q = 0, Q=l. Проследим движение ин- формации в схеме, фиксируя сигналы на выходах Q каждого триггера. 1. Введем бит данных (1) в триггер А нажатием кнопки «установка», Состояние регистра будет иметь 64
следующий вид: А в С D 10 0 0 2. Выходные сигналы Q и Q триггера А приведут входы триггера В в состояния J = 1 и К = 0. 3. Очередной сигнал синхронизации приведет к изменению состояний триггеров А и В. Так как на входах остальных триггеров находится комбинация J_= 0, К=1, они останутся в состоянии Q = 0, Q = l. Слово примет следующий вид: А В С D 0 10 0 4. Очередной сигнал синхронизации установит триггер В в нулевое состояние, а триггер С в единиц* ное, Слово будет выглядеть таким образом А В С D 0 0 10 5. Очередной сигнал синхронизации приведет к изменению слова в состояние А В С D 0 0 0 1 6. Последний импульс сдвинет 1, которая была в триггере D, на выход, и слово станет таким А В С D 0 0 0 0 На рис. 2.46 показано движение бита через ре- гистр во время его «сдвига» слева направо. Сдвиг такого типа позволяет эффективно реализовать опе- рацию деления, которая проиллюстрирована на А в с D Установка в О 0 .0 0 0 Рис. 2 46. Таблица истинно- Ввод бита А 1 0 0 0 сти, иллюстрирующая про- Импульс 1 0 1 0 0 цесс сдвига одного разряда Импульс 2 Г) 0 1 0 данных в регистре. Импульс 5 0 0 0 1 Импульс 4 0 0 0 0 з Зак. 692 65
рис. 2.47. Обратите внимание на то, что всякий раз, когда разряд слова сдвигается на один разряд вправо, десятичный эквивалент числа делится на 2. Процесс сдвига в регистре справа налево на один разряд эквивалентен операции умножения на 2. Как видно из таблицы истинности на рис. 2.46, информация вводится в регистр последовательно (один разряд за другим), а выводится параллельно (т. е. все разряды могут быть считаны одновремен- но). На практике в регистрах сдвига используются оба вида ввода и вывода данных. Это означает, что информация может вводиться или считываться в по- следовательном или параллельном виде в зависимо- сти от того, как спроектирован регистр. Циклический счетчик В регистре сдвига, который показан на рис. 2.45, после прохождения четырех импульсов синхрониза- ции информация выдвигается из регистра и теряется. Информация может вводиться в регистр единствен- ным способом через установочный вход левого триг- гера. Этот регистр может находиться в одном из пяти различных состояний. Циклический счетчик проектируют на основе сдвигового регистра, в кото- ром осуществляется циркуляция разрядов информа- ции, и каждое состояние регистра периодически по- вторяется. На рис. 2.48 показана схема циклического четы- рехразрядного сдвигового регистра. Бит данных вво- дится в триггер А и сдвигается с каждым последу- 66
ющим сигналом синхронизации. Обратите внимание, что выходы Q и Q крайнего правого D-триггера со- единены с J-, К-входами триггера А, в результате чего разряд с крайнего правого регистра попадает обратно в триггер А, и таким образом осуществля- ется его циркуляция в регистре, как в кольце. Рас- сматриваемый счетчик делает полный цикл через Рис. 2.48 Схема четырехразрядного циклического счетчика. каждые пять сигналов синхронизации. Регистр та- кого типа может использоваться для подсчета им- пульсов, чисел или других числовых событий. Процесс счета играет в цифровых схемах важную роль. В основе счетчиков обычно лежат регистры сдвига на триггерах. Комбинационные схемы позво- ляют модифицировать сдвиговый регистр для счета с произвольным периодом. Некоторые распростра- ненные счетчики (десятичные и двоичные) исполь- зуются так часто, что для их реализации были раз- работаны специальные интегральные схемы.
Глава 3 ЛОГИЧЕСКИЕ ФУНКЦИИ В этой главе рассматриваются примеры реализа- ции логических функций с помощью различных вы- числительных устройств. Сначала изучается исполь- зование транзистора для переключения и генерации тока. Затем объясняется принцип работы светодио- да и приводятся примеры его использования в каче- стве устройства отображения информации в цифро- вых схемах. Изучаются также ТТЛ и КМОП, наи- более распространенные технологии изготовления логических схем, после чего следует рассмотрение применяемых на практике интегральных схем и прин- ципов их использования. Биполярные переключающие транзисторы Транзисторы, применяемые в цифровой технике, обычно работают как ключи, хотя в традиционных применениях типа радиоприемников и высококаче- ственных усилителей они чаще используются в каче- стве усилителей. Тип используемого для переклю- чения транзистора должен обладать способностью очень быстро переходить из одного состояния в дру- гое для того, чтобы поступающая на него информа- ция была своевременно обработана. Ниже будет по- казано, как типичные р — п~р- и п — р — п-транзи- сторы используются для управления током через нагрузку и переключения цифровых импульсных сиг- налов. Биполярный транзистор Существует ряд типов транзисторов. Из них наи- более широко применяемым в цифровой технике яв- ляется биполярной транзистор, который отличает 68
Рис. 3.1. Транзисторы в различных корпусах. Внизу изображен транзистор большой мощности, превышающий по разме- рам остальные (фото Мери Дункан из Oswego Learning- Resources Center) высокая скорость переключения. Внешнее исполне- ние биполярных транзисторов может быть различно. Корпуса, в. которых выпускаются различные типы транзисторов, показаны на рис. 3.1, а обозначения двух основных типов р — п — р- и п— р — «-транзи- сторов приведены на рис. 3.2. В обоих случаях роль Рис. 3.2. Обозначение р — п — р-транзистора (а) и п — р — и- транзнстора (б). Местонахождение эмиттера можно определить по стрелке. 69
Рис. 3.3. Ключ на основе р—п—р-транзистора. Стрелкой показано направление тока при «включенном» состояния транзн’ стора. входного, или управляющего, играет сигнал, посту- пающий на базу. Он определяет состояние тока (включено или выключено) через эмиттерно-коллек- торную цепь. Переключение Во многих случаях переключение можно осуще- ствить, используя вентили типа И-НЕ, ИЛИ-НЕ, а также инверторы. При отсутствии вентилей для этой цели можно использовать транзистор. Предпочтение обычно отдается вентилю по той причине, что он не потребляет тока такой величины, как большинство биполярных транзисторов. На рис. 3.3 показано, как использовать р — п — р-транзистор в качестве пере- ключателя. В данном случае для индикации вы- ходного сигнала используется лампа, для работы ко- торой транзистор должен обеспечить сравнительно большой ток (порядка 40 мА). Эта величина тока превышает ту, которую обычно может дать вентиль. Если сигнал на входе схемы, показанной на рис. 3.3, отсутствует (логический 0), транзистор выключен и ток от отрицательного (—) полюса источника пита- ния в лампу и далее через Е (эмиттер) и С (коллек- 70
- о Рис. 3.4. Использование транзистора для устранения дребезга в схеме с механическим ключом. тор) к положительному (4-) полюсу источника не течет1*. При подаче на вход положительного сигнала (логическая 1) транзистор включается, давая воз- можность току протекать через Е—С-связь в лампу, которая в данном случае играет роль нагрузки и ограничивает величину протекающего тока. В неко- торых случаях, особенно когда напряжение источ- ника питания намного превышает величину рабочего напряжения лампы, для еще большего ограничения тока последовательно с лампой устанавливают ре- зистор. Если вместо лампы используется светодиод, включение резистора последовательно с ним явля- ется обязательным. Другой пример переключения с помощью транзи- стора представлен на рис. 3.4. Транзистор в этом *) Здесь и далее под током автор понимает поток электро- нов в отличие от принятого по отечественным стандартам на- правления движения тока от положительного полюса источника питания к отрицательному. — Прим ред. 71
случае предназначен для очистки сигнала, поступав ющего с тумблера, от дребезга. Следует заметить, что такое применение транзистора на практике встре- чается реже, чем его использование для переключе- ния сигнала и генерации тока. На рис. 3.5 изображена схема переключения с использованием транзистора п—р—n-типа. Обра- тите внимание, что в п — р— /г-транзисторе ток те- чет от эмиттера к коллектору, в то время как в р — п — р-транзисторе (рис. 3.3)—в противополож- ном направлении. Главное различие между ними со- стоит в том, что логический уровень выключенного состояния противоположен в двух случаях: у р — п — р-транзистора это низкий уровень (логиче- ский 0), а у п— р — п-транзистора — высокий (логи- ческая 1). Рис. 3.6 иллюстрирует эти два условия. В данном случае транзисторы заменены простыми переключателями по той причине, что их функция сводится к переключению. Величина логического уровня выключенного состояния важна в тех слу- чаях, когда выходной сигнал предназначен для пе- редачи в другие логические устройства. Если тран- зистор применяется для включения индикаторной лампы, как в рассмотренных выше примерах, вели- Рис. 3 5. Использование п — р — «-транзистора в качестве ключа. Стрелкой показано направление тока при «включенном» состоянии транзи- стора. 72
Рис. 3.6. Условное изображение р — п — р-транзистора (а) и п — р — «-транзистора (б) с помощью механических ключей, по- зволяющих увидеть разницу между логическими уровнями вы- ключенного состояния. чина логического уровня покоя значения не имеет, В этих случаях важно только «включен» или «вы- ключен» транзистор. Инверторы Транзистор может также применяться для инвер- тирования логического сигнала (состояния). Схема инвертора на основе р— п — р-транзистора пред- ставлена на рис. 3.7. Если на вход поступает сигнал низкого уровня, транзистор «выключится» и ток те- чет, минуя транзистор, через 100-омный резистор в лампу, которая включается, обеспечивая на вы- ходе инверсию входного сигнала. Если подать на вход сигнал высокого уровня (1), транзистор «вклю- чится». Теперь ток будет протекать через транзистор и 100-омный резистор, поскольку этот путь имеет значительно меньшее сопротивление, чем путь рези- стор— лампа. Напомним, что включенный транзи- стор аналогичен замкнутому ключу и имеет неболь- шое сопротивление. Когда транзистор включается, лампа выключается. И в этом случае выходной сиг- нал представляет собой инверсию входного. На 73
Рис 3 7. Реализация функции инверсии с помощью р — п — р- транзистора. Стрелками показано направление тока при «включенном» и «выключенном» состояниях транзистора. рис. 3.8 показана реализация инвертирования с ис- пользованием п — р — «-транзистора. Для большинства случаев, в которых транзистор используется для переключения или инвертирования, хорошо подходят транзисторы обычных марок: 2N2222 (« — р — п) или 2N2907 (р— п — р). Каж- дый из них дает ток около 800 мА, которого доста- точно для включения большинства индикаторных ламп. Эти транзисторы могут работать с напряже- ниями (коллектор — эмиттер) до 30 В и на частотах до 30 МГц О. Между приведенными двумя типами транзисто- ров существуют некоторые различия, поэтому в об- щем случае для определения точных параметров любого задействованного в схеме транзистора сле- дует обратиться к справочнику по транзисторам. Хотя в рассмотренных примерах в выходных цепях использованы лампы, транзисторы могут служить *) Наиболее точно этим параметрам отвечают отечественные транзисторы КГ608Б и П609 Для получения более детальной информации с 1едует обратиться к книге: А. В Нефедов, В И Гордеева «Отечественные полупроводниковые приборы и Их зарубежные аналоги» —М.: Энергия, 1978. — Прим. ред. 74
Рис 3 8 Схемы инвертора на основе я — р — п-транзистора. Стрелками показано направление тока при «включенном» и «выключенном» состояниях транзистора. источником тока и для других устройств. Катушки реле, светодиоды, кремниевые управляемые вентили, триаки — вот некоторые из устройств, которые мо- гут быть нагрузкой для выходного сигнала транзи- стора. Устройства отображения информации Поскольку в большинстве цифровых схем исполь- зуется двоичная система счисления, необходимы удобные средства ввода и изображения этого «язы- ка». Ввод информации обычно осуществляется с пультов различных типов. При нажатии какой-либо клавиши происходит генерация последовательности цифровых импульсов, которая представляет двоич- ное слово. Выводится информация по-другому: для ее отображения могут применяться простые светоди- одные индикаторные лампы, различные принтеры или дисплеи с телевизионным экраном. Применение для индикации светодиода и 7-сегментного индика- тора будет описано ниже. 75
Светодиод Светодиод (светоизлучающий диод) представляет собой диод, испускающий свет при том условии, что ток протекает через него в прямом направлении (от ратода к аноду). Обозначение светодиода показано Па рис. 3.9. На нем также изображены два типа светодиодов и способ определения вывода катода. По мере увеличения тока, протекающего через светодиод в прямом направлении, возрастает также интенсивность излучаемого им света. Однако, если последовательно со светодиодом не подсоединять ограничивающий резистор, светодиод может выйти из строя. Расположение светодиода в схеме должно быть таким, чтобы ток протекал через него в пря- мом направлении (от катода к аноду). Если же он установлен в. схеме наоборот, свет излучаться не бу- дет. На рис. 3.10 показано, как правильно распола- гать диод в схеме. Сопротивление последовательного ограничиваю- щего резистора, используемого с большинством све- тодиодов, зависит от приложенного напряжения. Большая часть светодиодов работает при токе при- мерно 10 мА и напряжении 5 В. Закон Ома дает следующую величину сопротивления: R = E/I = 5/0,01 =500 Ом. Для большинства применений, в которых для обеспечения работы светодиода используется 5-воль- •товый источник, подходит 330-омный резистор. Если напряжение источника выше, скажем 12 В, то вели- чина ограничивающего сопротивления должна быть увеличена примерно до 1 кОм. Светодиоды могут выпускаться с излучением крас- ного, желтого, зеленого и белого света. Красный свет до сих пор является наиболее популярным. Индикацию другого цвета бывает трудно различить, особенно при ярком дневном свете. Светодиоды мо- гут иметь различные размеры в диапазоне от точеч- ных до больших устройств диаметром около 1 см. В последних для распределения света по большой площади используются пластмассовые увеличиваю- 76
Рис. 3.9. Внешний вид светодиода (вверху) и символ (внизу), используемый для его обозначения. На рисунке показано, как определять вывод катода (фото, Мери Дуикаи из Oswego Learning Resources Center). 77
Рис. 3.10 Схема включения светодиода в цепь. Сопротивление ограничивающего резистора зависит от приложенного напря- жения; стрелка показывает направление движения электронов. щие линзы. Светодиоды обычно заключаются в пластмассовые корпуса, окрашенные под цвет излу- чаемого света. 7-сегментная индикация Другим полезным устройством отображения ин- формации является семисегментный светодиодный индикатор. Его внешний вид показан на рис. 3.11. Семь сегментов могут включаться каждый по от- дельнбсти или несколько в любом нужном сочетании. Рис. 3.11. Семисегментный светодиод (D. Р. — десятич- ная точка). 78
Рис. 3.12. Таблицы истинности логических функций, представ- ляющих сегменты 7-сегментного индикатора, от десятичных и двоично-десятичных аргументов. 0=низкий уровень (выкл.); 1=высокий уровень (вкл.). Логический вход (-) 44 Ограничивающие о резисторы о-----wv-e—rt—° D.P. °-----VWfi—И------f а, о-----vwe—М-------" ь о-----VW0—М-------" с О-----W\rti---И---" d о-----VW6—М------" е с-----V/v0—М-----" f о-----—ЛЛДгв-" 9 Рис. 3.13. Реализация схемы семисегментного индикатора с об- щим анодом (D. Р. — десятичная точка) Ограничивающие резисторы обычно располагают вне индикатора. 79
Логический tfxog <+) Ограничивающие л резисторы о----VM-----Н----и ИР. о---—VW-9—►(-----" а о----WH-----►!---11 ь о----Wv-9---И----" С о--—-ЛЛЛ-0—>1---" d о----Wv-e—н— - -- е о-----Wv-8—»----" f о-----Vvve—н—4 ff Рис. 3 14 Реализация схемы семисегментного индикатора с об- щим катодом (D. Р. — десятичная точка). Ограничивающие резисторы обычно располагают вне корпуса светодиода. Каждый сегмент строится на одном или несколь- ких светодиодах. Часто светодиоды покрывают по- лоской из цветного пластика, которая «загорает- ся» при включении расположенных под ней свето- диодов. Комбинацией соответствующих сегментов мо- гут быть представлены цифры 0—9, а также ряд букв алфавита. Такая форма отображения позволяет осуществлять вывод информации непосредственно в десятичном виде (как на экране калькулятора), что намного удобнее вывода в двоичном виде. Таблицы истинности семисегментных, двоично-десятичных и десятичных чисел 0—9 представлены на рис. 3.12. Существуют два основных типа семисегментных индикаторов: с общим анодом (рис. 3.13) и общим катодом (рис. 3.14). В первом случае аноды объ- единяются и подключаются к положительному (+) полюсу источника питания, а последовательно с каж- дым сегментом-светодиодом подключается ограничи- вающий резистор. Когда на входной резистор пода- ется напряжение низкого уровня (—), светодиод за- 80
горается. В схеме с общим катодом все катоды под- ключаются к отрицательному (—) полюсу источника питания и включение каждого сегмента осуществля- ется сигналом высокого уровня (+), подаваемым через ограничивающий резистор, Другие устройства Существуют и другие устройства отображения ин- формации: буквенно-цифровые индикаторы, неоно- вые трубки и флуоресцентные индикаторы. В послед- нее время широкое распространение получило ото- бражение информации на жидких кристаллах. Это объясняется тем, что они потребляют очень мало тока. Для индикации буквенно-цифровых символов предназначены устройства, состоящие из массивов светодиодов, которые обычно имеют высокую стои- мость. В тех случаях, когда есть необходимость изо- бражения многих букв алфавита одновременно, ис- пользуют видеоэкраны или телепринтеры. Логические семейства интегральных схем В электронике цифровые логические операции обычно реализуются интегральными схемами. Раз- личные семейства интегральных схем имеют свои собственные характеристики, преимущества и недо- статки. Наиболее распространенными в настоящее время семействами интегральных схем являются ТТЛ- и КМОП-схемы, составляющие большую часть находящихся в употреблении интегральных схем. Самым популярным является семейство ТТЛ, но пер- спективы КМОП-схем быстро возрастают. В после- дующих разделах будут рассмотрены характеристики ТТЛ- и КМОП-схем, а также даны определения различных степеней интеграции. Ранние типы Стимулом для разработки первых интегральных схем (ИС) послужило стремление уменьшить разме- ры функционально завершенной схемы. Так, число ламп в схеме удалось сократить благодаря тому, что 81
несколько ламп стали помещать в один стеклянный колпак. Это дало возможность обойтись только од- ним патроном вместо нескольких, что привело к зна- чительному сокращению количества проводов в схе- ме. Тот же принцип был использован и в интеграль- ных схемах для размещения большого количества транзисторов на одном и том же «кристалле» из твердотельного материала, в качестве которого ис- пользовался кремний. В дальнейшем на кристалл были добавлены и другие компоненты, такие как диоды, резисторы (полоски проводящего материала)’ и конденсаторы (проводящие слои, разделенные ди- электрическими слоями), что позволило изготовлять законченную схему типа радиоприемника на одном крохотном кристалле, помещенном в отдельную упа- ковку. Название для типа логики выбиралось ис- ходя из того, какие компоненты использовались для реализации переключения. К раннему типу логики можно отнести диодно-транзисторную логику (ДТЛ). В интегральных схемах ДТЛ транзисторы служат в качестве вентилей, что позволяет реализовывать на них любую логическую функцию. Другим ранним типом логики была резисторно-транзисторная логика (РТЛ). Семейство ТТЛ В настоящее время наиболее широко распростра- ненным семейством логических интегральных схем является семейство ТТЛ (транзисторно-транзистор- ной логики). Серии интегральных схем 5400/7400, используемые во всех примерах и упражнениях этой книги, изготовлены по ТТЛ-технологии. Сегодня са- мые разнообразные интегральные схемы ТТЛ раз- личной мощности и быстродействия почти для всех логических применений можно приобрести за не- большую плату. Семейство КМОП Популярность семейства КМОП растет очень бы- стро, и, очевидно, оно станет доминирующим семей- ством цифровых ИС в ближайшем будущем. КМОП- 82
схемы имеют много преимуществ по сравнению с ТТЛ-схемами, основным из которых является то, что они потребляют очень небольшую по сравнению с ТТЛ-устройствами мощность. То обстоятельство, что плотность (число компонентов на единицу площади) у КМОП-схем намного выше, чем у ТТЛ-схем, позво- ляет располагать КМОП-схемы на кристаллах мень- шего размера. Одним из недостатков КМОП-схем является то, что они в общем случае медленнее, чем ТТЛ-схемы. Этим обстоятельством можно прене- бречь, когда в конкретном случае применения не тре- буется очень высоких скоростей переключения. Так как первые КМОП-схемы были чувствительны к ста- тическому разряду, с ними надо было обращаться чрезвычайно осторожно. В более поздних устрой- ствах для устранения этого недостатка были добав- лены внутренние схемы защиты входа, позволившие работать с этими устройствами без специальных предосторожностей. Имеющиеся в наличии в настоящее время КМОП ИС способны выполнять почти все логические функ- ции. Их обычно помещают в корпус DIP (duel-in-line package — корпус с расположением выводов в два ряда). Стоимость КМОП ИС намного больше стои- мости ТТЛ-схем, однако это компенсируется тем об- стоятельством, что для выполнения большого количе- ства функций требуется меньшее число КМОП- устройств. Например, при проектировании десятич- ного счетчика на ТТЛ-схемах необходимы собственно счетчик (ИС 7490), драйвер (ИС 7447) и, возможно, затвор (ИС 7475). Счетчик и драйвер могут быть за- менены одной КМОП-схемой (ИС 4026), потребляю- щей к тому же намного меньше мощности, чем экви- валентные ТТЛ-схемы. Степени интеграции С ростом числа разработок и производства новых интегральных схем возникла тенденция уменьшения размеров «кристалла», которое достигается упаковкой большего числа компонентов па меньшую площадь, что увеличивает плотность размещения. В соответ- ствии с числом компонентов на единицу площади 83
Рис. 3.15. Законченный калькулятор на одном кристалле БИС.; Верхняя крышка снята, чтобы была видна внутренняя поверхность кри- сталла. Обратите внимание на маленькие проводнички, служащие для сое- динена# контактов самого кристалла с выводами на подложке. Кристалл имеет площадь примерно 1,6 см2 (фото Мери Дункан из Oswego Learning Resources Center)» различные интегральные схемы относят к одной из категорий. При этом основной единицей измерения обычно служит логический вентиль. Интегральная схема МСИ (малая степень инте- грации)— это схема, выполняющая функции, анало- гичные реализуемым вентилем или триггером. Как правило, ИС МСИ включает в себя не более 12 вен- тилей или их эквивалентов. Интегральная схема ССИ (средняя степень инте- грации) обычно представляет функционально закон- ченное устройство, содержащее 12—100 вентилей или их эквивалентов на кристалле. Цифровой счетчик или драйвер — примеры устройств ССИ. Интегральные схемы БСИ (большой степени ин- теграции) содержат не менее 100 вентилей или их эквивалентов. На кристаллы БИС обычно выпу- скаются функционально завершенные устройства, та- кие как калькуляторы или цифровые часы. Целый калькулятор на одной интегральной схеме представ- лен на рис. 3.15. В продаже можно встретить устрой- ства БИС с 1 тыс. транзисторов на одном кристалле. В настоящее время разрабатываются устройства СБИС (сверхбольшие ИС), содержащие, как прави- ло, целые схемы, такие как ЦВМ. Их часто исполь- 84
зуют для снабжения мини- и микроЭВМ устройствами памяти небольшого объема. На кристалле СБИС со- держится не менее 1 тыс. вентилей или их.эквива- лентов. Для производства очень тонких деталей, необхо- димых в интегральных схемах, в современной технике используются фотографические процессы. Плотность расположения компонентов на кристалле СБИС так высока, что применение обычно световой технологии не дает хороших результатов. Ведутся эксперименты по использованию рентгеновских лучей и лазеров вме- сто видимого света. Ведутся постоянные поиски и разработки новых технологических решений, и мож- но предположить, что в будущем будут достигнуты более высокие степени миниатюризации, Интегральные схемы ТТЛ В этом разделе будут описаны характеристики ин- тегральных схем ТТЛ, а также будет рассмотрена практическая сторона использования ИС ТТЛ наряду с информацией относительно скорости, электрических характеристик и внешних соединений интегральных схем. DIP-упаковка Почти все интегральные схемы ТТЛ заключаются в корпусе DIP. Это корпуса, имеющие 14, 16 или бо- лее выводов или контактов, расположенных в два ряда. Типичное внешнее исполнение и порядок нуме- рации контактов корпуса DIP показаны на рис. 3.16. Корпуса того же типа используются и для других логических семейств, таких как КМОП. Для того чтобы облегчить нахождение контакта с номером 1, в одном из углов корпуса делают иден- тифицирующую зарубку или ставят точку (или и то и другое вместе). Вывод 1 располагается слева (вид сверху) от зарубки или около точки. Контакты счи- таются (или нумеруются), начиная с вывода 1 и да- лее двигаясь против часовой стрелки. Существует ряд справочников, в которых показано расположение выводов распространенных ИС, Фирмы-изготовители 85
Индисрикаторы вывода ] к • □ 14 (+) 1С • □ 16 (+) 2С □ 13 2d □ 15 зЕ □ 12 зЕ □ Ч 4С □ 11 4С □ 13 5 □ □ ю 5EZ □ 12 еЕ □ 9 6С □ и (-) 7 С □ 8 7Е (-) 8 Е □ ю □ 9 Рис. 3.16. Вид сверху DIP-корпусов с 14 и 16 выводами. Нижний вывод слева (7 или 8) обычно представляет отрицательный полюс источника питания, а верхний вывод справа (14 или 16) —положительный. обычно предоставляют такую информацию в тех слу- чаях, когда изготовление устройства производится по индивидуальному заказу. В качестве примера можно привести корпус преобразователя солнечной энергии на корабле. Напряжение питания Для интегральных схем ТТЛ обычно требуется напряжение питания 5 В1. Напряжение источника пи- тания необходимо регулировать с той целью, чтобы изменения тока сопровождались лишь небольшими изменениями напряжения. В нерегулируемом источ- нике колебания тока сопровождаются изменениями напряжения, которые в отдельных случаях могут со- ставлять значительную величину. Что касается инте- гральных схем, изменения напряжения питания могут привести к их неправильному функционированию, в результате чего па выходе может появиться ошибка в логике или величине сигнала. Для предотвращения этого явления выпускаются недорогие и легкие в упо- треблении твердотельные регуляторы. Принципиаль* 86
-6-I2B ИС регулятора ——— CUUl< .'И?;.' _ l/ИЛ । Двухполцпе- Танталовый риодныи конденсатор .мостовой выпрямитель Рис. 3.17 Схема источника регулируемого напряжения 5В. В ка- честве регулятора можно использовать ИС 7805 (для тока 750 мА) или ИС 309К (для тока 1 А) ная схема типичного источника питания, используе- мого для работы с ИС ТТЛ, показана на рис. 3.17. Наряду с 5-вольтовым регулятором в ней исполь- зуется трансформатор, назначением которого является выработка достаточной величины тока. Установка ве- личины тока и напряжения производится подбором соответствующих конденсаторов и включением в схе- му выпрямителя. Регулятор 7805 обеспечивает выра- ботку напряжения 5 В1 при постоянном токе 750 мА, достаточном для большинства применений ТТЛ. Ток величиной до 1 А может быть получен с помощью регулятора LM309K- При проектировании источника питания для ТТЛ необходимо предварительно для каждой ИС опреде- лить требования по току и объединить их с целью получения конечного значения необходимого тока. При этом всегда следует предусматривать определенный запас тока по величине. Данные о требованиях по току для конкретной ИС могут быть получены из ру- ководств, например The TTL Data Book, выпущенного фирмой Texas-Instruments. Коэффициент объединения и коэффициент разветвления Коэффициент объединения определяет количество входных сигналов, которые могут поступать па исполь- зуемую ИС. Коэффициент разветвления показывает, 87
на сколько устройств может поступать сигнал с вы, хода рассматриваемой ИС. Типичная величина ко, эффициента разветвления у ТТЛ-схем равняется 10. Это означает, что с одного выхода ТТЛ ИС сигнал может подаваться на 10 ТТЛ ИС. Коэффициент раз- ветвления у КМОП-схем намного больше, чем у ТТЛ, схем, и составляет 50, что объясняется очень малой величиной возбуждения, требуемой для работы КМОП ИС. Коэффициент объединения, как правило, равняет» ся 1, поскольку каждый вход обычно имеет свой соб- ственный источник возбуждения. Если же на одну ИС поступают сигналы с нескольких источников, следует принять специальные меры, чтобы исключить возможность смешивания или потери этих сигналов, Условия переключения Для нормальной работы большинства ИС ТТЛ необходимо, чтобы поступающий на них сигнал имел прямоугольную форму. Часто оказывается, что триг- геры, счетчики, регистры сдвига «отсчитывают» лю- бой поступающий на них импульс, даже вызванный искажениями сигнала при передаче. Ложное сраба- тывание этих устройств происходит по той причине, что они способны реагировать даже на очень корот- кие (по длительности) импульсы. Одним из источни- ков ложных импульсов являются механические клю- чи. «Дребезг», который происходит при открывании или закрывании механического ключа, показан на рис. 3.18. На нем же приведен тип схемы, используе- мой для подавления дребезга. В определенных типах ТТЛ-схем, в которых применяются механические клю- чи, необходимо предусмотреть очистку сигнала от дре- безга (получение установившегося режима). Ложные импульсы могут также быть вызваны слишком высо- кими требованиями по току, необходимому для ра- боты нескольких интегральных схем. Эти импульсы, представляющие собой обычно нежелательные им- пульсы неизвестного происхождения, принято назы- вать «всплесками». Трудности такого рода разре- шаются, как правило, с помощью подключения шун- тирующих конденсаторов к положительным выводам 88
Рис. 3 18. А—иллюстрация явления дребезга при использовании механического ключа; В—схема устранения дребезга контактов при использовании механического ключа. питания примерно каждой пятой ИС. Емкости шун- тирующих конденсаторов должны быть небольшими, порядка 0,01 мкФ. Вывод информации Отображение логического состояния ИС ТТЛ обычно осуществляется с помощью светодиода. В тех случаях, когда переключение в схеме происходит 89
Рис. 3 19 Примеры интегральных схем, играющих роль источ- ника и приемника тока. Стрелка показывает направление тока для каждого случая. медленно, скажем с частотой меньше 1 Гц, вклю- чение светодиода последовательно с ограничиваю- щим резистором в 333 Ом позволяет контроли- ровать работу схемы в течение продолжительного времени. Если желателен вывод информации в цифровой форме, то, вероятно, лучшим решением будет исполь- зование семисегментного индикатора с интегральной схемой запуска. Большинство светодиодных индика- торов хорошо работает от выходного сигнала ИС ТТЛ напряжением 5 В. В1 некоторых случаях ток вытекает из ИС (называемой истоковой ИС), в других — вте- кает в ИС. Последние ИС называют стоковыми по той причине, что ток как бы «втекает» в них. Типич- ные примеры тех и других схем показаны на рис. 3.19. В каждом случае интегральная схема работает в ре- жиме переключения. Для того чтобы схема работала правильно, светодиод должен быть установлен в ней с учетом полярности. Обратите внимание, что в двух схемах на рис. 3.19 светодиоды включены в противо- положных направлениях. В1 некоторых применениях, 90
когда схема работает с большим током или напря- жением, ее включение производят с помощью спе- циально установленного транзистора, выполняющего в данном случае функцию реле. Всегда необходимо обращать внимание на ограничения используемой ИС по выходному току. Если устройство не может выде- лить или потребить ток, который требуется для его нормальной работы, использование транзистора в ка- честве источника тока или нагрузки может быть луч- шим решением проблемы.
Главе 4 ПРОЦЕССЫ ИЗГОТОВЛЕНИЯ ' Эта глава посвящена работе с интегральными схемами и печатными платами (ПП). После краткого рассмотрения вопросов проектирования и изготовле- ния печатных плат будут приведены практические ре- комендации по работе с макетами, способам соедине- ния проводов, использованию источников питания и ряду других вопросов. В заключение будут представлены эскизы стенда для экспериментов, который предназначен для ком- мутации схем в упражнениях гл. 5—10. Вопросы про- изводства печатных плат более подробно рассмотре- ны в книге [6]. Печатные платы В этой главе будут описаны процессы проектиро- вания и изготовления печатных плат, получивших в современной электронике широкое распространение. В качестве составных частей в эти процессы входит пайка, травление, сверление и применение резиста. Прежде чем приступить к изготовлению печатной платы на омедненном куске слоистой пластмассы, не- обходимо нарисовать на бумаге ее эскиз. Чтобы убе- диться в том, что спроектированная схема работает правильно, следует предварительно собрать ее на ма- кете или спаять, после чего можно приступать соб- ственно к процессу изготовления на куске пластмассы, роль проводников на котором будут играть омеднен- ные участки поверхности печатной платы. Просвер- ленные на плате отверстия предназначены для уста- новки необходимых компонентов, выходные контакты которых припаиваются к меди. Законченная печатная плата не только играет роль фундамента, на котором 92
установлены компоненты, но также служит для об- разования схем путем реализации проводящих путей между компонентами. Проектирование Чтобы необходимые компоненты схемы можно было разместить на наименьшей площади, печатную плату перед изготовлением рекомендуется проектиро- вать на бумаге. Изображение схемы, которая должна Рис. 4 1. Электрическая схема, которая должна оыть размещена на печатной плате. быть реализована на печатной плате, приведено на рис. 4.1. В этом примере важны не столько значения электрических величин используемых компонентов (резисторов и конденсаторов), сколько их физические размеры, на основе которых можно определить воз- можность их совместного размещения на печатной плате. Для этой цели на практике удобнее работать не с изображениями компонентов, а с самими ком- понентами. Первоначальное размещение компонентов, которое является следующим шагом при проектировании пе- чатной платы, часто в общих чертах повторяет эскиз принципиальной схемы. «Первая попытка» размеще- ния показана на рис. 4.2. Передвижением компонентов 93
Рис 4.2. Первоначальное размещение схемы рис. 4.1. Детали, которые показаны примерно в натуральную величину, размещаются с лицевой стороны, а проводящие пути из меди —на оборотной. качество размещения может быть несколько улуч- шено в том смысле, что компоненты будут располо- жены наиболее удачно на наименьшей площади. Сле- дует проводить размещение таким образом, чтобы избегать использования перемычек, или навесных проводников, применяемых для соединения компонен- тов, проводящая связь между которыми на печатной плате отсутствует. Перемычки усложняют сборку схемы, но в некоторых случаях без них в схеме не- возможно обойтись. При размещении следует распо- лагать все входные и выходные контакты печатной платы ближе к ее краям и избегать направления про- водников в центр платы. Соблюдение этих требований упростит диагностику отказов впоследствии. Улучшенный вариант размещения изображен на рис. 4.3, где показаны обе стороны печатной платы. Следует убедиться в том, что бескомпонентная сто- рона печатной платы разработана правильно, по- скольку она войдет в окончательный вариант разме- щения в неизменном виде. Практика показывает, что начинающему проектировщику для получения хоро- 94
Рис. 4 3. Лицевая (а) и оборотная (б) стороны окончательного варианта размещения для печатной платы, показанные в нату- ральную величину. Изготовление печатной платы будет начинаться с оборотной (бескомпонент- Ной) стороны. шего размещения обычно требуется порядка трех по- пыток, в то время как опытный проектировщик может найти хорошее размещение с первого раза. Резист После того как размещение проводящих слоев на бескомпонентной стороне печатной платы завер- шено, его необходимо проверить еще раз, используя красный карандаш и принципиальную схему. Этой 95
проверкой не следует пренебрегать, так как лучше обнаружить ошибки на данной стадии проектирова- ния, чем после того, как будет получена завершенная печатная плата. Если ошибок нет, можно приступить к процессу травления. Резист представляет собой кислотостойкий материал, который наносят на медь в соответствии с размещением, полученным для бескомпонентной сто- роны печатной платы. Назначение резиста состоит в том, что он препятствует вступлению травителя в контакт с медью, которой покрыта поверхность пе- чатной платы, в результате чего участки «защищен- ной» меди остаются на плате, а «незащищенной»—1 очищаются. Получившийся рисунок покрытия факти- чески эквивалентен совокупности проводников прин- ципиальной схемы. В качестве резиста могут быть использованы мно- гие материалы. К ним можно отнести большинство эмалей, лаков для ногтей и т. д. Специально для на- несения резиста разработано устройство, напоминаю- щее по форме карандаш, которое может быть при- обретено в большинстве радиомагазинов. Рассмотрим процесс нанесения резиста подробнее. Прежде всего необходимо очистить поверхность меди мелкозернистой шкуркой. Затем на поверхность меди помещают рисунок бескомпонентной стороны, выпол- ненной в натуральную величину, после чего на медь в местах сверления через бумагу наносят отметки с помощью керна. Далее рисунок размещения убирает- ся с поверхности меди и служит в качестве указателя для соединения отметок материалом резиста. В’ ре- зультате на бескомпонентной стороне печатной платы получается необходимый рисунок. Следует иметь в виду, что перед тем, как приступать к травлению, сле- дует подождать, пока резист высохнет. В некоторых случаях он высыхает почти мгновенно, в других его необходимо высушить или прокалить. Травление Травление представляет собой процесс удаления ненужной медной фольги с печатной платы. Веще- ством, с помощью которого осуществляется травление^ 96
Рис. 4.4. Дешевое устройство травления распылительного типа, используемое при изготовлении печатных плат небольших разме- ров. Он вмещает до 8 л травителя (фото Мери Дункан из Oswego Learning Re- sources Center). обычно служит слабая кислота. Для этого печатную плату помещают в ванну с травителем, который под- держивают в постоянном движении с той целью, что- бы на место отработанного травителя для вступления в контакт с медью поступали его новые порции. Тра- витель также можно распылять по поверхности меди. И в том и в другом случае его температура должна составлять не’ менее 38 °C, что позволит ускорить хи- мическую реакцию и завершить процесс травления в более короткое время. На рис. 4.4 показано устрой- ство травления распылительного типа, которое было разработано для использования в промышленной ла- боратории. Это устройство, позволяющее протравить печатную плату примерно за 4 мин, содержит твер- дотельную1 времязадающую схему, которая автомати- чески выключает насос травителя по прошествии уста- новленного времени. На практике в качестве травителей очень часто ис- пользуют два вещества: хлорид железа и персульфат 4 Зак. 692 97
аммония. Первый, применяемый наиболее широко, сравнительно недорог, но обладает способностью обесцвечивать кожу пальцев и одежду, поэтому с ним надо обращаться осторожно. Последний сравнительно прост в употреблении и позволяет получить хороший результат при травлении, однако его использование сопровождается выделением тепла, поэтому при ра- боте с ним необходимо соблюдать меры предосторож- ности. После того как процесс травления будет завершен, печатную плату необходимо промывать в холодной воде в течение по меньшей мере одной минуты для того, чтобы удалить остатки травителя. Затем резист можно будет удалить шкуркой. Сверление Для установки компонентов в печатной плате должны быть просверлены отверстия, что обычно де- лается с помощью высокоскоростной дрели. Люби- тельская дрель Dremel® и совместно используемые с ней тиски существенно облегчают процесс сверления. Наиболее часто используются сверла размером 0,7— 0,9 мм. Если возникают сомнения в выборе размера отверстия, необходимо измерить диаметр проводника, который должен входить в это отверстие и выбрать сверло чуть большего диаметра. Пайка После того как просверлены все отверстия, можно приступать к установке компонентов. Обычно деталь устанавливают таким образом, чтобы ее поверхность соприкасалась с поверхностью печатной платы, а вы- воды вставлялись в соответствующие отверстия. За- тем вывод припаивают к залуженному отверстию, после чего выступающую часть вывода отрезают нож- ницами. На рис. 4.5 показана последовательность действий при размещении элементов на печатной пла- те: монтаж (/), пайка (2), удаление выступающей части вывода (3). Для пайки схем на печатных платах лучше всего подходит паяльник мощностью 30 Вт. Необходимо 98
Рис 4 5 Последовательность действий при припаивании компо- нента к печатной плате постоянно поддерживать жало паяльника в чистоте, протирая его губкой, а в качестве флюса использо- вать только канифоль. Как правило, материал исполь- зуемого в электронной технике припоя содержит вну- три канифоль. Не следует пользоваться свинцовым припоем или кислотным флюсом. В случае применения 99
последнего можно повредить спаянные соединения и разрушить медь, как при травлении. Если соединение на печатной плате со временем зеленеет, значит, оно скорее всего было спаяно с помощью кислотного флюса. Канифольный флюс очищает припаиваемые контакты с той целью, чтобы припой мог крепко со- единиться с проводом и медью. Для пайки большин- ства печатных плат используется припой, состоящий из 60 % олова и из 40 % свинца, который обес- печивает хорошую проводимость и надежное соеди- нение. Макетирование и экспериментирование В этом разделе будут рассмотрены практические аспекты экспериментирования с цифровыми схемами, будут описаны два способа соединения проводов: на- круткой и на протравленных печатных платах, а так- же будут представлены эскизы стенда для экспери- ментов, источника питания и магазина компонентов. Детальное ознакомление с этими структурными эле- ментами намного облегчит проведение экспериментов и выполнение упражнений, которые будут приведены в последующих главах. В конце главы представлен список элементов схемы (конденсаторов, резисторов и интегральных схем), которые потребуются в этих упражнениях. Первым шагом в разработке модели работающей схемы является изготовление макета, предназначен- ного для проверки правильности функционирования, на котором можно закоммутировать все компоненты в соответствии с принципиальной схемой устройства. Поскольку желательно, чтобы коммутация носила временный характер, часто прибегают к использова- нию разъемов «макетного» типа, которые установлены на блоки, содержащие источники питания и другие функциональные устройства, необходимые для экспе- римента. Большинство современных макетных панелей представляет собой перфорированные пластмассо- вые пульты, которые спроектированы с тем расче- том, чтобы интегральные схемы могли быть «встав- лены» без затруднений. Современная макетная па- 100
Рис. 4.6. Современный стенд с источником питания и широкими функциональными возможностями для испытания цифровых и электронных схем (фото Мери Дункан из Oswego Learning Re- sources Center). нель, спроектированная специально для исследова- ния цифровых электронных схем, показана на рис. 4.6. Подача питания Цифровым интегральным схемам ТТЛ для работы необходим регулируемый источник питания с напря- жением 5 В. Даже в том случае, когда для питания используются батареи, напряжение должно быть ре- гулируемо для того, чтобы изменения напряжения, происходящие вследствие изменения тока, не оказы- вали влияния на работу схем цифровой логики. Прин- ципиальная схема регулируемого источника питания с напряжением 5 В, который может быть использо- ван для экспериментов с большинством ТТЛ-схем, показана на рис. 4.7. Этот источник питания при сов- местной работе с регулятором типа 7805 может да- вать ток до 750 мА при регулируемом напряжении 101
Рис. 4.7. Принципиальная схема регулируемого источника посто- янного напряжения 5 В. в 5 В. В случае если необходима величина выходного тока в 1 А, можно воспользоваться регулятором типа LM309K. При этом трансформатор и выпрямитель также должны быть рассчитаны на работу с током 1 А. Ниже будут приведены детали конструкции та- кого источника. Соединение проводов скручиванием Способ соединения проводов скручиванием нахо- дит широкое распространение как в промышленности (особенно в вычислительной технике), так и среди радиолюбителей. Он является альтернативным пайке, и, для того чтобы им воспользоваться, обычно необ- ходим провод примерно 36-го калибра (диаметр 0,12 мм). Механическое устройство, снимающее на проводе изоляцию для обеспечения хорошего элек- трического контакта с соединительным штифтом, на Рис. 4.8. Устройство slit-n-wrap для снятия изоляции с провода для обеспечения хорошего электрического контакта со штырьком, на который он накручивается (с любезного согласия фирмы Vector Electronics Со., Inc.). 102
Рис. 4.9. Соединение нескольких штырьков методом скручивания (с любезного согласия фирмы Vector Electronics Со., Inc.). Слева показано увеличенное изображение штырька (в разрезе) после на- крутки изолированного провода Tefzel. Рис, 4.10. Ручной инструмент для соединения проводов методом скручивания (с любезного согласия фирмы OK Machine and Tool Corp.). 103
который он будет накручиваться, показано на рис. 4.8, На рис. 4.9 изображено, как накручивать провод во- круг штифта. В соответствии со схемой соединения провод направляют от штифта к штифту, которые обычно нумеруются, чтобы свести ошибки в соедине- нии к минимуму. Для этой же цели в схеме исполь- зуются провода с изоляцией разного цвета. Более совершенный ручной инструмент для скру- чивания, который быстрее большинства других, по- казан на рис. 4.10. Когда необходимо проделать боль- шой объем работы по соединению проводов, большую помощь могут оказать электрические инструменты для скручивания. В общем случае для реализации рассмотренного способа соединения проводов тре- буются специальные контакты, панели и разъемы с рыводными штифтами, которые можно приобрести в большинстве радиомагазинов. Диагностика Перед тем как приступить к макетированию схемы, следует проверить правильность соединений компо- нентов путем отслеживания красным карандашом ли- Йий на принципиальной схеме. При макетировании каждый элемент вставляют в соответствующий разъ- ем на макете, после чего элементы соединяют между собой проводами-перемычками согласно принципиаль- ной схеме. По мере установки проводов-перемычек рекомендуется отмечать красным карандашом соот- ветствующие им линии на принципиальной схеме. Ко- гда все они отмечены, это означает, что схема сма- кетирована. Теперь можно приступать к ее тестиро- ванию. Хотя метод макетирования с использованием красного карандаша может показаться утомительным, усилия будут вознаграждены тем, что схема с боль- шой степенью вероятности с первого раза будет ра- ботать правильно. Если же смакетированная схема работает неправильно, следует просто проделать сле- дующие операции для поиска возможных источников бшибок. 1. Проверьте работу источника питания. Убеди- тесь, что на соответствующих выводах каждой И(р йапряжение составляет б В. Для этого лучше всего 104
воспользоваться вольтметром постоянного тока или светодиодом с включенным последовательно резисто- ром. 2. Удостоверьтесь в том, что все выводы ИС дей- ствительно вставлены в соответствующие им отвер- стия. Бывает, что вывод интегральной схемы подги- бается и не дает контакта. Снимите интегральные схемы и проверьте выводы. Если некоторые из них подогнуты, осторожно распрямите их пинцетом и вставьте ИС обратно на место. 3. Убедитесь в том, что интегральные схе- мы расположены правильно, т. е. так, что пер- вый вывод ИС соответствует первому отверстию разъема. 4. Проверьте, все ли отверстия разъемов макета имеют внутри и в окрестности слой проводящего ма- териала, который иногда может быть поврежден или отсутствовать. 5. Проверьте, правильно ли работают сами эле, менты (интегральные схемы, резисторы, конденсато- ры). Убедитесь, что конденсаторы, имеющие отметку полярности (особенно большие электролитические конденсаторы), установлены правильно. Покомпо- нентное тестирование схемы лучше всего проводить, отслеживая прохождение сигнала в схеме цифровым логическим пробником или другим устройством, пред- назначенным для этой цели. Если такого инструмента нет в наличии, схему можно тестировать заменой спорных компонентов на имеющиеся под рукой заве- домо исправные. >6. Еще раз проверьте, правильно ли соединены между еобой элементы схемы, поскольку неверная коммутация часто служит источником ошибок. На- пример, можно ошибочно вставить проводник а от- верстие 10 вместо отверстия 11. Вообще было бы не- плохо, если бы кто-либо другой имел возможность проверить вашу схему, используя красный карандаш и принципиальную схему. Макетирование часто используется при проекти- ровании новых схем. Преимущество этого процесса, который сводится к коммутации компонентов на па- нели, заключается в простоте внесения изменений в схему и возможности немедленного наблюдения 105
Рис. 4.11. Магазин для хранения установленных на нем компо- нентов, каждый из которых может вставляться и выниматься до тех пор, пока не износится наружный слой пластмассы (фото Мери Дункан из Oswego Learning Resources Center). Рис. 4.12. Способ хранения магазинов компонентов, каждый из которых пронумерован, чтобы их можно было легко отличить друг от друга (фото Мери-Дункан из Oswego Learning Resources Center). 106
Рис. 4.13. Лист бумаги, предназначенный для обозначения используемых компонентов; его можно приклеивать иа лицевую часть магазина. Отверстия проделываются самими компонентами при их установке на панель.
Рис. 4.14. Вид экспериментального стенда с источником питания. Слева изображен отсек для хранения запасных проводов (фото Мерн Дункан из Oswego Learning Resources Center). Рис. 4.15. Внутренний вид экспериментального стенда с источ- ником питания. Показано изображение источника питания и трансформатора (фото Мери Дункан нз Oswego Learning Resources Center). 108
Рис. 4.16. Общие размеры (в см) экспериментального стенда с источником питания (масштаб не соблюден). полученных результатов. После того как макетирова- ние устройства завершено, вычерчивается его принци- пиальная схема для дальнейшего изготовления,' Экспериментальный стенд В этом разделе представлен ориентировочный проект недорогого стенда для экспериментов, пред- назначенного для того, чтобы оказать помощь в вы- полнении упражнений, приведенных в следующих главах. Стенд состоит из двух частей: коммутацион- ной панели с источником питания1 и магазина .ком- понентов. Последний с установленными на него де- талями показан на рис. 4.Г1. Магазин выполнен из дерева, к которому приклеен кусок полистирола тол- щиной около 1 см. На пластмассу наложен лист бу- маги с условными обозначениями используемых эле- ментов, который в случае изнашивания может быть заменен, так же как и лист пластмассы, отверстия на котором станут слишком большими от длитель- ного употребления. На рис. 4,12 показан способ 109
Рис. 4.17. Изображение обо- ротной стороны печатной пла- ты источника питания в нату- ральную величину. Рис. 4.18. Изображение лице- вой стороны печатной платы источника питания в натураль- ную величину. расположения нескольких магазинов компонентов для хранения. Изображение лицевой панели приведено на рис. 4.13. Экспериментальный стенд с источником питания показан на рис. 4.14. Его вид с обратной стороны изображен на рис. 4.15, где можно увидеть печатную плату и трансформатор. Общие размеры этого устрой- ства показаны на рис. 4.16. В качестве разъема ис- пользуется устройство Proto-Board® 100. Изображе- ние обеих сторон печатной платы источника пита- ния в натуральную величину приведено на рис. 4.17 и 4.18. ПО
Компоненты Ниже приведен список деталей, необходимых для выполнения упражнений в последующих -главах. Ре- зисторы могут иметь мощность 0,5 Вт и допуск в 10%, а конденсаторы рассчитаны на напряжение 20 или 25 В (если не указано другое). Количество Описание 1 11 2 1 4 1 1 1 2 1 1 4 1 1 1 1 1 1 2 1 1 1 1 1 Потенциометр, 5 МОм Резистор, 330 Ом Резистор, 1 кОм Резистор, 1,5 кОм Резистор, 2,2 кОм Резистор, 10 кОм Резистор, 100 кОм Резистор, 220 кОм Резистор, 470 кОм Резистор, 1 МОм Резистор, 4,7 МОм Светодиод (красный) ДинамЪк сопротивлением 8 Ом (диаметр 50 мм) Электролитический конденсатор емкостью 1 мкФ Электролитический конденсатор емкостью 10 мкФ Дисковый конденсатор емкостью 0,1 мкФ 7-сегментный светодиод MAN-1 Переключатель двухполюсный двухперекидиой Таймер ИС 555 ИС 7400 (4 вентиля И-НЕ с 2 входами) ИС 7402 (4 вентиля ИЛИ-НЕ с 2 входами) ИС 7404 (6 инверторов) ИС 7432 (4 вентиля ИЛИ с двумя входами) ИС 7447 (преобразователь двоично-десятичного ко- да в 7-сегментное представление числа) 1 2 1 1 1 ИС 7474 (двойной триггер D-типа) ИС 7476 (двойной триггер JK-типа) ИС 7486 (4 вентиля ИСКЛЮЧАЮЩЕЕ ИЛИ) ИС 7490 (десятичный счетчик) ИС 74192 (реверсивный десятичный счетчик с предва- рительной установкой кода) Экспериментальный стенд с источником питания 1 Разъемное устройство Proto-Board® 100 1 Держатель предохранителя на 1 А 1 Соединительный шнур со штепсельным разъемом 111
Экспериментальный стенд с источником питания 1 Миниатюрный переключатель двухполюсный двухпе- рекидной 1 Понижающий трансформатор переменного тока со 120 В до 6 или 12 В, 1 А 1 Мостиковый выпрямитель, 1 А, 50 В пикового ин-< версного напряжения 1 Электролитический конденсатор емкостью 2000 мкФ, 25 В 1 1 5 вольтовый регулятор LM309K Резистор 220 Ом, 0,5 Вт Различные бруски дерева, провода, соединители, на< бор печатных плат, припой, аппаратура
Глава 5 УПРАЖНЕНИЯ С ВЕНТИЛЯМИ В связи с тем что логические вентили И, ИЛИ, И-НЕ, ИЛИ-HE и инверторы предназначены для реализации логических функций с помощью элек- тронных схем, они составляют основу устройств циф- ровой вычислительной техники. Ниже в этой главе будет показано, как реализовать любую из приве- денных логических функций на интегральных схемах трех типов. Для индикации выходного сигнала будет использоваться светодиод, выключенное состояние ко- торого будет представлять сигнал низкого уровня [(логический 0), включенное — сигнал высокого уровня ((логическая 1). Такое соответствие уровней сигналов логическим состояниям характерно для системы по- ложительной логики, которая будет применяться во всех упражнениях этой главы. Важно помнить, что со светодиодом нельзя рабо- тать без включенного последовательно с ним резисто- ра, играющего роль ограничителя тока, сопротивле- ние которого для тех случаев, когда напряжение пи- тания не превышает 5 В, может составлять 330 Ом. Если ограничивающий резистор не включить в схему, через светодиод потечет избыточный ток, который приведет к его выходу из строя. На рис. 5.1 представлена внутренняя структура и расположение выводов трех интегральных схем, ко- торые понадобятся при выполнении последующих упражнений. При сборке схем, когда придется соеди- нять интегральные схемы между собой, этот рисунок окажет большую помощь. При подключении инте- гральных схем к источнику питания необходимо вни- мательно следить за соблюдением полярности: отри- цательный полюс источника соединить с выводом 7, положительный — с выводом 14. ИЗ
Рис. 5.1. Внутренняя структура и расположение выводов инге тральных схем, используемых в гл. 5. 114
Упражнения в этой и последующих главах разра- ботаны с тем расчетом, чтобы дать возможность при- менить на практике те сведения по цифровой тех- нике, которые были приведены в первых четырех главах этой книги. Каждая серия упражнений завер- шается небольшим набором тестов, предназначенных для проверки понимания рассмотренных вопросов. Следует обратить внимание на то, что ответить на все вопросы и проанализировать результаты не менее важно, чем выполнить упражнения. Неудачные отве- ты на вопросы будут означать, что теорию необхо- димо повторить, после чего выполнить упражнения еще раз. Напомним, что вопросы теории логических функций и схем, используемых в упражнениях, рас- смотрены в гл. 2 и 3. Для проверки правильности от- ветов на вопросы в конце книги приведены ответы. Упражнения с логическими вентилями После выполнения этих упражнений вы должны уметь: 1. Различать символы, принятые для обозначения схем цифровой логики, таких как И, ИЛИ, И-НЕ, ИЛИ-НЕ и инверторы 2. Применять распространенные логические венти- ли на интегральных схемах для реализации логиче- ских функций типа И, И-НЕ, ИЛИ, ИЛИ-НЕ и ин- версии, которые заданы своими таблицами истинно- сти. 3. Проектировать комбинационные вентильные схемы, реализующие логические функции нескольких различных типов, которые заданы в стандартном виде. 4. Понимать и иметь возможность реализовывать функцию ИЛИ на вентилях И-НЕ и функцию И на вентилях ИЛИ-НЕ. Необходимые элементы Четыре вентиля И-НЕ (ИС 7400); четыре вентиля ИЛИ-НЕ (ИС 7402); шесть инверторов (ИС 7404); светодиод; 115
резистор 330 Ом; стенд для эксперимента и источник питания (напря- жением 5 В). Соберите схему, представленную на рис. 5.2, с ис- пользованием одного вентиля и ИС 7400. Проверьте, Рис. 5.2 чтобы положительный полюс источника питания был подсоединен к выводу 14, а отрицательный — к вы воду 7. Используя проводники от источника питания, подавайте в точки А и В сигна- лы в соответствии с таблицей истинности, приведенной на рис. 5.3, имея в виду, что положитель- ный потенциал представляет ло- гическую 1, а отрицательный (нулевой) — логический 0. Вклю- ченное состояние светодиода бу- дет означать наличие на выходе С схемы логической 1, выклю- ченное— логического 0. 1. Заполните столбец С на А В с 0 0 1 1 0 1 0 0 Рис, 5.3 рис. 5.3 нулями или единицами в соответствии с ре- зультатами работы с вентилями. 2. Напишите корректное логическое выражение для таблицы истинности на рис. 5.3. С = _____________(инверсия А записывается А). 3. Как называется эта операция? .----------, Не разбирая предыдущую схему, соберите новую, как показано на рис. 5.4. 4. Заполните таблицу истинности на рис. 5.5, по- давая сигналы с различными логическими уровнями на входы и фиксируя уровни сигнала в точках С и D, 116
5. Напишите логическое выражение для таблицы Истинности на рис. 5.5: С = .______________________, D==________________________ 6. Как называется функция в точке D?_____ Обсуждение результатов Обратите внимание, что, хотя схема построена на вентилях И-НЕ, в результате получается функция И. Действительно, выходной сигнал вентиля И-НЕ ин- вертируется, «НЕ» отбрасывается, и в итоге выходной сигнал в точке D схемы на рис. 5.4 представляет собой функцию логического И. Инвертирование было реализовано путем объединения входов второго вен- тиля И-НЕ. Продемонстрированную в этом упраж- нении функцию можно изобразить одним из трех способов, показанных на рис. 5.6, которые эквива- лентны между собой. 117
Соберите схемы, показанные на рис. 5.7 и 5.9. Убе- дитесь в том, что источник питания подключен к ис- пользуемым ИС правильно. Рис 5 9 Рис. 5 10 7. Заполните таблицы истинности (рис. 5.8, 5.10) для каждой из упомянутых схем. Как видно из таблицы истинности на рис. 5.8, в качестве инвертора также может быть использован вентиль ИЛИ-НЕ. Действительно, функция, пред- ставленная таблицей истинности на рис. 5.10 и соот- ветствующая инвертору на ИС 7404, эквивалентна логической функции, выполняемой вентилем ИЛИ-НЕ из ИС 7402. При проектировании цифровых устройств на интегральных схемах принято в случае необходи- мости использовать свободные вентили И-НЕ или ИЛИ-НЕ в качестве инверторов. Такая необходимость возникает, когда требующееся проектировщику коли- чество инверторов превышает имеющееся на инте- гральной схеме. В одном корпусе ИС 7404 содер- 118
жится б инверторов, поэтому она широко исполь- зуется в цифровых устройствах. Соберите схему, показанную на рис. 5.11, в котО’ рой используются вентили ИЛИ-HE ИС 7402. 8. Заполните таблицу истин- ности на рис. 5.12, подавая сиг- налы различного уровня на вхо- ды вентиля и записывая состоя- ние выходного сигнала. 9. Напишите логическое выра- жение для таблицы истинности на рис. 5.12: _ С — или С = 10. Как называется логиче- ская функция, представленная таблицей истинности на рис. 5.12? 119
Соберите схему, показан- ную на рис. 5.13. Подавая различные логические сиг- налы на выходы, заполните таблицу истинности на рис. 5.14. 11. Напишите логическое выражение для выхода D в таблице на рис. 5.14: D =____________________ 12. Что представляет собой функция в точке D схемы на рис. 5.14? Обсуждение результатов Как видно из только что выполненного упражне- ния, при инвертировании выходного сигнала вентиля ИЛИ-НЕ в результате получается функция, эквива- лентная выполняемой вентилем ИЛИ. В' данном слу- чае вентиль ИЛИ-НЕ играет роль инвертора, полу- ченного объединением двух входов. Этот способ ана- логичен примененному в упражнении с вентилем И-НЕ, который показан на рис. 5.5. Полученная ло- гическая функция может быть изображена с помощью одной из трех эквивалентных схем, приведенных на рис. 5.15. 120
Соберите схему, показанную на рис. 5.16. 13. Заполните таблицу истинности на рис. 5.17t Рис. 5.17 14. Какое логическое выражение соответствует функции, представленной на рис. 5.17? С —-------. 15. Чему равна функция в точке С? ----------. Соберите схему, как показано на рис. 5.18. 16. Заполните таблицу истинности на рис. 5.19. Рис. 5.19 121
17. Что представляет собой логическое выражение для функции на рис. 5.19: С —------------------- 18. Чему равна функция в точке С? ---------- Обсуждение результатов Как видно из рис. 5.17 и 5.19, функции И и ИЛИ могут быть получены инвертированием входных сиг- налов определенных вентилей. Так, на рис. 5.20 по- казан способ реализации функции ИЛИ на вентилях И-НЕ. Очевидно, что при инвертировании сигналов на входах вентиля И-НЕ в результате на выходе полу- чится функция ИЛИ. Аналогичный способ реализа- ции функции И с помощью вентилей ИЛИ-HE при- веден на рис. 5.21. И снова видно, что инвертирование входов вентиля ИЛИ-HE дает на выходе функцию И. Хотя функции И и ИЛИ часто встречаются при опи- сании функционирования управляющих устройств, не- 122
посредственно реализующие их вентили И и ИЛИ не получили широкого распространения в связи с тем, что инверсные по отношению к ним вентили И-НЕ и ИЛИ-НЕ проще в реализации и удобнее в работе. По- скольку не важно, каким именно способом получать необходимую логическую функцию, часто на практике оказывается полезным реализовать функции И и ИЛИ с помощью вентилей И-НЕ и ИЛИ-НЕ. Соберите схемы, изображенные на рис. 5.22 и 5.24. 19. Заполните таблицы истинности на рис. 5.23 и 5.25. 20. Напишите логическое выражение для таблицы истинности на рис. 5.23; D —------------------------ Е =_____________________ 123
Рис 5 25 21. Напишите логическое выражение для таблицы истинности на рис. 5.25: D =--------------------- 22. Для каждого из приведенных ниже выраже- ний спроектируйте и нарисуйте реализующую его ло- гическую схему, используя для этого вентили И-НЕ, ИЛИ-НЕ и инверторы. а) А + ВС — D; б) АВ + CD = Е; в) АВ + С = D. Тесты Выберите ответ, кажущийся вам наиболее пр а* вильным среди перечисленных, обведите стоящую пе- ред ними букву кружком. 1. Символ, используемый для обозначения логиче- ской функции, выполняемой вентилем И, выглядит следующим образом; 124
2. Какой ответ представляет корректное булево выражение для этой схемы и таблицы истинности? А. А-В =0 В. А + В а С С. А + В = С D. А-В = С Е. А + В — С 3. Какая из приведенных схем не является инвер- тором: 4. Инвертирование входов вентиля И-НЕ изменяет его функцию на функцию: а) вентиля И, 125
б) вентиля ИЛИ, в) проинвертированного вентиля И, г) вентиля ИЛИ-НЕ, д) вентиля ИСКЛЮЧАЮЩЕЕ ИЛИ. 5. Инвертирование входов вентиля ИЛИ-НЕ из- меняет его функцию на функцию: а) вентиля И, б) вентиля ИЛИ, в) вентиля ИЛИ-НЕ, а) проинвертированного вентиля И, д) вентиля ИСКЛЮЧАЮЩЕЕ ИЛИ. 6. Какое из перечисленных ниже выражений яв- ляется правильным представлением следующего вы- ражения: НЕ А, ИЛИ НЕ В, И С РАВНО D? а) АВ + С = D, б) А + В + С= D, в) АВС = D, а) А + ВС == D, д) А = ВС = D. 7. Какой из приведенных символов используется для обозначения вентиля ИЛИ-НЕ? 8. Нарисуйте схему, реализующую следующее ло- гическое выражение: А + ВС — D. Используйте лишь вентили ИЛИ-НЕ или И-НЕ и обозначьте все входы и выходы. 126
9. Заполните таблицу истинности для следующего логического вентиля: А В С 0 0 1 1 0 1 0 1 10. Заполните таблицу истинности для следующего логического вентиля: А В
Глава 6 ТРИГГЕР Относясь к одному из основных элементов вычис- ли1ельной техники, триггер находит в ней самые раз- нообразные применения. Триггеры часто используются при построении устройств, предназначенных для счета цифровых сигналов. Когда необходимо выделить се- рию цифровых импульсов из их неограниченной по- следовательности, вырабатываемой, например, генера- тором, триггеры могут применяться в качестве устройств, определяющих начальный и конечный им- пульс серии. В схемах с цифровыми ключами триг- геры могут служить для подавления дребезга элек- трического сигнала, возникающего при замыкании и размыкании ключей. В устройствах индикации выход- ной информации триггеры играют роль «защелок», которые хранят последние поступившие на них при считывании цифровые сигналы. Одним из основных типов триггеров является RS-триггер, схема которого представлена на рис. 6.1, Как видно из рисунка, триггер состоит из двух вентилей И-НЕ, обозначенных цифрами 1 и 2, кото- рые охвачены взаимной обратной связью. Предполо* 128
жим,_что в данный момент времени вентиль 2 тригге- ра (Q) имеет на выходе сигнал низкого уровня (0).- Поскольку этот сигнал поступает на один из входов вентиля 1, этот вентиль независимо от того, какой сигнал находится на втором его входе, дает на вы- ходе сигнал высокого уровня (логическую 1). Если на вход R вентиля 2 подать сигнал низкого уровня, на его выходе появится сигнал высокого уровня, который установит вентиль 1 в состояние логического 0 Оче- видно, что являясь противоположными по своему ло- гическому уровню, сигналы на двух выходах триггера не могут находиться в одинаковых логических состоя- ниях одновременно. Эту схему можно назвать моно- стабильной, так как она сохраняет состояние, в ко- торое была установлена, до тех пор, пока изменения соответствующих сигналов на входах R и S не заста- вят ее перейти в другое. Особенностью этой схемы, которая может приве- сти к неприятным последствиям, является то, что если сигналы на входах S (установка) и R (сброс) имеют один и тот же уровень2), состояние триггера является непредсказуемым. Триггер D-типа имеет по сравнению с RS-триггером то преимущество, что он может быть «синхронизирован» таким образом, что изменение его состояния станет возможным лишь при подаче им- пульса от генератора на вход синхронизации. В интегральной схеме 7474, внутренняя структура и расположение выводов которой показаны на рис. 6.2, содержатся два D-триггера, управляемых по перед- нему фронту сигнала синхронизации3). При изменении сигнала синхронизации, поступаю- щего на вход CLK триггера, из состояния низкого в состояние высокого уровня, сигнал со входа D пере- дается на его выход. Сигнал низкого уровня на входе R (reset — сброс) устанавливает выход Q в состояние низкого уровня, Q — высокого, а сигнал низкого уров- ” При наличии на другом входе вентиля 1 сигнала высоко- го уровня. — Прим. ред. v Имеется в виду высокий уровень; при наличии сигналов низкого уровня триггер сохраняет свое состояние. — Прим. ред. 3> Триггеры, управляемые передним или задним фронтом сигнала синхронизации, в отечественной литературе называют триггерами с динамическим управлением записою. — Прим. редв 5 Зак. 692 129
Рис. 6.2 ня на входе S (set — установка) переводит триггер в единичное состояние (Q = 1, Q = 0). Установочными входами пользуются в тех случаях, когда необходимо одновременно установить или сбросить несколько со- единенных между собой триггеров. Следует помнить, что сигналы на входах S и R не должны быть низ- кого уровня одновременно, так как в этом случае триггер будет неуправляемым. Рассмотренный триг- гер D-типа может изменить свое состояние только при переходе сигнала синхронизации (в данном случае из низкого уровня в высокий), поэтому его называют триггером, управляемым передним фронтом сигнала синхронизации. JK-триггер JK-триггер представляет собой другой тип син- хронного триггера. Внутренняя структура и располо- жение выводов интегральной схемы 7476, содержащей два JK-триггера, представлены на рис, 6.3.. 130
Рис. 6.3 Условия перехода этого триггера в то или иное состояние (Q и Q) при подаче сигнала синхронизации определяются уровнями логических сигналов на вхо- дах J и К. Если оба сигнала на этих входах имеют низкий уровень, поступающий сигнал синхронизации не окажет влияния на состояние выходов Q и Q и триггер останется в том же логическом состоянии, какое он имел до прихода сигнала синхронизации. Отсюда очевиден простой способ поддерживания триггера в необходимом состоянии. Если же на вхо- ды J и К подан сигнал высокого уровня, каждый сиг- нал синхронизации будет переводить триггер в про- тивоположное состояние. В результате сигнал на выходе будет периодическим с частотой, в два раза меньшей частоты сигнала синхронизации. Если J = 1 и К —0, сигнал синхронизации уста- новит триггер в состояние Q = 1 и Q = 0. Если J =0 и К = 1, сигнал синхронизации переведет триг- гер в состояние Q =0, Q == 1. Аналогично D-триггеру у JK-триггера, когда сиг- нал низкого уровня имеет на входе «сброс» или «уста- 5* 131
ловка», происходит соответственно сброс (Q = О, Q = 1) или установка (Q = 1, Q=0), причем нали- чие двух сигналов логического нуля одновременно на обоих установочных входах является запрещенной комбинацией. Рассмотренные интегральные схемы 7474 и 7476 могут работать на частотах до 20 Мгц, Упражнения с триггерами В результате выполнения упражнений этой главы вы должны научиться: 1) объяснять принцип работы D- и JK-триггеров на интегральных схемах; 2) проектировать и налаживать различные схемы на триггерах, заданные таблице переходов; 3) проектировать и налаживать ключ без дребез- га на основе RS-триггера; 4) собирать простой счетчик на основе JK-тригге- ра (схему деления частоты входного сигнала на 2). Требуемые элементы Четыре вентиля И-НЕ (ИС 7400); два D-триггера (ИС 7474); два JK-триггера (ИС 7476); светодиод (4); таймер 555 (1); резистор 1 МОм (1); резистор 470 кОм (1); конденсатор емкостью 1 мкФ; стенд и источник питания 5 В постоянного тока. ИС 7400 На основе ИС 7400, содержащей 4 вентиля И-НЕ, соберите схему, которая изображена на рис. 6.4. Вы- воды «+» ц «—» должны быть подключены к соот- ветствующим клеммам источника питания. Если вы забыли порядок расположения выводов интегральной схемы, обратитесь к рис. 5.1. 1. Заземлите (подключите к клемме «—» источ- ника питания) точку А. Каким будет состояние све- 132
Рис. 6 4 тодиодов? Q=-------------------, Q =------------------ 2. Отсоедините «землю» от точки А и подсоедините ее к точке В. Запишите состояние светодиодов: Q —------------------ Q —------------------ Обсуждение результатов Отсоедините светодиод от выхода Q. Наблюдая за состоянием другого светодиода и зная, что два вы- хода триггера инверсны друг другу, можно легко определить состояние триггера. Если заземлить точ- ку А (скажем, нажатием кнопки), выход Q будет «включен» (в состоянии высокого уровня), если же заземлить точку В, выход Q «выключится» (перей- дет в состояние низкого уровня). Поскольку переклю- чение сигнала из высокого уровня в низкий и наобо- рот происходит очень быстро, эту схему целесооб- разно использовать в качестве ключа, который в от- личие от механического не дает при переключении дребезга, вызывающего нежелательные явления в электронных схемах. 133
ИС 7474 Соберите схему на основе ИС 7474, содержащей один триггер, как показано на рис. 6 5. Чтобы не ошибиться в подключении выводов, вернитесь к рис. 6.2. Не забудьте подключить питание. 3. Заземлите вход СБРОС. Запишите состояние светодиодов: Q=--------------------, Q =------------------- 4. Отсоедините землю от входа СБРОС и зазем- лите вход УСТАНОВКА. Запишите состояние свето- диодов: Q=-----------------, Q =---------------- Отключите от земли вход УСТАНОВКА и зазем- лите вход D. Заземлением входа УСТАНОВКА пере- ведите триггер в состояние Q — 1, Q — 0. (Это со- стояние назовем «предыдущим состоянием».) Зазем- лите вход СИНХРОНИЗАЦИЯ. При разрыве этой связи сигнал синхронизации будет переходить в со- 134
стояние высокого уровня. В качестве источника син- хроимпульсов можно также использовать ключ без дребезга. 5. Запишите состояние светодиода: Предыдущее состояние Последующее состояние Q = l, Q ==----------- Q = 0, Q =------------ Подайте в точку D сигнал высокого уровня (1)4 после чего заземлите вход СБРОС. Таким образом будет установлено «предыдущее состояние». 6. Осуществите на входе СИНХРОНИЗАЦИЯ пе- реход сигнала в состояние высокого уровня (1) и за- пишите состояние светодиода: Предыдущее состояние Последующее состояние Q = 0, Q =------------ Q = l, Q =------------ Обсуждение результатов Как должно было показать последнее упражнение, заземление входа УСТАНОВКА переводит триггер в состояние Q — 1, Q = 0, а заземление входа СБРОС — в состояние Q — О, Q = 1. Из него также видно, что сигнал со входа D передается на выход Q при переходе сигнала синхронизации из состояния низкого уровня в состояние высокого уровня, кото- рый носит название «управление по переднему фрон- ту». Схема 555 Закоммутируйте таймер 555 и ИС 7474 по схеме, приведенной на рис. 6.6. Схема 555 будет играть роль генератора импульсов синхронизации прямоугольной формы, поступающих на ИС 7474 с частотой 1 Гц, После включения питания наблюдайте за тремя све- тодиодами. 7. Отсчитайте в точке А десять импульсов и по- смотрите, сколько раз сигнал изменит свое состояние на выходах Q и Q. Заполните столбцы Q и Q на рис. 6.7 в соответствии с полученными результатами. 135
Рис. 6.6 Импу/Ц>сы А Q О 10 Рис. 6.7 8. Совпадает ли частота импульсов в точке А с частотой импульсов в точках Q и Q? Обсуждение результатов Схема, представленная на рис. 6.6, при поступле- нии очередного сигнала синхронизации изменяет свое состояние на противоположное. При наличии несколь- ких сигналов синхронизации с помощью комбинаци- онной схемы можно выбрать передний фронт одного из них, необходимый для переключения. Поскольку выходной сигнал D-триггера отслеживает входной схема фактически играет роль повторителя. При по- ступлении одиночного положительного импульса на вход синхронизации D-триггер принимает («защелки- о При наличии сигнала высокого уровня на входе синхро- низации. — Прим. ред._ 136
васт») сигнал, находящийся в данный момент на его входе D. Если это, скажем, сигнал высокого уровня, то его переход в состояние низкого уровня не изменит состояния D-триггера до прихода следующего им- пульса синхронизации. Эта особенность D-триггера позволяет ему реализовать функцию хранения состоя- ний логического сигнала, зафиксированных в отдель- ные моменты времени. Чтобы исследовать процесс прохождения сигнала в цифровых схемах более по- дробно, рассмотрим следующее упражнение. Каскадное соединение триггеров Соберите схему на основе ИС 7474, как показано на рис. 6.8. Для этого необходимо подключить 330-омный резистор и светодиод к выводу 9 и соеди- нить выводы 5 и 11, 12 и 8, 2 и 6. В этой схеме один триггер служит для управления другим. Чтобы из- бежать ошибок в коммутации, следует обратиться к схеме расположения ИС (рис. 6.2). Подавайте на вход синхронизации последовательно по одному импульсу, что позволит наблюдать изме- нения сигналов на выходах. Это можно делать замы- канием и размыканием проводника, идущего к выво- ду 6 схемы 555. Установите систему в такое состоя- ние, чтобы сигналы в точках А, В и С равнялись 1 (высокий уровень). Исследуйте работу схемы в ре- жиме пересчета, записывая изменения состояний вы- ходных сигналов. Рис. 6.8 137
Рис. 6.9 9. Запишите изменения логических состояний в таблицу на рис. 6.9. 10. Проходит ли сигнал из точки А в точку В? 11. Проходит ли сигнал из точки В в точку С? Внимательно изучите записи, сделанные вами в таблицу рис. 6.9. 12. Какая связь существует между частотой в точке А и частотой в точке С? (А =---------------), (С =---------------)• Обсуждение результатов При изучении данных, собранных при эксперимен- тальном исследовании схемы на рис. 6.8, можно уви- деть, что сигнал передается из точки А в точку В и из точки В в точку С. Отсюда можно сделать вывод, что триггеры включены последовательно и передача информации, поступающей в точку D, происходит при каждом изменении сигнала синхронизации из состоя- ния низкого уровня ,в состояние высокого уровня. Триггеры в схеме также могут управляться раздельно, каждый своим собственным сигналом синхронизации, в результате чего будет обеспечена независимость их работы друг от друга. После включения питания схемы производите раз- мыкание проводника, идущего на D-вход, поперемен- 138
но у каждого триггера. Тот триггер, у которого со входа D удален сигнал высокого уровня, будет хра- нить то состояние, в которое он был установлен по- следним сигналом синхронизации, а другой при этом будет продолжать работу в режиме переключения^ Отсюда становится понятным, как применять триг- геры для сохранения («защелкивания») информации, о котором упоминалось ранее. «Защелка», как пра- вило, включает в себя 4 триггера, заключенные в од- ном корпусе, которые служат для отслеживания и по мере надобности запоминания четырехразрядного па- раллельного цифрового кода с целью его последую- щей индикации в десятичном виде. Например, исполь- зование «защелки» в цифровых часах позволяет циф- ровому счетчику продолжать работу, пока защелка хранит только что выведенное на экран время. ИС 7476 Соберите схему JK-триггера на основе ИС 7476, как показано на рис. 6.10. В качестве источника сиг- налов синхронизации с частотой 1 Гц используйте 139
ранее закоммутированный таймер 555. Обратите вни- мание на то, что расположение выводов питания у этой схемы отличается от рассмотренных ранее ИС. Включите питание и наблюдайте за светодиодом. А В Игипу/усы 10 Рис. 611 13. Определите частоту работы схемы на рис. 6.11 путем подсчета числа импульсов в точке В1, приходя- щихся на 10 импульсов в точке А. 14. Каково соотношение сигналов в точках А и В? А = В. 15. Какой функции соответствует передача инфор- мации из точки А в точку В на рис. 6.11? Обсуждение результатов Изменение сигнала на выходе JK-триггера может произойти лишь при изменении сигналов на входах J и К. При наличии на входе J сигнала высокого уров- ня, а на входе К сигнала низкого уровня импульс синхронизации переводит триггер в состояние логи- ческой 1 (Q — 1, Q = 0). Если же сигналы на входах J и К поменять местами, то после поступления сиг- нала синхронизации триггер перейдет в состояние ло- гического нуля (Q =0, Q = 1). Все сказанное хорошо иллюстрируется экспериментами с JK-триггером. Уста- новка или сброс триггера возможны лишь при зазем- лении (подаче сигнала низкого уровня) соответствую- щего входа S или R, при этом на другом установоч- ном входе обязательно должен быть сигнал высокого уровня. Схема, показанная на рис. 6.10, фактически представляет собой схему деления частоты на 2, по- скольку частота выходного сигнала в точке В состав- ляет половину частоты входного сигнала синхрониза- ции. Это свойство триггеров позволяет осуществлять эффективную реализацию счетчиков и устройств деле- ния на их основе, Так, поскольку в одном корпусе 140
ИС 7476 находится два JK-триггера, с их помощью можно реализовать устройство деления на 4 путем коммутации выхода одного триггера на вход другого. Гибкость и адаптируемость JK-триггера делают его весьма удобным при проектировании устройств управления и передачи информации. Тесты Среди перечисленных выберите ответ, который ка- жется вам наиболее правильным. 1. Выходы Q и Q обычного триггера являются: а) дополнениями, б) парными, в) асимметричными, г) противоположными, д) астабильными. 2. JK-триггер реагирует на: а) один сигнал синхронизации, б) сигнал синхронизации и состояния входов J и К, в) уровень логического сигнала на входе D, г) только на сигнал на входе J, <9 ) продолжительность сигнала синхронизации. 3. Какой из следующих триггеров обычно исполь- зуется в качестве «защелки»? а) JK-триггер, б) RS-триггер, в) FF-триггер, г) СР-триггер, д) D-триггер. 4. Триггер лежит в основе построения схем а) регистров, б) синхронизации, в) графов, г) датчиков, <9) счетчиков. 5. Чтобы получить устройство деления на 10, сколько триггеров необходимо соединить последова- тельно (выберите наименьшее достаточное число)? » На этот вопрос имеется два правильных ответа. — Прим, ред. 141
a) 2 (один делит на 5, другой —на 2), б) 5 (каждый делит на 2), в) 10 (каждый делит на 5), г) 20 (каждый делит на 2), д) 50 (каждый делит на различные числа). 6. Если подать на вход А схемы на рис. 6.12 сиг- нал низкого уровня, выходы Q и Q будут находиться в состояниях: a) Q = 0, Q = 1; б) Q = 0, Q = 0; в) Q = 1, Q —1; г) Q = 1, Q = 0; д) неопределенном. 7. Если на вход В схемы на рис 6.12 подать сиг- нал низкого уровня, выходы Q и Q перейдут в со- стояния: _ a) Q = 1, Q —0; б) Q = 0, Q = Г, в) Q = 1, Q = l; г) Q = 0, Q = 0; д) неопределенное. 8. Если десять триггеров соединены последова- тельно и вы желаете сбросить их в состояние Q = 0, Q = 1, каким способом лучше всего воспользоваться: а) установить все триггеры с помощью сигнала синхронизации, б) подать сигнал на вход УСТАНОВКА одновре- менно всех триггеров, 142
в) выключить на мгновение питание, г) повысить напряжение питания, пока не уста- новятся нужные состояния, д) подать сигнал на вход СБРОС всех триггеров одновременно. 9. В JK-триггере (рис. 6.13) входы J и К нахо- дятся в состоянии низкого уровня (0). Выберите фор- Рис. 6 13 му выходного сигнала, правильно иллюстрирующую работу ^триггера (предыдущее состояние триггера Q = 0, Q = l). 10. Если подать на входы J и К того же триггера сигнал высокого уровня, выход Q будет: а) сигналом с частотой, в Два раза меньшей ча- стоты сигнала синхронизации, б) высоким (1), в) низким (0), г) повторять входной сигнал удвоенной частоты, д) повторять входной сигнал,
Глава 7 ЦИФРОВЫЕ СЧЕТЧИКИ И ИНДИКАТОРЫ Цифровой счет играет важную роль в цифровой электронике и находит широкое применение в элек- тронных вычислительных машинах, счетчиках часто- ты и других устройствах. Как правило, цифровые счетчики подразделяются на два типа: синхронные, в которых изменение состояния происходит после про- хождения импульса синхронизации, и асинхронные, которые непосредственно реагируют на изменения входных сигналов. Асинхронные счетчики иногда на- зывают счетчиками с прямым управлением. В этой главе будут рассматриваться счетчики только этого типа. Синхронизируемые или синхронные счетчики применяют в тех случаях, когда необходимо осу- ществлять частое стробирование сигнала, как, напри- мер, в аналого-цифровых преобразователях. Цифровые счетчики оперируют с информацией, представленной в двоичном виде (в частности, в двоично-десятичном коде). Для вывода данных на экран индикатора в десятичном виде необходимо предусмотреть возможность преобразования чисел из одной системы в другую. Это преобразование обычно осуществляют при помощи дешифратора, выходные сигналы с которого поступают на семисегментный ин- дикатор, построенный на светодиодах, Упражнения со счетчиком В результате выполнения упражнений этой главы вы должны научиться: 1) работать с интегральной схемой десятичного счетчика 7490; 2) объяснять принцип двоичного счета и выпол- нять операции с числами в двоично-десятичном коде? 144
3} преобразовывать числа из двоично-десятич- ного кода в десятичный и пользоваться ИС 7447 преобразователя двоично-десятичного кода в семи- сегментное представление числа; 4) пользоваться реверсивным счетчиком типа 74192 с предварительной установкой кода. Необходимые элементы Десятичный счетчик (ИС 7490); преобразователь двоично-десятичного кода в 7-сег- ментное представление числа (ИС 7447); резистор 330 Ом (11); 7-сегментный индикатор с общим анодом MAN-1 (1); реверсивный счетчик с предварительной установкой кода (ИС 74192); четыре вентиля И-НЕ (ИС 7400); рычажный или кнопочный переключатель (1); резистор 2,2 кОм (2); стенд и источник питания 5 В; светодиод (4). ИС 7490 Соберите схему десятичного счетчика (т. е. та- кого, который считает до 10) на основе ИС 7490 в соответствии с рис. 7.1. Для управления счетчиком по входу синхронизации в этой схеме используется ключ, реализованный на вентиле И-НЕ ИС 7400. Индикация выходных (двоично-десятичных) сигна- лов счетчика производится с помощью светодиодов. После включения питания схемы на рис. 7.1 све- тодиоды будут показывать произвольное число — это будет означать наличие в счетчике случайного кода. В нулевое состояние счетчик может быть установлен (светодиоды могут быть выключены) с помощью кратковременного размыкания соединения выводов 2 и 3 ИС 7490 с землей. Установите ключ в положение «0» О. » Здесь и в дальнейшем, если не оговорено противное, под клипом будет подразумеваться ключ без дребезга. — Прим. ред. 145
Рис. 7.1. Схема десятичного счетчика С выходными сигналами в двоично-десятичном коде. 1. Исследуйте работу счетчика в режиме счета, устанавливая ключ попеременно в состояния 1 и О,. Запишите состояния светодиодов в таблицу на рис. 7.2, учитывая, что включенное состояние све- тодиода соответствует логической 1, выключен- ное — 0. 2. Объясните, что вы наблюдаете при переходе счетчика из состояния 10 в последующее состояние, 146
Обсуждение результатов Это упражнение должно было показать, что схе- ма, собранная на основе ИС 7490, работает как счет- чик, который считает в двоично-десятичном коде до 10, а затем возвращается в первоначальное (ну- левое) состояние. Выходной код счетчика может считываться в двоично-десятичном виде или подвер- гаться дальнейшему преобразованию к виду, необ- ходимому для поступления в другие цифровые уст- ройства. Иногда в счетчике предусматривают допол- нительный выход, на котором в процессе счета при достижении числа 10 появляется одиночный импульс. Этот выход может быть реализован с помощью де- шифратора на вентилях, на входы которого посту- пает двоично-десятичный код счетчика. ИС 7447 и MAN -1 Отключите светодиоды от ИС 7490 и соедините ИС 7447 и MAN-1, как показано на рис. 7.3. Будьте внимательны и не допускайте короткого замыкания в точках подключения 330-омных резисторов. Заком- мутируйте ИС 7490 и ИС 7447 таким образом, чтобы 147
+ о- MAN-1 Двоично-десятииный выход со схемы 7490 Рис. 7.3. Схема на основе дешифратора-драйвера 7447 и свето- диодного индикатора MAN-1. двоично-десятичный код с выходов схемы 7490 по- ступал на входы схемы 7447. После завершения сборки схемы на рис. 7.3 уста- новите ее в нуль путем кратковременного размыка- ния контакта выводов 2 и 3 схемы 7490 с землей 148
в результате чего индикатор должен установиться в «О». Исследуйте работу схемы 7490 в режиме счета, осуществляя, как и в предыдущем примере, переклю- чение ключа и наблюдая за состоянием индикатора MAN-1. Повторяйте эту процедуру на протяжении нескольких циклов счета, пока принцип работы счет- чика не станет вам ясен окончательно. Обсуждение результатов Двоично-десятичный выходной код ИС 7490 пре- образуется с помощью ИС 7447 в семисегментное представление, являющееся системой 7 логических функций, управляющих сегментами индикатора MAN-1. В результате включения определенной ком- бинации сегментов на экране индикатора получают изображения цифр 0—9. Индикатор этого типа очень удобен благодаря быстроте появления изображения, но имеет тот недостаток, что с его помощью нельзя показывать буквы. Добавление устройства памяти, или защелки, между ИС 7490 и ИС 7447 позволяет совместить процесс изображения времени на экране индикатора с продолжением счета ИС 7490. Этот способ используется в устройствах типа цифровых часов или счетчиков большого числа событий. ИС 74192 Замените ИС 7490 на ИС 74192 реверсивного счетчика с предварительной установкой кода. Обра- тите внимание, что расположение выводов у них различно и в отличие от ИС 7490, имеющей 14 вы- водов, число выводов ИС 74192 равно 16. При коммутации воспользуйтесь схемой, показанной на рис. 7.4. Осуществите последовательную установку кодов, приведенных на рис. 7.5, на входы Ц, L2, L4, Lg ИС 74192. Для этого каждый раз после задания на входах нужного кода необходимо на мгновение под- ключить вывод 11 (вход подключения нагрузки) к земле. Исследуйте работу счетчика в режиме счета, следя за тем, чтобы после завершения очередного шага счетчик находился в состоянии, отличном от 149
Установка двоично- десятичного кода Рис. 7.4. Схема коммутации реверсивного счетчика типа 74192 с предварительной установкой кода. 150
того, которое требуется установить на следующем шаге. 3. Заполните правый столбец на рис. 7.5. Отсо- едините вывод 16 (+) от вывода 4 и подключите его к выводу 5, а также закоммутируйте вывод 4 на вход ИС 7400. Повторите п. 2, осуществляя последо- вательную загрузку двоично-десятичных чисел в счетчик и исследуя работу схемы в режиме счета. 4. Происходит ли возрастание счета? 5. Как называется такой режим счета?---------- Обсуждение результатов Счетчик на ИС 74192 имеет ряд отличительных особенностей, делающих его удобным для примене- ния. Его относят к программируемым устройствам, поскольку он обладает способностью к предвари- тельной загрузке в него определенного числа перед началом счета. Установка кода в счетчик может про- изводиться либо вручную, как в этом упражнении, либо с помощью переключателей двоично-десятич- ного кода. Поскольку этот счетчик может работать в режиме прямого и обратного счета, его удобно применять в тех случаях, когда требуется частое «стробирование» изменяющейся частоты периодиче- ского сигнала. Частота стробирующих импульсов вы- бирается равной необходимой частоте периодиче- ского сигнала. При равенстве частот результаты стробирования на каждом периоде (Т = 1/f) одина- ковы, а при изменении частоты периодического сиг- нала они начинают отличаться друг от друга. Таким образом, различие результатов стробирования на двух соседних периодах свидетельствует об измене- нии частоты контролируемого периодического сиг- нала. По тому, на какой участок (возрастания или убывания) периодического сигнала приходится стро- бирующий импульс, возрастают или убывают резуль- таты стробирования, можно определить, уменьши- лась или увеличилась частота периодического сигнала. Следует заметить, что для реализации этого способа наряду со счетчиками требуется значительный объем дополнительного оборудования. Вывод 12 представля- ет собой выход переноса, позволяющий осуществлять каскадное (последовательное), соединение нескольких 151
счетчиков. Вывод 13 предназначен для приема сиг- нала заема при работе счетчика в режиме обратного счета. Подачей импульса высокого уровня (1) на вывод 14, который при работе счетчика в режиме пересчета должен иметь на входе сигнал низкого уровня, производится сброс счетчика в состояние «О». Тесты Выберите правильный ответ среди перечисленных, Обведите соответствующую букву кружком. 1. В устройствах цифрового счета до 10 наиболее часто используется следующий двоичный код: а) шестнадцатеричный, б) код Грея, в) двоично-десятичный, г) код с остатком 3, д) восьмидесятеричный. 2. Сигнал синхронизации, поступающий в счет- чик, построенный на ИС ТТЛ, должен быть очищеи от дребезга. Для этой цели используется специаль- ный ключ. Устранение дребезга необходимо для того, чтобы а) поддерживать стабильный уровень напря- жения, б) устранить возможность подсчета избыточных импульсов, возникающих при включении и выклю- чении, б) сбросить триггеры счетчика, г) предотвратить случайный обрыв серии им- пульсов, д) предотвратить нагревание схемы в процессе работы. 3. Числа 10—15 на экране семисегментного инди- катора имеют следующий вид (следует отметить, что хотя эти числа в двоично-десятичном коде не исполь- зуются, в принципе код одного из них может быть подан на вход дешифратора семисегментного инди- катора, вследствие чего на нем появится определен- ное изображение): а) 10, 11, 12, 13, 14, 15; б) а, Ь, с, cl, е, f; б) 01, 001, 010, ОН, 100, 110; 152
г) 01, 02, 03, 04, 05, 06; <5) A], Bi, Ci, Di, Е], F[. 4. Интегральная схема ТТЛ 7447 является источ- ником входных сигналов светодиодного индикатора, а также предназначена для того, чтобы а) обеспечить сопротивление нагрузки для свето- диодов, б) использоваться в качестве «защелки» или эле- мента памяти для счетчика, в) осуществлять хранение данных по типу бу- фера в параллельном виде; г) изолировать серию импульсов от светодиод- ного индикатора; д) проводить преобразование двоично-десятич- ного кода к семисегментпому представлению. 5. На рис. 7.6 показан семисегментный индика- тор. Данное соединение светодиодов называется со- единением с общим Рис. 7 6 а) катодом, б) входом базы, в) входом, г) анодом, д) током. 6. Счетчик на ИС 7490 в основном используется как а) счетчик для обратного счета, б) реверсивный счетчик, 153
в") счетчик для прямого счета, г) сбрасывающий счетчик, д) установочный счетчик. 7. Последовательно со светодиодами в сегментах семисегментного индикатора устанавливают рези- стор, чтобы а) ограничить яркость светодиода, б) обеспечить смещение рабочей точки диода, б) обеспечить нагрузку для источника управляю- щих сигналов, а) увеличить ток через светодиод, <9) ограничить величину тока, протекающего че- рез светодиод и предохранить его от сгорания. 8. ИС 7490 состоит из двух счетчиков, которые могут использоваться независимо друг от друга или соединяться покаскадно. Один из них представляет схему деления частоты сигнала синхронизации на 2, а другой — на а) 3, б) 4, в) 5, а) 8, д) 10. 9. Выводы «установка в 0» ИС 7490 использу- ются для: а) тестирования двоично-десятичного выходного сигнала, б) установки счетчика в состояние 8, в) тестирования десятичной точки, г) подавления избыточных ведущих нулей в длин- ной последовательности, д) установки счетчика в нуль. 10. Пусть схема состоит из двух счетчиков, один из которых считает до 5, а другой делит частоту входного сигнала на 2, причем выход первого заком- мутирован на вход второго. В результате получается устройство, делящее частоту входного сигнала на 10, Оно называется а) кодированным счетчиком, б) пульсирующим счетчиком, б) счетчиком обратного счета, а) одновременным счетчиком, д) параллельным счетчиком, 154
Глава 8 СДВИГОВЫЕ РЕГИСТРЫ Сдвиговые регистры представляют собой еще один пример применения триггеров. Для построения сдвиговых регистров наиболее часто используют JK- и D-триггеры. Сдвиговые регистры можно рас- сматривать как устройства, осуществляющие преоб- разование последовательной информации в парал- лельную и наоборот. Последующие упражнения имеют своей целью изучение применений JK-триг- гсра как наиболее часто используемого в устрой- ствах цифрового счета. Упражнения со сдвиговым регистром В результате выполнения упражнений этой главы вы должны научиться: 1) объяснять принцип работы сдвигового регистра на JK-триггерах; 2) осуществлять с помощью сдвигового регистра преобразование информации из параллельного пред- ставления в последовательное п наоборот; 3) объяснять организацию и принцип работы кольцевого регистра. Необходимые элементы Светодиод (4); резистор 330 Ом (4); два JK-триггера (ИС 7476); ИС таймера 555 (1); конденсатор емкостью 1 мкФ (1J; резистор 220 кОм (1); резистор 4,7 кОм (1); резистор 470 кОм (1); стенд и источник питания 5 В. 155
^-разрядный сдвиговый регистр Соберите схему, представленную на рис. 8.1. За- коммутированная таким образом схема 555 работает в режиме астабильного генератора прямоугольных ймпульсов. Исследуйте работу схемы сначала на ма- лой частоте, установить которую можно с помощью резистора 470 кОм, а затем на более высокой, опре- деляемой другим резистором (4,7 кОм) и позволяю- щей наблюдать эффект пульсации кольцевого счет- чика. Пока не коммутируйте связи, представленные Рис. 8.1. Схема 4-разрядного сдвигового регистра. Связи, обозначенные штриховыми линиями, коммутируются при сборке кольцевого счетчика; Ri =220 кОм; Re—4,7 кОм (быстрый), 470 кОм (мед- ленный). 156
Рис. 8.2. Таблица истинно- сти для схемы, представлен- ной на рис. 8.1. Рис. 8.3. Упрощенная схема 4-разрядного сдвигового регистра. на рисунке штриховыми линиями, — они будут ис- пользованы в дальнейшем при реализации кольце- вого счетчика. Собранная схема представляет собой четырехразрядный сдвиговый регистр, в котором к выходу Q каждого триггера подключены светодио- ды, предназначенные для индикации состояния реги- стра. После завершения сборки схемы включите пи- тание и установите регистр в нулевое состояние (0000) заземлением вывода СБРОС. Кратковременным заземлением входа УСТАНОВ- КА переведите триггер А в состояние 1. Включение светодиода А будет означать переход регистра в со- стояние 1000. Для отключения синхронизации следует перебро- сить провод с вывода 6 на вывод 7. Когда сигнал синхронизации понадобится вновь, необходимо будет осуществить обратную коммутацию. Подайте на регистр одиночный импульс, осуще- ствив кратковременное включение синхронизации. Повторяя несколько раз эту операцию, произведите запись логического состояния регистра в таблицу на 157
рис. 8.2. Упрощенная схема сдвигового регистра представлена на рис. 8.3. 1. Запишите, как изменяются состояния светодио- дов при переходе регистра из начального состояния, показанного на рис. 8.2. 2. Какие явления наблюдаются при поступлении на триггер очередного сигнала синхронизации? 3. Сколько импульсов необходимо для того, чтобы возвратить счетчик в нулевое состояние (0000)?---- Обсуждение результатов Последнее упражнение было предназначено для демонстрации функции сдвига или прохождения сиг- нала через схему. Выходной сигнал каждого триг- гера является входным сигналом соседнего с ним триггера, принимающего информацию с поступле- нием сигнала синхронизации. Следует помнить, что для переключения триггера в состояние Q=l, Q=0 его входы J и К к моменту поступления сигнала синхронизации должны быть установлены в состоя- ние высокого и низкого уровня соответственно, а что- бы перевести триггер в состояние Q = 0, Q = 1 на входах J и К должны находиться сигналы 0 и 1 со- ответственно. Для того чтобы обеспечить возмож- ность установки в состояние нуля всех триггеров сразу, их входы СБРОС объединяют. Сброс факти- чески представляет собой форму параллельного вво- да информации. Триггер может быть установлен в определенное логическое состояние посредством по- дачи на его установочные входы соответствующих сигналов. Поскольку несколько битов информации могут быть введены или считаны одновременно, они представляют «параллельное слово». Если к выходу триггера младшего разряда (D) подключить свето- диод, то, поочередно подавая на триггеры регистра импульсы синхронизации, можно наблюдать процесс сдвига информации от триггера к триггеру вправо до полной очистки регистра (установки в состояние 0000). Такой вывод информации при сдвиге назы- вают последовательным (поразрядным), а поскольку информация была введена в параллельном виде, схему фактически можно считать преобразователем 158
Рис. 8.4. Таблица истинности для кольцевого счетчика. параллельного кода в последовательный. Последова- тельный ввод информации состоит в том, что данные поступают на триггер А разряд за разрядом и сдви- гаются вправо до тех пор, пока все слово не будет занесено в регистр. Так как регистр фактически представляет собой устройство преобразования по- следовательного кода в параллельный, при подклю- чении светодиодов к выходу Q каждого триггера можно производить параллельный контроль вводи- мой и сдвигаемой информации. Количество тригге- ров регистра определяют исходя из размера храни- мого слова, так как триггер может «запомнить» только 1 бит информации. Поскольку хранение явля- ется одной из функций сдвиговых регистров, они представляют собой разновидность устройств па- мяти. Кольцевой счетчик Внесите в схему сдвигового регистра следующие изменения: соедините вывод 11 триггера А с выво- дом 4 триггера D и вывод 10 триггера D с выво- дом 16 триггера А, а также заземлите вход УСТА- 159
НОВКА. Таблица истинности кольцевого регистра приведена на рис. 8.4. Установите триггер А в состояние 1 и исследуйте работу регистра в режиме пересчета. 4. Запишите выходные состояния триггеров реги- стра для 10 импульсов на рис. 8.4. 5. На основе анализа информации, представлен- ной на рис. 8.4, объясните, как работает регистр. 6. Произошел ли на каком-либо шаге переход ре- гистра в состояние 0000?-------------------------- Обсуждение результатов Как вы, вероятно, заметили, в тот момент, когда регистр был близок к установке в нулевое состояние, произошла передача единицы из триггера D в триг- гер А, которые в схеме соединены друг с другом. После этого сдвигание сигнала продолжалось неод- нократно. Эта схема носит название кольцевого счетчика и составляет основу многих устройств циф- рового счета, применяемых в цифровой электронике. Досчитав до четырех (выполнив операцию сдвига 4 раза), схема возвращается в исходное положение, после чего процесс счета продолжается. Поскольку в нашем примере первоначально в триггер А реги- стра была записана единица, с каждым четвертым импульсом синхронизации триггер D переходит в со- стояние 1. Установка дополнительных триггеров и схем управляющей логики позволяет реализовать счетчики более сложных типов. Примером такого счетчика является десятичный счетчик, который ши- роко используется в цифровой электронике по той причине, что операции с десятичными числами, как правило, требуют счета до 10. Если генератор синхроимпульсов 555 включен с «быстрым» резистором (4,7 кОм), а сдвиговый ре- гистр закоммутирован в виде кольцевого счетчика, при работе такой схемы будет наблюдаться све- товой эффект, напоминающий мелькание серпан- тина. С помощью генератора и сдвигового регистра можно реализовать много других интересных эф- фектов. 160
Тесты Выберите наиболее правильный ответ среди пере- численных. Отметьте ваш выбор кружком вокруг соответствующей буквы. 1. Информация на выходах А, В, С и D четырех- разрядного сдвигового регистра представлена в сле- дующем виде: а) последовательном, б) параллельном, в) сдвигаемом, г) завершенном, <Э) установочном. 2. Информация, которая «вдвигается» в регистр, упоминаемый в предыдущем вопросе, сдвигается в нем поразрядно в следующем виде: а) последовательном, б) параллельном, в) сдвигаемом, г) битовом, д) установочном. 3. Одновременной установкой всех триггеров ре- гистра информация вводится в следующем виде! а) последовательном, б) параллельном, в) установочном, г) регистровом, д) предопределенном. 4. В качестве примера одного из основных Приме- нений сдвигового регистра можно привести: а) генератор двоично-десятичного кода, Q Зак. 692 161
б) мультивибратор, в) элемент памяти, г) моностабильный блок синхронизации с одно- кратным запуском, <Э ) дешифратор кода Грея. 5. К типу сдвигового регистра, который передает информацию с крайнего правого на крайний левый триггер, относится следующий счетчик: а) двоично-десятичный счетчик, б) счетчик кода Грея, в) модульный счетчик, г) кольцевой счетчик, д) десятичный счетчик.
Глава 9 ВЕНТИЛЬ ИСКЛЮЧАЮЩЕЕ ИЛИ Вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ находит широ- кое применение в таких устройствах, как сумматоры, компараторы, преобразователи кода и схемы кон- троля на четность. В этой главе будут рассмотрены функции контроля на четность и сравнения цифро- вых слов, выполняемые схемами на вентилях ИС- КЛЮЧАЮЩЕЕ ИЛИ. Упражнения с вентилем ИСКЛЮЧАЮЩЕЕ ИЛИ В результате выполнения упражнений этой главы вы должны: 1) понимать логику работы вентиля ИСКЛЮЧА- ЮЩЕЕ ИЛИ; 2) уметь собирать схемы контроля на четность на основе вентилей ИСКЛЮЧАЮЩЕЕ ИЛИ; 3) уметь собирать схемы сравнения цифровых слов на основе вентилей ИСКЛЮЧАЮЩЕЕ ИЛИ; 4) уметь работать с ИС 7486, содержащей 4 вен- тиля ИСКЛЮЧАЮЩЕЕ ИЛИ. Необходимые элементы Четыре вентиля ИСКЛЮЧАЮЩЕЕ ИЛИ (ИС 7486)'; светодиод (1), резистор 330 Ом (1), четыре 2-входовых вентиля ИЛИ (ИС 7432), стенд и источник напряжения 5 В. ИС 7486 Соберите схему на основе ИС 7486, как показано на рис. 9.1. 6* 163
Рис. 9 1. Структура ИС 7486 с вентилями ИСКЛЮЧАЮЩЕЕ ИЛИ. А В С 0 0 1 1 0 1 0 1 Рис. 9.2. Таблица истинности для ИС 7486. 1. Заполните таблицу истинности на рис. 9.2, 2. Сравните заполненную таблицу истинности Q таблицей истинности для вентиля ИЛИ, Обсуждение результатов Как видно из таблицы истинности, вентиль ИС* КЛЮЧАЮЩЕЕ ИЛИ может иметь на выходе сиг* нал логической единицы только в том случае, когда два входных аргумента различны. В выражениях функция ИСКЛЮЧАЮЩЕЕ ИЛИ обозначается 164
знаком «+», обведенным кружком и записывается 6 виде АфВ = С. Функция ИСКЛЮЧАЮЩЕЕ ИЛИ предоставляет широкие возможности при проектировании устройств цифровой электроники. В частности, ее можно ис- пользовать в устройствах сравнения двоичных чисел и проверки групп двоичных разрядов на наличие в них ошибок. Схема контроля четности Соберите схему на основе вентиля ИСКЛЮЧА- ЮЩЕЕ ИЛИ, предназначенную для контроля циф- ровых слов на четность, как показано на рис. 9.3. 3. Заполните таблицу истинности на рис. 9.4. 4. Определите, четному или нечетному числу еди- ниц в каждой строке таблицы соответствуют единич- ные значения колонки F. 165
Рис. 9.4. Таблица истинности схемы контроля четности. 5. Если в строке нечетное число единиц, чему равно F? _________________________________________ 6. Если в строке четное число единиц, чему рав- но F? Обсуждение результатов Как вы должны были уяснить из проделанного упражнения, собранная схема играет роль детектора условия четности или нечетности 5-разрядных дво- ичных слов, который называют схемой контроля чет- ности Она предназначена для определения того, какое число единиц (четное или нечетное) содер- жится в контролируемом 5-разрядном слове. В каче- стве примера применения схемы контроля четности на практике можно привести телепринтер, в котором она используется для обнаружения ошибок при пе- редаче информации. Код, используемый при работе с телспринтерами (например, 8-разрядный код ASCII) организован таким образом, что к информа- ционной части каждого слова добавлен разряд чет- ности, установленный в логическое состояние 0 или 1 с таким расчетом, чтобы общее число единиц в слове было четным или нечетным. Слова по мере поступ- ления проверяются схемой контроля четности. Если слово не имеет ожидаемой четности (вследствие дре- безга ключа, искажения в процессе передачи и т. д.), оно считается ошибочным. Поскольку сигналы дви- жутся по проводам с высокой скоростью, простого 11 Другое название — схема свертки. — Прим, ред. 166
Рис. 9.6. Таблица истинности для схемы сравнения слов. сообщения об ошибке в передаче недостаточно — не- обходимо обеспечить ее исправление. Для этого в сочетании со схемой контроля на четность преду- смотрено соответствующее дополнительное оборудо- вание. В результате вид, который слово имело до искажения вследствие ошибки при передаче, будет восстановлен. ИС 7486 и ИС 7432 Закоммутируйте ИС 7486 и ИС 7432 в соответ- ствии со схемой, показанной на рис. 9.5. 7. Заполните таблицу истинности на рис. 9.6. 167
Сравните слова А и В и ответьте на следующие вопросы. 8. Когда слово А равно слову В, С =------------. 9. Когда слово А не равно слову В, С =---------. Обсуждение результатов Из таблицы истинности на рис. 9.6 видно, что, если слова А и В одинаковы, выходной сигнал в точке С находится в состоянии низкого уровня (0), в противном случае —в состоянии высокого уровня (1). Сравнение цифровых слов необходимо в тех слу- чаях, когда требуется просмотреть большое количе- ство двоичных слов и подсчитать частоту появления определенного слова. Когда оно встречается при про- смотре, на выходе схемы появляется единица, кото- рая поступает на вход счетчика, увеличивая его со- держимое на единицу. Вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ также приме- няется в устройствах преобразования информации из двоично-десятичного кода в код Грея, который был специально разработан для работы с электромеха- ническими устройствами. Поскольку цифровые уст- ройства могут быть рассчитаны на работу как с тем, так и с другим кодом, необходимо обеспечить воз- можность преобразования чисел из одного кода в другой. Устройства, состоящие из небольшого числа вентилей ИСКЛЮЧАЮЩЕЕ ИЛИ, способны выпол- нить эту операцию точно и эффективно. Вентили ИСКЛЮЧАЮЩЕЕ ИЛИ могут также использоваться в устройствах блокировки опреде- ленной комбинации цифровых слов, в основе построе- ния которых лежит реализация операции сравнения цифровых слов, Тесты Выберите наиболее правильный ответ среди пере- численных. Свой выбор отметьте кружком вокруг соответствующей буквы. 1. Логическая функция ИСКЛЮЧАЮЩЕЕ ИЛИ обозначается с помощью следующего символа: а) », б) +, 168
г) ®, д) AV. 2. Выходной сигнал вентиля ИСКЛЮЧАЮЩЕЕ ИЛИ будет иметь высокий уровень, когда сигналы на его входах а) одинаковы, б) различны, в) имеют низкий уровень, г) имеют высокий уровень, д) непостоянны. 3. Контроль может осуществляться по четности или по нечетности. Какая строка в таблице на рис. 9.7 обозначает условие контроля по четности в точке С: а) А, б) В, в) С, г) D. 4. Четность используется в цифровой электронике для а) обнаружения ошибок, б) счета, в) генерации кодов, 169
г) устранения дребезга ключа, д) отключения устройств индикации. 5. Схема на рис. 9.8 представляет а) вентиль И, б) генератор четности, в) формирователь импульса, г) бистабильный ключ, д) компаратор слов. 6. Вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ обознача- ется следующим символом, приведенным на рис. 9.9:
Глава 10 ЦИФРОВАЯ СИСТЕМА В этой главе приведено упражнение, в котором осуществляется проектирование схемы на основе комбинации таймера 555, счетчика 7490, дешифрато- ра — драйвера 7447 и светодиодного индикатора. Теоретические вопросы, излагаемые в этой книге, иллюстрируются работой реального цифрового уст- ройства. Это устройство, схема-которого показана на рис. 10.1, представляет собой цифровой омметр, об- ладающий возможностью калибровки. 171
Перед тем как начать работать с устройством, его индикатор устанавливают на нуль, подавая по- ложительное напряжение (высокого уровня) на со- ответствующий вывод счетчика 7490. После этого измеряемое сопротивление R помещают между дву- мя клеммами схемы 555, закоммутированной для ра- боты в режиме моностабильного мультивибратора, включение которого производят, заземляя на мгно- вение вывод 2 схемы. При включении моностабиль- ный мультивибратор генерирует сигнал высокого уровня, длительность которого зависит от величины измеряемого сопротивления R. Этим сигналом вклю- чается схема 555 в режиме астабилыюго мультиви- братора, которая генерирует серию импульсов, коли- чество которых сначала подсчитывается счетчиком, а потом отображается на экране 7-сегментного ин- дикатора, обозначая величину измеряемого сопротив- ления. Проект В результате выполнения проекта этой главы вы • должны уметь 1) использовать схему 555 в цифровых устрой- ствах в качестве моностабильного и астабильного мультивибраторов; 2) определять величину измеряемого сопротивле- ния по изображению на экране 7-сегментного инди- катора; 3) объяснить принцип работы цифрового вольт- метра и производить с его помощью измерение и установку на нуль. Необходимые элементы Светодиодный индикатор MAN-1 (1)'; 7-сегментный дешифратор — драйвер (ИС 7447)'; десятичный счетчик (ИС 7490); таймер (ИС 555) (2); резистор 330 Ом (7); конденсатор емкостью 0,1 мкФ (1)’; конденсатор емкостью 1 мкФ (1); резистор 1 кОм (2); 172
Рис. 10.2. Схема коммутации цифрового омметра. резистор 1 МОм (1) ; резистор 220 кОм (1)'; резистор 470 кОм (1); потенциометр 5 МОм (1)', Соберите схему, представленную на рис. 10.2, Свободные выводы микросхем могут быть использО* ваны для считывания и установки на нуль. Убеди* тесь, чтобы были сделаны все необходимые соедине- ния. Чтобы избежать ошибок в коммутации, удобно отмечать на схеме реализованные соединения крас- ным карандашом. После завершения сборки схемы подайте на вход 2 или 3 счетчика 7490 сигнал высокого уровня (+)'. Индикатор при этом должен установиться в нуль. Установите резистор 1 МОм на место измеряемого сопротивления R схемы 555 в режиме моностабиль- 173
ного мультивибратора (к выводам 8 и 6, соединен- ным с 7). Осуществите кратковременное замыкание выво- да 2 схемы 555 в режиме моностабильного мульти- вибратора на землю (—). После этого процесс счета можно наблюдать на экране индикатора вплоть до установления конечного значения. Повторяйте процедуру «установки в 0» и «чте- ния», каждый раз осуществляя регулировку положе- ния потенциометра 5 МОм до тех пор, пока счетчик не будет установлен в нуль (при счете до 10)Ч Осуществите процесс измерения, заменяя рези- стор 1 МОм сопротивлениями, величины которых приведены на рис. 10.3. Резистор Показание индикатора 220к0м. 470 кОм 1М0М Рис. 10.3. Таблица показаний цифро- вого омметра для ряда измеряемых резисторов. 1. Запишите число, наблюдаемое на экране инди- катора для каждого измеряемого резистора. Обсуждение результатов Цифры, соответствующие резисторам, должны указывать величину сопротивления (ХЮ5 Ом (.Пре- дел измерения, соответствующий максимальному по- казанию счетчика (—), составляет 1 МОм. Так, по- казание счетчика, равное 5, будет соответствовать сопротивлению 0,5 МОм или 500 кОм. Счет за пре- делы 10 будет означать, что при вычислении вели- чины измеряемого сопротивления необходимо учесть количество циклов счетчика. Например, при измере- нии резистора 2,2 МОм счетчик дважды досчитает до 10 и остановится на цифре 2. В итоге сопротивле- ние получится равным 1 МОм + 1 МОм -ф 0,2 МОм, или 2,2 МОм. Собранная схема не обеспечивает большой точности при измерении сопротивлений ниже 220 кОм и выше 1 МОм. Следует заметить, что *> Этот предшествующий измерению процесс носит название «калибровка». — Прим, ред. 174
/Jyjlb Рис 10.4. Временные диаграммы работы схем 555 в различных режимах с сигналами установки и сброса. обычно используемые на практике резисторы имеют 10 %-ный разброс величины сопротивления. Для удобства пользования устройством необхо- димо обеспечить автоматический сброс счетчика пе- ред началом измерения. Это можно сделать, вклю- чив в состав устройства третью схему 555, работаю- щую в режиме астабильного мультивибратора. Ее настройка на малое отношение длительностей вклю- ченного и выключенного состояний (порядка 1 : 10) позволит получить на выходе периодические импуль- сы, предназначенные как для установки счетчика 7490 на нуль, так и для запуска моностабильного мульти- вибратора на основе другой схемы 555. В результате в течение одного цикла измерения можно будет про- извести сброс счетчика, собственно измерение и ото- бражение величины сопротивления на индикаторе. Чтобы на экране индикатора в процессе измерения не было видно смены цифр, необходимо увеличить скорости работы всех схем 555 °. Работа описанного '> В одно и то же число раз. — Прим, ред. 175
Рис. 10.5 Блок-схема цифрового омметра с кварцевым генерато- ром, используемым для повышения точности. устройства проиллюстрирована временными диа- граммами на рис. 10.4. В принципе .с помощью этой схемы можно осу- ществить измерение емкости конденсатора. Учиты- вая то обстоятельство, что от емкости конденсатора, подключаемого к выводам схемы 555, также за- висят длительности включенного и выключенного состояний моностабильного мультивибратора, вели- чину емкостей можно определять по описанной выше методике при фиксированном значении сопро- тивления R. Следует помнить, что перед началом измерения конденсатор должен быть полностью раз- ряжен. Для получения более точных результатов в соче- тании с моностабильным мультивибратором часто ис- пользуют кварцевый генератор 1). Пример такой схе- мы приведен на рис. 10.5. Обычно используют кварц с собственной частотой 100 кГц, поскольку он имеет большее распространение и его легко при- обрести. Как правило, в большинстве цифровых омметров для индикации величины измеряемого сопротивления ’> Точность измерения обеспечивается высокой стабильностью частоты кварцевого генератора. Относительное отклонение часто- ты кварцевого генератора от номинальной составляет стотысяч- ные доли процента. — Прим, ред, 176
используется несколько цифр. Для точного установ- ления положения десятичной точки в случае индика- ции величины сопротивления дробными числами ис- пользуют автонормирующие схемы. При построении цифровых измерительных уст- ройств, включающих сложные счетчики частоты, счет- чики событий и т. д., наряду с принципами, рассмот- ренными в этой главе, могут применяться принципы, лежащие в основе работы, скажем, генератора, управляемого по напряжению.
Глава И ПРОЕКТЫ ТРЕХ ЦИФРОВЫХ УСТРОЙСТВ Цифровая игральная кость Во многих играх необходим тот или иной способ получения случайных чйбёл, к которому поочередно прибегает каждый игрок. Для реализации способа ча- сто используют игральную кость. В первой части главы представлены сведения, не- обходимые для изготовления электронной игральной кости, которая генерирует случайные числа таким же образом, как они получаются при бросании обычной игральной кости. Отличительной особенностью про- екта является то, что устройство помещают в корпус, имеющий вид большой игральной кости. Принцип действия Схема цифровой игральной кости изображена на рис. 11.1. Генератор, построенный на двух вентилях И-НЕ ИСЗ, срабатывает при нажатии кнопки1). Вы- ходной сигнал с генератора поступает на ИС1, пред- ставляющую собой счетчик типа 4018, который делит частоту на 6. Выходной код этого счетчика дешифри- руется вентилями И-НЕ и диодами, выходные сигналы с которых приводят к включению определенной ком- бинации из семи светодиодов в верхнем левом углу. Второй счетчик типа 4018 на ИС2, который опреде- ляет состояние семи светодиодов, расположенных в правом верхнем углу, управляется сигналом с вы- хода 5. Светодиоды располагают на панели таким обра- зом, что при включении их определенной комбинации '> Изображенная на рис 11 1 ИС 4011 (четыре 2-входовых вентиля И-НЕ) может быть заменена эквивалентной ИС 7400,— Прим. рео. 178
Рис. 11.1. Схема игральной коти (с разрешения фирмы Howard W. Sams Inc, CMOS Cookbook by Don Lancaster).
получается изображение, напоминающее одну из гра- ней игральной кости. В связи с тем, что скорости ра- боты двух счетчиков различны, выпадающие числа яв- ляются случайными. Источником питания устройства служит батарея напряжением 9 В, которая обеспечивает необходимый ток 15—20 мА. Если одной батареи недостаточно для длительной работы устройства, параллельно с ней можно подключить вторую. Изготовление Печатная плата Обе стороны печатной платы, на которой распола- гается проектируемое устройство, показаны на рис. 11.2 б. Для установки интегральных схем реко- мендуется использовать разъемы, поскольку пайка мо- жет привести к выходу интегральных схем из строя вследствие выделения тепла. Вид полностью собран- ной печатной платы приведен на рис, 11.3, Корпус Корпус изготавливают из дерева и окрашивают в черный цвет. Размеры корпуса представлены на рис. 11.4. После склеивания корпуса необходимо от- пилить его верхнюю часть, которая в дальнейшем бу- дет использоваться в качестве плотно прилегающей крышки. Чтобы ее можно было легко открывать, е задней стороны к ней прикрепляют маленькие петли. Общий вид устройства с закрытой крышкой показан на рис. 11.5. Передняя панель Готовую печатную плату прикрепляют к передней панели, следя за тем, чтобы светодиоды попали в со- ответствующие отверстия панели, расположение кото- рых показано на рис. 11.6. Ниже светодиодов устанав- ливают два переключателя. Общий вид устройства с Схема перепечатана из журнала Industrial Education с раз- решения издателя. Copyright © 1980 by Macmillan Professional Magazines, Inc., 77 Bedford Street, Stamford, CT 06901. AH rights reserved. 180
Рис. 11.2. Полномасштабное размещение элементов на оборот- ной (А) и лицевой (В) сторонах печатной платы (с разрешения фирмы Industrial Education). открытой крышкой, на котором видны панель и све- тодиоды, приведен на рис. 11.7. Размещение точек Как видно из рис. 11.8, точки на корпусе распола- гают таким же образом, как и на обычной игральной кости. Они имеют диаметр 19 мм и изготавливаются из белой бумаги. Последовательность действий При изготовлении цифровой игральной кости необ- ходимо придерживаться следующего порядка: 1) приобретите все необходимые детали. Наличие каждой из них проверьте по списку; 181
Рис. 11.3. Законченная печатная плата со всеми связями. Рнс. 11.4. Размеры корпуса (в см) для игральной кости (мас- штаб не соблюден) (с разрешения фирмы Industrial Education), 182
Рнс. 11.5. Внешний вид устройства (фото Мерн Дункан из Oswego Learning Resources Center, с разрешения фирмы Industrial Edu- cation). Рис. 11.6. Размеры (см) передней панели (масштаб не соблю- ден) (с разрешения фирмы Industrial Education). 183
Рис. 11.7. Вид устройства с открытой крышкой (фото Мерн Дуна кап из Oswego Learning Resources Center, с разрешения фирмы Industrial Education). О о 1 о ° ° о о о о о о о о о о ООО ООО Рис. 11.8. Развертка игральной кости, 184
2) выполните размещение и травление печатной платы, показанной на рис. 11.2; 3) просверлите необходимые отверстия; 4) установите проводники и разъемы, затем при- паяйте их; 5) установите светодиоды таким образом, чтобы они значительно возвышались над остальными компо- нентами, после чего припаяйте их; 6) установите и припаяйте резисторы и другие ком- поненты; 7) вставьте в разъемы' интегральные схемы, со- блюдая правильность расположения выводов; 8) установите ключи и батарею, после чего про- верьте работу схемы; 9) если схема работает, перейдите к следующему пункту. В противном случае отыщите неисправность: проверьте батарею, наличие необходимых контактов и т. д.; 10) изготовьте корпус в соответствии с рис. 11.4. 11) отпилите крышку, прикрепите петли, отшли- фуйте и покрасьте корпус в черный цвет; 12) изготовьте белые точки и прикрепите их к кор- пусу в соответствии с образцом, показанным на рис. 11.8. Убедитесь, что точки держатся крепко; 13) покройте точки лаком, чтобы они не стира- лись при использовании; 14) изготовьте переднюю панель в соответствии с рис. 11.6; 15) окрасьте переднюю панель в белый цвет; 16) зачерните небольшое пространство вокруг от- верстий для светодиодов; 17) сделайте надписи для обозначения положения ключей; 18) установите ключи; 19) прикрепите печатную плату к передней панели таким образом, чтобы светодиоды проходили через от- верстия. Все светодиоды должны выступать над по- верхностью панели на одно и то же расстояние по- рядка 3 мм; 20) приклейте по внутреннему периметру корпуса боковые деревянные рейки; 21) слегка надавливая, вставьте панель в корпус, предварительно подключив батарею, Если панель 185
держится слишком свободно, для надежности укре- пите ее шурупами; 22) проверьте работу устройства. Перечень элементов схемы № п. п. Описание Количество 1 Светодиод, красный 14 2 Резистор, 1000 Ом 6 3 Резистор, 1500 Ом 2 4 Диод общего назначения, тип 914 >> 4 5 Резистор, 330 кОм 1 6 Керамический дисковый конденсатор емко- стью 0,047 мкФ 1 7 Перекидной ключ (нормально разомкну- тый) 1 8 Ключ кнопочного типа SPST (вкл./выкл.) 1 9 Батарея напряжением 9 В 1 10 Зажим для батареи 1 И Разъем DIP с 14 контактами 1 12 Разъем DIP с 16 контактами 2 13 ИС типа 4011, 4 вентиля И-НЕ 1 14 ИС счетчика типа 4018 2 15 Различные винты, кусок пластмассы, дере- вянный брусок, клей, краска, провод, при- пой, петли и т. д. «Взберись на гору» «Взберись на гору» представляет собой цифровую игру для двух игроков, победить в которой можно только по воле случая. Научиться играть в нее так, чтобы иметь преимущество над соперником, невоз- можно. В этом разделе сначала будет рассмотрен принцип, на котором основана игра, а затем будет приведено подробное описание процесса ее изготов- ления. Принцип действия В распоряжении каждого игрока имеется схема, которая представлена на рис. 11.9. Она работает сле- дующим образом. При включении таймера на ИС1 ° Отечественный аналог—КД521А. — Прим. ред. 186
Рис. 11.9. Схема для одного игрока в игре «Взберись на гору» (необходимы две идентичные схемы)л
перекидным ключом он начинает генерировать им- пульсы с частотой порядка 1000 Гц. Эти импульсы определяют режим пересчета десятичного счетчика на ИС2, выходные сигналы с которого в двоично-деся- тичном коде поступают на вход ИСЗ. ИСЗ представляет собой дешифратор с десятью выходами. По мере возрастания на единицу поступаю- щих на него чисел поочередно на каждом из десяти выходных контактов появляется импульс низкого уровня (логического нуля), в то время как другие вы- ходы остаются в состоянии высокого уровня. Если от- пустить кнопку, сигнал низкого уровня зафиксируется на одном из десяти выходов ИСЗ. Выходные контакты ИСЗ через кнопочные переключатели подключены к триггерам на ИС4—ИС7, соединенным последователь- но и образующим сдвиговый регистр. Восемь триггеров сдвигового регистра соединены между собой таким образом, что каждый из них мо- жет переключиться только при наличии двух условий: на вход соответствующего ключа должен поступать сигнал низкого уровня с ИСЗ, а предыдущий триггер должен находиться во включенном состоянии. Поскольку количество ключей равно восьми, а вы- ходов у дешифратора на ИСЗ— 10, то выходы 8, 9 и 10 подсоединяют к ключу с номером 8, в результате чего вероятность переключения соответствующего триггера увеличивается!). Этот ключ символизирует вершину горы и его включение означает достижение одним из игроков выигрыша. Опишем процесс игры более подробно. Один из игроков включает ИС1 и на- жимает кнопку с номером 1. Если нажатие кнопки со- впадает во времени с поступлением на первый ключ разрешающего сигнала низкого уровня с соответ- ствующего выхода ИСЗ, происходит включение пер- вого светодиода. Каждый игрок имеет три попытки включения светодиода (три нажатия кнопки), после чего наступает очередь другого игрока. Если одному из игроков удается зажечь первый светодиод, на сле- дующем ходу он переходит к кнопке с номером 2. В процессе игры каждый игрок стремится продвинуть- !) В три раза по сравнению с любым предыдущим тригге- ром.— Прим, ред. 188
Рис. 11.10. Схема определения победителя. ся ближе к вершине горы, переходя последовательно от уже включенного к светодиоду, расположенному «выше», до тех пор, пока одному из игроков не удастся включить восьмой светодиод. Это будет означать, что вершина горы достигнута. Схема определения победителя Когда один из игроков включает восьмой свето- диод, последний начинает мигать и при этом раз- дается звуковой сигнал, обозначающий конец игры и победу одного из игроков. Схема, реализующая эти функции, включает в свой состав два таймера 555 Дрис, 11.10), 189
Рис. 11.11. Изображение оборотной стороны печатной платы (масштаб 1 : 2). Изготовление Построение устройства начинают с изготовления двух одинаковых печатных плат, по одной для каж- дого из игроков. Их оборотная сторона показана на рис. 11.11. В качестве кнопочных переключателей на этих платах можно использовать запасные клавиши калькулятора, на которые нужно нанести цифры 1—8. Буквы, которые ранее были нанесены на эти кла- виши, можно стереть шкуркой. Размещение компонент для упомянутых печатных плат показано на рис. 11.12. Размещение оборотной стороны печатной платы схемы звукового сигнала представлено на рис. 11.13, а лицевой стороны — на рис. 11.14. Все интегральные схемы установлены на разъемах с той целью, чтобы 190
Рис. 11.12. Размещение компонент на лицевой стороне печатной платы. облегчить поиск неисправностей и избежать поврежу дения от нагрева при пайке. Соединения между схе- мой звукового сигнала и печатными платами двух иг- роков показаны на рис. 11.15. Обратите внимание на то, что для питания схемы используется батарея на- пряжением 6 В, хотя при желании ее можно заменить на регулируемый источник питания. Корпус Корпус изготавливают из дерева и твердого кар- тона. Размеры заготовки представлены на рис. 11.16. Пульт для игроков располагают таким образом, что- бы перед «горой» были видны клавиши. На рис. 11.17 показаны примерные размеры инди- каторов на светодиодах, которые служат для обозна- 191
Рис. 11.13. Изображение оборотной стороны печатной платы зву» нового сигнала (в натуральную величину). Рис. 11.14. Изображение лицевой стороны печатной платы зву- кового сигнала (в натуральную величину). 192
Рис. 11.15. Схема соединений для игры «Взберись на гору». чения подъема в гору. Чтобы включенные светодиоды были лучше видны, панель, на которой они устанав- ливаются, окрашивают в черный цвет. Сборка Вид спереди законченного устройства, готового для игры, представлен на рис. 11.18, а вид сзади — на рис. 11.19. Напомним, что в распоряжении каждого игрока имеется свой набор светодиодов. Вид устрой- ства снизу представлен на рис. 11.20. Батарея распо- лагается слева, а схема звукового сигнала и динами- ки— справа. Более подробно схема звукового сигнала изображена на рис. 11.21. Динамики прикрепляются к дереву при помощи деревянных шурупов и шайб. Порядок действий При изготовлении устройства необходимо строго придерживаться следующих этапов: >/27 Зак. 692 193
Рис. 11.16. Размещение и детали конструкции подставки (см) (масштаб не соблюден). 1) подберите необходимые детали. Проверьте на- личие каждой из них по списку, 2) изготовьте две печатные платы для игроков и одну для схемы звукового сигнала. Выполните, как обычно, размещение, травление и сверление; 3) установите на каждую плату компоненты и припаяйте все выводы, за исключением внутренних соединений; 4) изготовьте подставку в соответствии со схемой; . 5) окрасьте панели и нанесите на них необходи- мые надписи; 194
Рис. 11.18. Законченный вид игры, готовой к употреблению. '/г7* 195
Рис. 11.19, Вид устройства сзади с проводами, идущими к свето диодам, а также печатными платами (внизу). Рис. 11.20. Вид устройства снизу (схема звукового сигнала при- креплена справа к стенке корпуса), 196
Рис. 11.21. Вид с близкого расстояния печатной платы схемы определения победителя и динамиков, монтируемых внутри кор- пуса. Динамики прикрепляются к внутренней поверхности корпу- са винтами в любом удобном месте. 6) установите печатные платы игроков, укрепив их шурупами и гвоздями; 7) изготовьте макет горы; 8) окрасьте гору в черный цвет; 9) разместите на горе индикаторные светодиоды и приклейте их в нужных местах; 10) приклейте макет горы к подставке; 11) поместите динамики и схему звукового сигнала внутрь подставки; 12) произведите коммутацию установленных на горе светодиодов и реализуйте в соответствии со схе- мой все необходимые связи; 13) установите батарею и проверьте, работает ли устройство; 14) произведите установку горы и укрепите ее на месте. Правила игры Для игры «Взберись на гору» предлагаются еле* дующие правила, хотя по желанию игроков их можно изменить, 197
Цель игры 1. Цель игры состоит в том, чтобы зажечь восемь светодиодов, ведущих к вершине горы. Светодиоды следует включать поочередно один за другим, начи- ная с «подножия» горы, где расположен светодиод с номером 1. 2. Игроки по очереди пытаются включить свои све- тодиоды. Первый, кому удастся зажечь все восемь светодиодов, объявляется победителем. 3. Проигравший имеет право первого хода в еле* дующей игре. Начало игры 1. Включите питание. При этом должна загореться лампа «готов». 2. Выключите все лампы на горе нажатием кнопки сброса. Игра 1. Первый игрок нажимает кнопку начала и через несколько секунд отпускает ее. 2. Этот же игрок нажимает кнопку с номером 1. Если попытка будет удачной, загорится первый свето- диод. Вероятность успеха при нажатии кнопки состав- ляет 10 % °. 3. При своем ходе каждый игрок имеет право на три попытки, после чего наступает очередь другого игрока. 4. При удачной попытке игрок на следующем ходе стремится зажечь очередной светодиод. Для этого он должен использовать кнопку, расположенную рядом с соответствующим светодиодом. 5. Игроки делают ходы по очереди до тех пор, пока один из них не станет победителем. 6. Для того чтобы начать игру заново, необходимо нажать кнопку сброса. *> За исключением восьмой. — Прим, ред. 198
Перечень элементов схемы № п. п. Описание Количество 1 ИС таймера типа 555 Б 2 Динамики, 8 Ом, диаметром 50 мм 2 3 Транзистор п — р — n-типа 2N2222 1> 2 4 Резистор, 68 кОм 4 б Резистор, 10 Ом 4 6 Резистор, 1000 Ом 6 7 Конденсатор емкостью 1 мкФ 4 8 Резистор, 220 Ом 19 9 Конденсатор емкостью 0,1 мкФ 4 10 Светодиод, красный 19 11 ИС типа 7476 (JK-триггер) 8 12 ИС типа 7490 (десятичный счетчик) 2 13 ИС типа 7442 (дешифратор) 2 14 Клавиша, используемая в калькуляторах (нормально разомкнутая) 16 15 Переключатель кнопочного типа (нормаль- но разомкнутый) 2 16 Батарея напряжением 6 В 1 17 Разъем DIP с 8 контактами 2 18 Разъем DIP с 14 контактами 2 19 Разъем DIP с 16 контактами 12 20 Переключатель SPST кнопочного типа (вкл /выкл.) 1 21 Разнообразные проводники, припой, пласт- масса, гвозди, шурупы, краска, клей и т. д. —" Отечественный аналог—КТ608Б. — Прим, ред. Музыкальный генератор на 14 нот В этом разделе описано, как изготовить звуковой генератор, который можно запрограммировать для ге- нерации последовательности из 14 нот. Эти ноты мо- гут быть или случайными, или представлять отрывок какой-либо мелодии, по окончании которой устрой- ство автоматически выключается. Схема Законченная принципиальная схема данного уст- ройства представлена на рис. 11.22. Сложная на вид в действительности она довольно проста. Прежде чем 199
Рис. 11.22. Схема музыкального генератора на 14 нот.
перейти к описанию работы всего устройства, рас- смотрим функционирование его отдельных частей, после чего определим, как они взаимодействуют ме- жду собой. Ниже приведено подробное описание прин- ципа действия отдельных частей устройства. Таймеры В проектируемом устройстве используются тайме- ры 555 двух различных типов. Первый из них, распо- ложенный на ИС1, предназначен для генерации им- пульсов, которые определяют порядок смены нот зву- кового генератора. Кнопка с названием «пауза» позво- ляет оператору приостановить последовательность им- пульсов, продлевая таким образом время звучания той или иной ноты. Второй таймер, расположенный на ИС2, закомму- тирован в виде ключа и предназначен для включения и выключения устройства при окончании последова- тельности импульсов. Включение ИС2 происходит при нажатии кнопки «начало», а выключение, определяю- щее завершение последовательности импульсов — при получении импульса окончания с ИС5 (вывод 17), Счетчик ИСЗ представляет собой 16-разрядный двоичный счетчик. В результате поступления сигнала на вывод 14 происходит генерация двоично-десятичного кода на выходах 8, 9, 11, 12 счетчика. При нулевом со- стоянии счетчика сигналы на этих выходах имеют низкий уровень. Дешифратор двоично-десятичного кода Двоично-десятичный код на выходах ИСЗ дешиф- рируется схемой ИС5. При последовательном увели- чении двоично-десятичных чисел поочередно на одной из 16 выходных клемм (выводы 1—11 и 13—17) по- является нулевой импульс. Остальные 15 выходов при этом остаются в состоянии высокого уровня. Когда схема находится в состоянии покоя, сигнал на выводе 1 ИС5 имеет низкий уровень. После нажа- тия кнопки «начало» первый импульс с выхода ИС1 устанавливает вывод 1 ИС5 в состояние низкого уров- ня, в результате чего воспроизводится первая нота. 8 Зак. 692 201
Когда сигнал на выводе 17 переходит в состояние низ- кого уровня, указывая на окончание последователь- ности нот, ИС2 выключается, а ИСЗ возвращается в состояние покоя. Вследствие этого сигнал на выводе 1 ИС5 переходит в состояние низкого уровня, а все устройство возвращается в исходное состояние. ИС сброса Выключение ИС2 производится сигналом низкого уровня с вывода 17 ИС5. Поскольку для выключения ИСЗ необходим сигнал высокого уровня, в схему вво- дят ИС4, предназначенную для инвертирования сиг- нала останова низкого уровня на выходе ИС5 в со- стояние высокого уровня с последующим поступле- нием его на ИСЗ. Звуковой генератор Звуковой генератор спроектирован на двух транзи- сторах, Q1 и Q2. Для установки необходимого диапа- зона воспроизводимых звуковых частот прибегают к так называемому контролю тона. Частота генератора может регулироваться потенциометром при условии поступления на него разрешающего сигнала низкого уровня с выхода ИС5. Чтобы устройство воспроизво- дило отрывок какой-либо мелодии, каждую из 14 нот настраивают с помощью соответствующего ей потен- циометра, причем потенциометр, соединенный с выво- дом 2 ИС5, определяет частоту первой ноты, а потен* циометр, соединенный с выводом 16, — последней. Источник питания Для обеспечения работы устройства требуется ре- гулируемый источник питания с напряжением 5 В. Его располагают на отдельной печатной плате, вклю- чающей трансформатор, дающий напряжение 12 В, мостовой выпрямитель и регулятор. Включение и выключение Для включения устройства необходимо в схеме ИС2 с помощью кнопочного переключателя устано- вить вывод 2 на нуль. Выходной сигнал с ИС2 вклю- чает ИС1, которая начинает посылать импульсы в ИСЗ. Выходные сигналы с ИСЗ, работающей в режиме 202
пересчета, в двоично-десятичном виде поступают на вход ИС5, При этом поочередно на каждом из выхо- дов схемы появляется импульс низкого уровня. Пере- ход импульса низкого уровня от одного выхода к дру- гому приводит к смене воспроизводимой в мелодии ноты. Последний вывод с номером )7 не подключен к генератору, а используется для перевода устройства в начальное состояние. Поступление импульса с этого вывода на вывод 4 ИС2 приводит к выключению этой схемы (сбросу в нулевое состояние). В результате ИС1 тоже выключается и до нового включения устрой- ства подача импульсов прекращается. После включения устройство не требует дальней- шего управления. Независимо от того из какой мело- дии (быстрой или медленной) взят отрывок, устрой- ство доиграет его до конца и остановится в ожидании следующей команды. Когда сигнал на выводе 1 имеет низкий уровень, ИС5 находится в состоянии покоя. Поскольку первый и последний выходные контакты схемы предназначены для установки и сброса, только 14 из 16 выводов мо- жет быть использовано для задания нот. Темп мело- дии можно изменять, регулируя потенциометр сопро- тивлением 6 МОм, соединенный с ИС1. Переключа- тель паузы кнопочного типа, находящийся в нормаль- но замкнутом состоянии, используется для ручного управления, которое позволяет настраивать каждую ноту в отдельности. Использование Данное устройство можно использовать в качестве оригинального дверного звонка или в качестве генера- тора звукового сигнала. Когда случайные ноты вос- производятся в быстром темпе, получается пример своеобразной «'компьютерной» музыки, которую мож- но использовать при организации звуковых эффектов. Уровень громкости устройства рассчитан на обыч- ное помещение. Его можно уменьшить, установив по- следовательно с динамиком резистор. Если же необхо- дима большая громкость, следует подключить к уст- ройству усилитель. 8* 203
Количество исполняемых нот можно увеличить» для этого в схему необходимо внести некоторые изме- нения. Так, добавление второго дешифратора, пере- ход к которому будет осуществляться при переключе- нии специального триггера, позволит довести число исполняемых нот до 28. Изготовление Основная печатная плата Вид оборотной стороны основной печатной платы показан на рис. 11.23. Для установки интегральных схем используют разъемы. Обратите внимание, что так как ИС5 расположена в корпусе с 24 выводами, то Рис. 11.23. Размещение проводников на обратной стороне печат- ной платы в натуральную величину. разъем для нее имеет большие размеры по сравнению с остальными разъемами. Плату изготавливают обыч- ным способом. О деталях процесса изготовления см, гл. 3. Лицевая сторона основной печатной платы изобра- жена на рис. 11.24. Не забудьте перед тем, как при- ступить к припаиванию разъема для ИС5, установить под ним необходимые перемычки, Источник питания Для установки выпрямителя, конденсатора и регу- лятора источника питания используют печатную плату небольших размеров, размещение обеих сторон кото- 204
Рис. 11.24. Размещение элементов на лицевой стороне печатной платы.
Рис. 11.24. Продолжение,
рой представлено на рис. 11.25. Трансформатор уста- новлен в нижней части корпуса. Корпус Корпус устройства лучше всего сделать из про- зрачной пластмассы толщиной 3 мм, чтобы через нее были видны печатные платы. Примерные размеры кор- пуса, которые при желании можно уменьшить, при- ведены на рис. 11.26. К углам основания корпуса не- обходимо приделать ножки. Полный вид устройства показан на рис. 11.27. Из- нутри к верхней части корпуса прикрепляется дина- мик, там же монтируются кнопки «начала» и «паузы». Гнездо для подключения питания о расположено сзади. Печатные платы и трансформатор прикреп- ляются к основе болтами, а верхняя крышка привин- чивается шурупами, для которых в основе просвер- лены отверстия. На рис. 11.28 основная печатная плата показана с близкого расстояния. Специальная прорезь в корпусе обеспечивает доступ к набору потенциометров, кото- рые служат для настройки каждой из нот. Порядок действий 1) Подберите необходимые компоненты; 2) изготовьте печатные платы для схемы и для источника питания; 3) изготовьте корпус. Перед тем как согнуть пластмассу с целью придания ей окончательной фор- мы, не забудьте просверлить все отверстия; 4) установите ключи и трансформатор; 5) соберите схему источника питания, схему ге- нератора и другие необходимые узлы; 6) установите динамик в верхней части корпуса; 7) закончите сборку корпуса; 8) прикрепите ножки к углам основания корпуса; 9) подсоедините шнур питания; 10) проверьте работу устройства, регулировкой различных компонентов устанавливая желаемый темп и высоту звука. о 120 В переменного тока.—Прим. ред. 2 07
Рис. 11.25. Вид обратной (А) и лицевой (В) сторон печатной платы источника питания (не в масштабе), 208
Рис. 11.27. Законченный вид муздаадьного генератора 14 ног4 Кнопки включения и паузы расположены в верхней части корпу* са справа от динамика» Рис. 11.28. Вид с близкого расстояния генератора, па котором можно различить потенциометры, используемые для настройки нот. 209
Перечень элементов схемы № п. п. Описание Количество 1 ИС таймера 555 2 2 Круговой потенциометр, 5 МОм 1 3 Ключ (нормально разомкнутый) 1 4 Ключ (нормально замкнутый) 1 5 Разъем DIP с 8 выводами 2 6 Разъем DIP с 14 выводами 2 7 Разъем DIP с 24 выводами 1 8 ИС 7400, четыре вентиля И-НЕ 1 9 ИС 7493, счетчик 1 10 Конденсатор емкостью 10 мкФ, 10 В 2 11 Конденсатор емкостью 1 мкФ, 10 В 1 12 Динамик, 8 Ом, 2 дюйма 1 13 Резистор, 1 кОм, 0,25 Вт 2 14 Резистор, 2,2 кОм, 0,25 Вт 1 15 Круговой потенциометр, 50 кОм 1 16 Круговой потенциометр 100 кОм 14 17 Транзистор п — р — «-типа 2N2222 1 18 Транзистор р — п— p-типа 2N2907 ’> 1 19 ИС дешифратора типа 74154 1 20 Трансформатор, 120 В на 12 В переменного 1 тока 21 Шнур со штепселем 1 22 Конденсатор емкостью 1000 мкФ, 20 В . 1 23 Мостовой выпрямитель, 20 В, 1 А 1 24 Регулятор типа 7805, 5 В 1 25 Переключатель двухполюсный 1 26 Предохранитель на 1 А и корпус для него 1 27 Проводники, болты, припой, акриловая пластмасса и т. д. Ц Примерный отечественный аналог —транзистор П609. — Прим. ред.
Ответы Глава 5. Логические вентили. Упражнения рис. 5.3 £ 1 о о о Рис. 5.12 9. С = А + В или С = А + В 10. Это функция ИЛИ-НЕ. 2. С = А • В или С = А • В 3. Это функция И-НЕ. С D 1 1 1 0 0 0 0 1 Рис. 5.5 С D 1 0 0 0 0 1 1 1 12. D = А + В. 13. ИЛИ. 5. С = АВ или С = АВ, D = AB. 6. Это функция И. Рис. 5.17 15. А+ В. 16. ИЛИ. 211
17. 18. AB. 19. И. e) AB + C = D 1. C 5. A 2. A 6 E 3. В 7. E 4. В D |ui < 1 1 1 1 1 1 0 0 0 i 0 i 0 1 1 1 21. D= AB E = (A + В) + C 22. D == (A + В) C 23. a) A + BC = D 10. 6) AB + CD = E I 0 £. Глава 6. Триггер Упражнения 1. Q=l, 5. Q = 0, Q = 0. Q«l, 212
2. Q = 0, 6. Q=l, Q=l. Q = 0. 3. Q = 0, 7. Q Q Q=l, 5 5 4. Q = 1, 8. Нет Q = 0. ABC 11. Да. 12. A — 4 X С (С = A/4) (Счетчик деления на 4) Глава 7. Цифровые счетчики и десятичные индикаторы Упражнения 2. Счетчик переходит в состоя- ние 0000, а затем работает в режиме прямого счета. 3. Десятичный 0 1 2 3 5 Рис. 7.5 6 7 8 9 14. А = 2ХВ 15. Деление (на 2). 4. Нет. 5. Работает в режиме обратно» го счета, пока не дойдет до 0, Тесты Тесты 1. г 6. г 1. в 6. в 2. б 7. б 2. б 7. д 3. д 8. д 3. б 8. в 4. д 9. б 4. д 9. <Э 5, а 10. а 5. г 10. б 213
Глава 8. Сдвиговые регистры Упражнения 1. А В С D 1 0 0 0 0 1 0 0 О 0 1 О 0 0 0 1 0 0 0 0 2. Единица сдвигается от триг- гера к триггеру вправо, пока вся информация не выдвинется и регистр не установится в со- стояние 0000. 3. 4. 4. В С В А 0 0 0 1 0 0 1 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 ! 0 0 1 0 0 5. Сдвиг продолжается все вре- мя, поскольку сигнал из точки D попадает в точку А. 6. Нет. Тесты 1. б 4. в 2. а 5. г 3. б Глава 9. Вентиль ИСКЛЮЧА- ЮЩЕЕ ИЛИ Упражнения 1. С о 1 Рис. 9.2 1 0 2. Вентиль ИЛИ дает 1 при включении сигнала единичного уровня на одном из входов. Вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ обеспечивает 1 на выходе только в том случае, когда два входных сигнала различны. 3. F 1 0 0 ° Рис. 9.4 1 0 1 1 4. Когда в ABCDE появляется четное число единиц, выход F всегда равен 1. КогдавАВСЭЕ появляется нечетное число еди- ниц, выход F всегда равен 0, 5. 1. 6. 0. 7. С 0 0 ® Рис. 9.6 1 1 1 8. 0. 9. 1. Тесты 1. г 4. а 2. б 5. д 3. в 6. д Глава 10. Цифровая система Упражнение 1. Счет 2-3 4-5 Рис. 10.3 9-0
Приложение 1 ОПИСАНИЕ СЕРИИ ТТЛ 5400/7400 Следующие короткие описания избранных интег- ральных схем ТТЛ серий 54/74 приводятся здесь стой целью, чтобы предоставить читателю справочные ма- териалы относительно интересующей его схемы. Для каждой схемы приводится расположение выводов, а также краткое объяснение принципа действия и (или) ее отличительные особенности. Материалы для этого приложения заимствованы из публикаций, любезно предоставленных фирмой Texas Instruments, Inc. Для получения более детальной ин- формации по вопросам использования той или иной схемы следует обратиться к одному из справочников по ТТЛ-схемам, например к книге The TTL Data Book for Design Engineers, Second Edition, Texas Instruments Inc., 1976. Таймер 555 (рис. П.1) ИС таймера 555 можно использовать в качестве недорогого и точного астабильного устройства или Рис. П.1. Расположение выводов таймера 555. 215
моностабильного устройства с однократным запуском. Таймер 555 выпускается в корпусе DIP с 8 выводами и может выделять или потреблять ток в 200 мА. Ниже приведены способы коммутации и объяснения принци- пов работы схемы в режиме моностабильного (рис. П.2) и астабильного (рис. П.З) мультивибра- торов. Описание работы Когда на вход запуска (вывод 2) подается отрица- тельный импульс, выходной сигнал с вывода 3 пере- ходит из состояния низкого уровня в состояние высо- кого уровня. Время пребывания выходного сигнала в состоянии высокого уровня определяется времязадаю- щей цепью. По истечении некоторого времени выход- ной сигнал возвращается в состояние низкого уровня и остается в нем до прихода следующего импульса запуска. Время длительности включенного состояния вычисляется с помощью следующего выражения: Т= 1.1RC, где R •— сопротивление (в МОм); С — емкость, (в мкФ); Т — время (в с). Вывод 5 должен быть подключен к земле через танталовый конденсатор емкостью 0,01 мкФ. Хотя схема может работать и без него, в литературе рекомендуют подобное подключение. При коммутации схемы для работы в астабиль- ном режиме частота определяется следующим выра- жением: f=l,5/(R1 + 2R2)C, где f — частота (в Гц); Rb R2 — сопротивление (в МОм); С — емкость (в мкФ). Если R2 намного пре- вышает Ri по величине, времена включенного и вы- ключенного состояний схемы будут примерно одина- ковы. Соотношения времен включения и выключения можно изменить с помощью изменения соотношения сопротивлений двух резисторов. Вывод 5 должен быть подключен на землю через танталовый конденсатор емкостью 0,01 мкФ. Целе- сообразность такого подключения проверена на прак- тике. 216
Рис П.2. Подсоединение таймера 555 для работы в режиме мо- нестабильного мультивибратора. Рис. П.З Подсоединение таймера 555 для работы в режиме аста- бильного мультивибратора. 217
14 (+) 13 12 ii io g a Рис. П 4. Расположение выводов ИС 7400 (логика вентиля А=ВС), ИС 7400 — четыре 2-входовых вентиля И-НЕ (рис. П.4) Особенности Каждый вентиль может быть использован отдельно. Схема требует напряжения питания 5 В. Максимальный ток схемы достигает 20 мА. Устройство удобно в эксплуатации и отличается ма- лой стоимостью. Часто используется в качестве инверторов, а также вентилей И-НЕ. ИС 7402 — четыре 2-входовых вентиля ИЛИ-НЕ (рис. П.5) Особенности Необходимо напряжение питания 5 В. Возможность использования каждого вентиля в от- дельности. Максимальный ток в схеме 20 мА, 218
Рис. П.5. Расположение выводов ИС 7402 (логика вентиля А = В + С). ИС 7404 — шесть инверторов (рис. П.6) Особенности Необходимое напряжение питания 5 В. Возможность использования каждого инвертора в от- дельности. Максимальный ток в схеме 20 мА. Рис. П.6. Расположение выводов ИС 7404 (логика инвертора А = В). 219
Рис. П.7. Расположение выводов ИС 7432 (логика вентиля А = В +С). ИС 7432 — четыре 2-входовых вентиля ИЛИ (рис. П.7) Особенности Необходимое напряжение питания 5 В, Возможность использования каждого вентиля в от* дельности. Максимальный ток в схеме 20 мА, ИС 7447 — дешифратор — драйвер 7-сегментного индикатора (рис. П.8) Особенности Необходимо использовать источник питания с напря- жением 5 В. Светодиоды 7-сегментного индикатора подключаются к выходным клеммам интегральной схемы через резисторы с сопротивлением 330 Ом. Во включенном состоянии сигналы на выходах имеют низкий уровень (—) и потребляемый ток достигает величины порядка 40 мА. При подаче сигнала низкого уровня (—) на вывод 3, предназначенный для конт- роля индикатора и находящийся обычно в состоянии высокого уровня (+), загораются все сегменты инди- катора. Установка индикатора на нуль происходит при подаче сигнала низкого уровня (—} на вывод 5, Вы* 220
JBuxod на индикатор ' l r-Л---------ч 16 75 1А 13 12 11 10 9 пппппппп t д a- b с d в Вход *-> V_______LJOi-A.. JJdL. и ЛА и ЕГ и u и □ □ ЕГ 12 5 4 5 6 7 8 7-сегментный сир (-} Рис. П.8. Расположение выводов ИС 7447. вод 4 используется для подавления избыточных нулей в предыдущих индикаторах цифр числа. Максималь- ный ток в схеме составляет порядка 64 мА. ИС 7476 — два JK-триггера с установочными входами (рис. П.9) Особенности Напряжение питания составляет 5 В. Обратите вни- мание, что выводы питания расположены не как обыч- но, а на клеммах 5 и 13. Каждый триггер можно ис- пользовать в отдельности. Максимальный ток составляет 40 мА. Максимальная рабочая частота равна 20 МГц. При подаче сигнала низкого уровня (—) на вход СБРОС (CLR) происходит установка триггера в со- стояние Q = 0, Q = 1. При подаче сигнала низкого уровня (—)' на вход УСТАНОВКА (PR) триггер устанавливается в состоя- ние Q = 1, Q = 0. Нельзя подавать сигналы низкого уровня одновре- менно на оба установочных входа, так как в этом слу- чае триггер будет неуправляемым. Если сигналы J = 0 и К = 0, то лпри поступлении импульса синхро- 221
Рис. П.9. Расположение выводов ИС 7476. низации изменения состояния триггера не произойдет. Так как переключение триггера происходит при по- даче импульса синхронизации, изменение сигналов на входах J и К разрешено только после окончания сиг- нала синхронизации. Если J = 1, а К = 0, то импульс синхронизации (CLK) установит триггер в состояние Q= 1, Q = 0. Если J = 0, а К = 1, то с поступлением сигнала син- хронизации триггер перейдет в состояние Q = О, Q=l. При наличии на входах J и К сигналов высокого уровня (+) с каждым импульсом синхронизации триггер будет переходить в противоположное состоя- ние, в результате чего частота выходного сигнала бу- дет в два раза меньше частоты входного сигнала. ИС 7486 — четыре 2-входовых вентиля ИСКЛЮЧАЮЩЕЕ ИЛИ (рис. П.10) Особенности Требуется источник питания напряжением 5 В. Максимальный ток составляет 30 мА, 222
Рис. П.10. Расположение выводов и таблица истинности для ИС 7486 (логика вентиля А = ВФС = ВС + ВС). Каждый вентиль может быть использован в отдель- ности. Как видно из таблицы истинности, сигнал высокого уровня появляется на выходе в том случае, когда ло- гические сигналы на входах различны. ИС 7490 — десятичный счетчик (рис. П.11) Особенности Необходимо использовать источник питания с напря- жением 5 В. Обратите внимание, что полюса источ- ника питания подключаются к выводам 5 и 10. GUHXp. / (5x3 Двоичхт-дпс: ffsix. НЗ (-) 'B^_G 14 15 12 11 10 S в ---П П П п п п п____ 4-2 Синхр ) Синхр, ~5 □ U U U U 1ZI— 1 4 5 Сипхр. 2(5х.} Устан.нуля (+) Установка на S Рис. П.11. Расположение выводов ИС 7490. 223
Максимальная рабочая частота составляет 16 МГц, В корпусе содержатся два независимых счетчика. Вход счетчика деления частоты на 2 расположен на выводе 14, а деления частоты на 5 — на выводе 1. Что- бы получить счетчик деления частоты на 10, необхо- димо вывод D (вывод 14) закоммутировать на вход синхронизации 1 (вывод 14), а вывод 1 использовать в качестве входа синхронизации. В результате будет получен выходной сигнал в двоично-десятичном коде. Счетчик может быть установлен на нуль с помощью подачи сигнала высокого уровня на вывод 2 или 3 (или на оба сразу). .Установка в состояние 9 произ- водится подачей сигнала высокого уровня на один или оба входа 6 и 7. Входной сигнал синхронизации должен быть без дре- безга. Во время работы на оба установочных входа (0 и 9) должен подаваться сигнал низкого уровня (—). Возможен только прямой счет (счет с увеличе- нием содержимого счетчика), Синхронный реверсивный двоично-десятичный счетчик типа 74192 с установочными входами (рис. П.12) В зависимости от того к какому из двух входов (UP или DN) закоммутирован генератор синхроим- пульсов, счетчик считает в прямом или обратном на- правлении. На входе синхронизации, остающемся сво- ей 16 15 14 13 12 11 ю g пппппппп (А) ' (С) (D) (В) 0в Од BN UP Q Q„ Ц И ц-п—Ц—ц ц ц 1 2 3 4 5 6 7 8 (-) Рис. П.12. Расположение выводов ИС 74192. ABCD= двоично-десятичный входной код; двоично-десятичный вы- ходной код. 224
бодным при выбранном режиме счета, во время ра- боты счетчика должен находиться сигнал высокого уровня (+). Сигнал на выходе Qabcd появляется в двоично-десятичном коде. Информация может быть «загружена» в счетчик по- средством подачи необходимого двоично-десятичного кода на входные шины А, В, С и D и кратковремен- ной подачи сигнала низкого уровня на нагрузку (вы- вод 11). Во время счета на входе нагрузки должен находиться сигнал высокого уровня. Установку счетчика на нуль можно произвести с по- мощью подачи сигнала высокого уровня ( + ) на вход сброса (вывод 14), который во время счета должен находиться в состоянии низкого уровня (—). При каскадном соединении нескольких счетчиков вы- ходы переноса предыдущего счетчика должны быть соединены со входами приема сигнала переноса по- следующего. Используйте источник питания с напряжением 5 В. Максимальный ток составляет 65 мА. Максимальная частота равна 32 МГц.
Приложение 2 Ниже приводятся не вошедшие в приложение 1 сведения по интегральным схемам серии SN 54/74 — стандартной серии биполярных интегральных схем фирмы Texas Instruments Inc. Потребляемая мощность схем почти не зависит от частоты переключения, диапазон температуры для се- рии SN 54 составляет от —55 до 4-125°C, для серии SN 74 —от 0 до +70°C. Список отечественных аналогов используемых в книге интегральных схем ИС 7400 —К155ЛАЗ, ИС 7402 —К155ЛЕ1, ИС 7404 —К155ЛН1, ИС 7432 —К155ЛА1, ИС 7474 —К155ТМ2, ИС 7486 —К155ЛП5, ИС 7490 —К155ИЕ2, ИС 7493 —К155ИЕ5, ИС 74154 —К155ИДЗ. Для получения более детальной информации по параметрам интегральных схем, не имеющих отече- ственных аналогов, следует обратиться к справоч- нику Кутыркина К). М., Нефедова А. В., Савчен- ко А. М, «Зарубежные интегральные микросхемы ши- рокого применения»/под ред. А. А. .Чернышева. — М.: Энергоатомиздат, 1984.
Библиография Воусе J., Digital Logic and Switching Circuits. Englewood Cliffs, NJ, Prentice-Hall, Inc., 1975. Dempsey J. A, Experimentation with Digital Electronics (Labora- tory Manual). Reading, MA. Addison-Wesley, Publishing Co., 1977. Doyle J. M, Digital Switching and Timing Circuits. North Sci- tuate, MA. Duxbury Press, 1976. Floyd T. L., Digital Logic Foundation. Columbus, OH. Charles E, Merril Publishing Co., 1977. Gothmann W. H., Digital Electronics. An Introduction to Theory and Practice. Englewood Cliffs. NJ. Prentice-Hall, Inc., 1977, Hawkins H., Digitl Electronics Projects. Blue Ridge Summit, PA. TAB BOOKS Inc, 1983. Kershaw J. D, Digital Electronics: Logic and System. North Si- tuate, MA. Duxbury Press, 1976. Lancaster D, TTL Cookbook. Indianapolis, IN. Howard Sams & Co, Inc, 1974. Lancaster D, CMOS Cookbook, Indianapolis, IN. Howard Sams & Co.. Inc, 1977. Leach D. P, Experiments in Digital Principles (Laboratory Ma- nual ). New York, NY. McGraw-Hill Book Co./Gregg Division, 1976. Levine M. E, Digital Theory and Practice Using Integrated Cir- cuits. Englewood Cliffs, NJ. Prentice-Hall, Inc, 1978. Levine M. E, Digital Theory and Esperimentation Using Integ- rated Circuits (Laboratory Manual). Englewood Cliffs, NJ. Prentice-Hall, Inc, 1974. Malvino A, Lech D. Digital Principles and Applications (Second Edition). New York, NY. McGraw-Hill Book Co, 1975. New York Institute of Technology, A Programmed Course in Basic Pulse Circuits. McGraw-Hill Book Co./Gregg Division, New York, NY. 1978. Porat D, Barna A, Introduction to Digital Techniques. New York, NY. John Wiley and Sons, 1979. Rhyne T. V, Fundamentals of Digital Systems Design. Englewood Cliffs, NJ. Prentice-Hall Inc, 1973. Rutkovski G , Oleski J, Fundamentals of Digital Electronics (La- boratory Text). Englewood Cliffs, NJ. Prentice-Hall, Inc, 1978. Sandige R. S, Digital Concepts Using Standard Integrated Cir- cuits. New York, NY. McGraw-Hill Book Co, 1978. Williams G. E, Digital Technology, Principles and Practices. Chi- cago, IL. Science Associates, Inc, 1977. Williams G. E, Digital Technology (Laboratory Manual). Chicago, IL. Science Research Associates, Inc, 1977. jWojslaw C, Integrated Circuits: Theory and Applications. Reston, VA. 1978. Publishing Co, Inc, 1978. 227
ПРЕДМЕТНЫЙ УКАЗАТЕЛЬ 'Аргумент двоично-десятичный 79 — десятичный 79 Буфер 35 Вентили 23 Вентиль И 24 — ИЛИ 30 — ИЛИ-НЕ 33 ---- двухвходовый 33 — И-НЕ 28 — ИСКЛЮЧАЮЩЕЕ ИЛИ 46, 163 «Взберись на гору» 186 Вывод информации 89 Генератор музыкальный на 14 нот 199 Генераторы синхроимпульсов 54 Запуск однократный 57 Инверторы 73 — на вентилях 34 — — на основе вентиля И-НЕ 34 Индикация 7-сегмептпая 78 Изготовление 92 — макета (макетирование) 100, 105 Источник питания для ТТЛ 87 — постоянного напряжения 5 В 102 ИС истоковая 90 — сверхбольшая 84 — стоковая 90 ИС 7400 132, 218 — 7402 218 — 7404 219 — 74192 149, 224 — 7432 167, 220 — 7447 220, 221 — 7449 147 — 7474 134 — 7476 139, 221, 222 — 7486 163, 167, 222, 223 — 7490 145, 223 Ключ на основе п — р — п- транзистора 72 — — — р — п — ^-транзисто- ра 70 Код 16 — Бодо 18 — двоично-десятичный 17 — ASCII 19 Комбинирование логических функций 37 Компаратор 51 Контроль четности 47 Кость игральная цифровая 178 Коэффициент объединения 87 — разветвления 87 Логика диодно-транзисторная (ДТЛ) 82 — комбинационная 23, 43 — положительная 113 — последовательная 45 — резисторно-транзисторная (РТЛ) 82 — цифровая 23 Макетирование н эксперимен- тирование 100 Мультивибратор 54, 55 — моиостабильный 57 — нестабильный 56 Обозначение вентиля И-НЕ 29 — — ИЛИ двухвходового 30 — логическое и формулы ин- вертора 35 Омметр цифровой 171 Ответы 211 Пайка 98 Переключение 70 Платы печатные 92 Подача питания 101 Представление компактное 9 Преобразование восьмеричных чисел в двоичные 13 — двоичных чисел в восьме- ричные 13 ---------десятичные 11 228
Проектирование 93 Проекты трех цифровых устройств 178 Разряд значащий младший (МЗР) 10, 11 — — старший (СЗР) 10 Реализация функции И на вентилях И-НЕ 38 — — ИЛИ на вентилях И-НЕ 40 — ----с помощью вентилей ИЛИ-НЕ 39 Регистр сдвиговый 58, 64, 155 — — 4-разрядный 64, 156 Резист 95 Светодиод 76 Сверление 98 Сегменты 7-сегментного инди- катора 79 Семейство интегральных схем 81 — КМОП 82 — ТТЛ 82 Серия ТТЛ 5400/7400 215 Синхронизация 55 Система восьмеричная 8, 12 .— двоичная 8, 10 — десятичная 9 — счисления 8 — цифровая 171 — шестнадцатеричная 8, 14 Слово нечетное 48 — четное 48 Сложение 51 Соединение проводов скручи- ванием 102 Стенд экспериментальный 109 Степень интеграции 83 ---большая (БСИ) 84 ---малая (МСИ) 84 — — средняя (ССИ) 84 Схема контроля нечетности 48 ---четности 165 — полусумматора 53 • — принятия решения на осно- ве функции ИЛИ 32 — сравнения 50 — — слов 50 -------- двухразрядная 51 — ТТЛ интегральная 85 Счетчик кольцевой 159 Счетчик циклический 66 Счетчики и индикаторы циф- ровые 144 Таблица истинности 33 - для полусумматора 54 — — логических функций И и И-НЕ 28 •---описывающая работу бу- фера 36 Таймер 555 57, 135, 215 Тесты 141, 152, 161, 168 Типы ранние 81 Травление 96 Транзистор биполярный 68 Транзисторы переключающие биполярные 68 Триггер 128 — каскадное соединение 137 О-типа 60 Упражнения с вентилями 113 — — логическими вентилями 115 •---триггерами 132 — со сдвиговыми регистрами 155 — — счетчиком 144 Условия переключения 88 Устранение дребезга 51 Устройства отображения ин- формации 75 Формы выходных сигналов 55 Функции инвертирования 34 — логические 68 ----сложные 42 Функция И 23 — ИЛИ 23 — ИЛИ-НЕ 23, 29 ----И 41 ----ИЛИ 39 — И-НЕ 23 ----И 38 ----ИЛИ 40 — ИСКЛЮЧАЮЩЕЕ ИЛИ 23, 46 DlP-упаковка 85 MAN-1 147 JK-триггер 6! RS-триггер 59
УВАЖАЕМЫЙ ЧИТАТЕЛЬ! Ваши замечания о содержании книги, ее оформлении, качестве перевода и другие просим присылать по адресу: 129820, Москва, И-110, ГСП, 1-й Рижский пер., д. 2, изд-во «Мир». Гарри Хокинс ЦИФРОВАЯ ЭЛЕКТРОНИКА ДЛЯ НАЧИНАЮЩИХ Научный редактор В. С. Соболев Младший научный редактор М 10 Григоренко Художник А. В. Лисицын Художественный редактор Н. М. Иванов Технический редактор Е Н Петрунина Корректор Н. А. Гиря ИБ № 5455 Сдано в набор 06.06.85, Подписано к печати 21.01.86, Формат 84Х108'/з2« Бумага кн -журн. Печать высокая. Гарнитура литературная. Объем 3,63 бум. л. Уел. печ. л. 12,18. Усл. кр.-отт. 12,51. Уч. изд л. 10,18. Изд. № 41/4240. Тираж 100 000 экз. Зак. 692. Цена 80 коп. ИЗДАТЕЛЬСТВО «МИР» 129820, ГСП, Москва, И-110, 1-й Рижский пер., 2. Ленинградская типография № 2 головное предприятие ордена Трудового Красного Знамени Ленинградского объединения «Техническая книга» нм. Евгении Соколовой Союзполнграфпрома при Государственном комитете СССР по делам издательств, полиграфии и книжной торговлиа 198052, г. Ленинград, Л 52, Измайловский проспект, 29.