Text
                    Серия
Системы проектирования «солон»
Сабунин А. Е.
Altium
Designer
Новые решения
в проектировании
электронных устройств

Серия «Системы проектирования» Сабунин А. Е. Altium Designer Новые решения в проектировании электронных устройств Москва СОЛОН-ПРЕСС 2009
УДК 621.397 ББК 32.884.1 С 12 Сабунин А. Е, Altium Designer. Новые решения в проектировании электронных устройств. — М.: СОЛОН-ПРЕСС, 2009. — 432 с.: ил. — (Серия «Системы проектирования»). ISBN 978-5-91359-064-0 Данная книга представляет собой первое систематическое описание основных приемов работы с системой автоматизированного проектирования радиоэлектрон- ных устройств, пришедшей на смену широко используемой в отечественной прак- тике программе PCAD. Книга написана опытным специалистом в области ALTIUM DESIGNER, препо- давателем-практиком. В ней учтен опыт доступного изложения материала, исполь- зуется технология практического проектирования и пошаговое обучение работе с системой. В ней рассмотрены основные приемы разработки электрических принципиаль- ных схем, библиотечных баз и печатных плат. Описаны различные аспекты уста- новок опций при проектировании и моделировании радиоэлектронных устройств. Рассмотрен ряд оригинальных решений, значительно повышающих эффективность этих процессов. Книга предназначена для широкого круга инженерно-технических специалистов, студентов и аспирантов технических ВУЗов, занимающихся проектированием элек- тронных устройств. КНИГА - ПОЧТОЙ Книги издательства «СОЛОН-ПРЕСС» можно заказать наложенным платежом (оплата при получении) по фиксированной пене. Заказ оформляется одним из трех способов: 1. Послать открытку или письмо по адресу: 123001, Москва, а/я 82. 2. Оформить заказ можно на сайте www.solon-press.ru в разделе «Книга — почтой». 3. Заказать по тел. (495) 254-44-10, (499) 252-36-96. Бесплатно высылается каталог издательства по почте. Для этого присылайте конверт с маркой по адресу, указанному в п. 1. При оформлении заказа следует правильно и полностью указать адрес, по которому дол- жны быть высланы книги, а также фамилию, имя и отчество получателя. Желательно указать дополнительно свой телефон и адрес электронной почты. Через Интернет Вы можете в любое время получить свежий каталог издательства «СОЛОН-ПРЕСС», считав его с адреса www.solon-press.ru/kat.doc. Интернет-магазин размещен на сайте www.solon-press.ru. По вопросам приобретения обращаться: ООО «АЛЬЯНС-КНИГА КТК» Тел: (495) 258-91-94, 258-91-95, www.alians-kniga.ru Сайт издательства «СОЛОН-ПРЕСС»: www.soion-press.ru E-mail: avtor@coba.ru ISBN 978-5-91359-064-0 © Сабунин А. Е., 2009 © Макет и обложка «СОЛОН-ПРЕСС», 2009
Введение Австралийская фирма Protel International в 1998 голу разработа- ла систему проектирования аналого-цифровых и цифровых устройств Protel (начальное название Altium Designer), которая была по возможностям сопоставима с более известными в те голы ACCEL EDA и OrCAD. В’отличие от них система, тогда еще Pro- tel 98, использовала архитектуру клиент/сервер — все ее отдельные модули функционировали в среде Design Explorer. Именно тогда была сделана первая попытка создать общую оболочку, в которой схемотехники и конструктора печатных плат могли бы решать весь спектр стоящих перед ними задач. В конце 1999 года Protel International выпустила новую версию своей программы для Windows NT/95/98 под названием Pro- tel 99 SE, в которой была объединена масса новых функций и воз- можностей. Protel 99 SE дала возможность создавать многостра- ничные иерархические принципиальные схемы, проводить моде- лирование смешанных аналого-цифровых устройств по стандарту SPICE, проектировать устройства на базе современных ПЛИС, выполнять трассировку печатных плат с применением различных процедур автотрассировки и учетом широкого набора правил про- ектирования, производить анализ целостности сигналов. Уже тог- да программу Protel отличал проектный подход к ведению разра- боток, т. е. все документы для проектирования одного устройства хранились в едином файле базы данных. Однако отсутствие воз- можности импорта баз данных схем и печатных плат из популяр- ных САПР (типа Р-CAD) ограничивали возможности применения Protel. Основными ее пользователями могли стать лишь неболь- шие организации и отдельные разработчики, не связанные со сло- жившимся технологическим циклом и привлекаемые сравнитель- но низкими ценами Protel. В августе 2002 года компания выпустила в свет пакет Protel DXP, представляющий собой продолжение собственной оригина- льной линий продуктов Protel. Этот пакет обеспечивал сквозной цикл проектирования смешанных аналого-цифровых печатных плат с использованием программируемой логики фирм Xilinx и Altera. К имевшимся ранее средствам посттопологического анали- за целостности сигналов (Signal Integrity) добавилась возможность выполнять предтопологический анализ. Но главным новшеством 3
Введение системы Protel DXP должен был стать топологический автотрасси- ровшик Situs, призванный реализовать новый подход к автомати- ческой разводке плат. На фоне полной мобилизации усилий на разработку пакета Protel DXP компания Altium продолжала развивать свой второй пакет проектирования печатных плат Р-CAD. Эта система остает- ся, и по сей день, достаточно популярной в России, что скорее определяется привязанностью наших разработчиков к названию Р-CAD (в свое время фирма Altium сделала умелый маркетинго- вый ход, переименовав пакет ACCEL EDA в Р-CAD). Так, напри- мер, по результатам опроса «Кто в каком САПР для ПП обычно работает?» проведенном на форуме electronix.ru в 2005—2006 годах, почти половина российских пользователей еще работают в про- грамме Р-CAD (см. табл. 1.1). При этом в 2006 году вышла послед- няя версия P-CAD 2006, но в ней не просматриваются какие-либо принципиальные новшества. Таблица 1.1 Результаты опроса пользователей САПР ПП в 2005—2006 гг САПР Пользователи (%) Пользователи (%) ! 1 PCAD3.X4.X 2,5 50,1 i| 2PCAD 200х 46,2 3PCAD8.X 1,4 4 ORCAD (Cadence) 13,9 13,9 Г 5 SPB (Cadence) 2,8 2,8 jj 6 PADS (Mentor) 2,5 2,5 7 Expedition (Mentor) 6,5 6,5 I 8 DXP (Altium) 11,6 14,7 9 Protel (Altium) 3,1 10 Другая САПР 9,3 9,3 _ _ _ J Во многом эволюционная версия Protcl вышла в 2004 году, именно с нее началось бурное развитие программы среди россий- ских разработчиков. В Protel 2004 появился современный Win- dow-подобный интерфейс. Именно в этой версии, наконец-то, по- явилась возможность беспрепятственно выбирать единицы изме- рения (проблема работы в дюймовой сетке была одной из 4
больших в ранних версиях программы). Кроме этого, в Protel 2004 появились: система контроля версий на базе CVS, мастер создания Spice-модслей для моделирования, получила развитие система формирования запросов Query и началось значительного ряда поддерживаемых ПЛИС. В начале 2006 года австралийская компания Altium Limited (www.altium.com) выпустила новую версию комплексного пакета проектирования электронных устройств Altium Designer 6.0. В дан- ном продукте работа над проектами печатных плат ведется в тес- ной интеграции с программированием цифровых устройств на уровне ПЛИС в единой управляющей оболочке Design Explorer. По сути в этом пакете были объединены ранее существовавшие по отдельности пакеты Protel и Ncxar. В новой версии Altium Designer 6 реализованы такие возможно- сти, как трассировка дифференциальных сигналов от схемного уровня до уровня топологии печатных плат, сваппирование выво- дов на уровне интегрированных проектов ПЛИС и печатных плат, технологии управления библиотеками и генерации отчетов Bill of Materials, поддержка интерфейса с библиотекой OrCAD Capture CIS (Component Information Systems). Если прослеживать тенденцию развития пакета, то основная ставка в данной версии была сделана на поддержку проектирова- ния высокоскоростных плат. Здесь появились и инструмент трас- сировки дифференциальных пар, и выравнивание проводников по длине. За время существования версии Altium Designer к ней было выпущено 9! обновлений. Именно за это время в программе прои- зошли значительные изменения. Летом 2008 года австралийская компания Altium заявила о пре- кращении развития программы Р-CAD, которая является домини- рующей системой проектирования печатных плат на постсовет- ском пространстве. В качестве замены привычному Р-CAD разра- ботчики предлагают использовать программу этой же фирмы — Altium Designer, которая не только является расширенным анало- гом Р-CAD, но и уже приобрела широкую известность среди раз- работчиков всего мира, как сквозная система проектирования электроники. В тоже время была выпущена новая версия программы Altium Designer Summer 08. Именно на базе этой версии была написана данная книга, хотя некоторые примеры демонстрируют инстру- ментарий следующей версии. 5
Введение Начиная с 2008 года разработчики программы Altium Designer перешли на двухгодичный никл обновления продукта и первый релиз, вышедший в этом голу носит название Altium Designer Summer 08. По сравнению с предыдущими обновлениями, где основной акцент делался на улучшение существующих возможно- стей и доработку, в новой версии добавлено несколько значитель- ных опций. Большинство изменений коснулись удобства работы с проекта- ми, например опция Design Insight — обеспечивает отображение связанности, т. е. при наведении курсора на название документа в структуре проекта показывается окно с миниатюрным изображе- нием выбранного листа. Аналогично при наведении курсора на порт схемы, отображаются все ответные порты. Улучшена система параллельной работы по сети с помощью Version Control System (VCS), а так же отлажена система комплексного выпуска докумен- тации. Основные изменения коснулись редактора печатных плат, к которым стоит отнести настраиваемую проверку целостности по- лигонов, улучшенную интерактивную трассировку, работа с трех- мерными моделями компонентов и узлов радиоэлектронных устройств (РЭУ). Из добавлений значительно расширяющих функциональные возможности программы стоит отмстить импорт файлов из про- граммы Allegro РСВ в формате *.brd, и *.alg, а также существенное улучшение интерактивной трассировки. В режиме интерактивной трассировки используются следующие технологии: Push and Sho- ve — позволяющая расталкивать уже существующие трассы и пе- реходные отверстия, Walkaround — располагает трассы максималь- но близко к существующим, Hugging — уплотняет существующую топологию вновь прокладываемой дорожкой. Ориентация последних релизов Altium Designer на MCAD и формат STEP, выразилась в возможности параллельной работы в ECAD-MCAD. Данная возможность является принципиально но- вой для программ такого класса и назначения. В Altium Designer Summer 08 возможно открыть готовый узел РЭУ с установленной в нем одной или нескольких плат, и разрабатывать далее плату с учетом ее сопряжения с механическими деталями и другими пла- тами. Изменения, внесенные в одну из ячеек плат или механиче- ских деталей, можно мгновенно перенести обратно в MCAD. 6
В конце прошлого года появилась версия Altium Designer Win- ter 09, которая на настоящий момент является текущей. Большин- ство нововведений, появившихся в последней версии, были сдела- ны по предложениям разработчиков печатных плат в целях увели- чения производительности и снижения ресурсоемкое™ системы. Программисты Altium значительно упростили программный код, за счет чего на этапе разработки платы значительно улучше- ны скоростные и производительные характеристики, включая рас- ширение возможностей интерактивной трассировки и работы в трехмерном режиме. Следующий этап в интерактивной трассировке — это встроен- ная оптимизация цепей путем замены выводов и интерактивная трассировка, дают возможность разработчикам заменять выводы (подцепи) во время выполнения трассировки как для одиночных цепей, так и для дифференциальных пар. Новая трассировка диф- ференциальных пар разработана с применением технологий оги- бания и расталкивания препятствий, а также автозавершения трассы. В новую интерактивную трассировку шин добавлены все возможности обычной интерактивной трассировки. В Altium Designer Winter 09 внесены несколько изменений, ко- торые позволяют расширить возможности разработки плат, на- пример: описание параметров контактной площадки отдельно по слоям, что необходимо при подключении отверстия с разными значениями подключения на разных сигнальных слоях. Это позво- ляет увеличить технологичность платы и плотность тополо- гии. Смещение отверстия относительно контактной площадки, да- ющее возможность использовать обобщенное посадочное место, рассчитанное на установку компонента с разными корпусами. По- вышение производительности графической системы при работе с 3D. Новый быстродействующий графический движок 3D, вне- дренный в редактор плат Altium Designer два выпуска назад, по- зволил значительно снизить нагрузку на рабочие станции пользо- вателей. В Altium Designer Winter 09 система была оптимизирова- на, за счет чего была не только увеличена производительность обработки графики, но и уменьшена нагрузка аппаратных средств. Новые правила позволяют проверять проекты плат до форми- рования выходных файлов, что в свою очередь ускоряет время пе- редачи платы в производство. Среди нововведений также можно отметить, появление контро- льно-измерительной панели, использующей программные инстру- 7
Введение менты на основе ПЛИС, системы управления выпуском проектов, возможность идентификации компонентной базы по коду постав- щика и поддержку импорта из системы Zuken Cadstar. Программе Altium Designer в этом году исполняется 10 лет! За это время в нашей стране были выпущены лишь две книги, посвя- щенные работе в ранних версиях программы. Данная книга явля- ется первой попыткой, наиболее систематизированного описания процессов работы в последней версии Altium Designer. Конечно, разнообразие предыдущих версий вносит незначительные отли- чия, но в целом по данному изданию можно научиться работать с любой из предыдущих версий, начиная с Protel DXP. В книге не делается попытка описать весь инструментарий программы, который настолько объемен, что для этой задачи не хватит и нескольких книг. Также перед этим изданием не стоит задача создать руководство с набором стандартных действий в каждой ситуации. В главах этой книги представлен лишь подход автора к решению конкретных задач, который был отработан на учебных курсах по работе с Altium Designer, и нашел применение на большинстве предприятий, где успешно применяется данная программа. «Автор выражает признательность преподавателям кафедры КТРЭС Владимирского государственного университета (Панкову Л. Н., Евграфову В. В., Руфицкому М. В., Аслонянцу В. Р. и др.) за высокопрофессиональное обучение, давшее дорогу в мир разра- ботки радиоэлектроники. Автор выражает искреннюю благодарность Прановичу В. И. и Татаринову В. Д. за полезные замечания и ряд предложений, вы- сказанных при написании данной монографии, а также коллекти- ву ЗАО «НПП «РОДНИК» и лично генеральному директору Кириллову Ю. В. за поддержку в подготовке данной книги!» 8
Глава 1 Знакомство с платформой Altium Designer Среди российских разработчиков печатных плат наиболее вос- требованной является линейка программ Р-CAD, о приостановке разработки которой было объявлено в 2007 году. На смену при- вычной не одному поколению конструкторов программе P-CAD фирма Altium предлагает более проработанный и идеологически полный пакет сквозного проектирования Altium Designer, более известный под названием Protel. 1.1. Системные требования и установка программы Прежде чем приступить к работе с системой Altium Designer, необходимо установить ее на компьютер пользователя. Приобре- тая программное обеспечение у официального представителя, по- льзователь получает 2 диска, содержащие дистрибутив для уста- новки программы. Приступая к установке, убедитесь, что возмож- ностей компьютера достаточно для корректной работы всех приложений среды Altium Designer. Рекомендуются следующие системные требования: • Операционная система ХР, Vista (поддерживается с обновле- ния 6.7) • Процессор Pentium 4 1,6 ГГц или аналогичный • ОЗУ 1 ГГц • Монитор 17”, с разрешением 1280 х 1024 и выше (желательно) • 2,2 Гбайт свободного места на жестком диске (для полной установки) • Видеокарта с поддержкой DirectX9.0C. Обычно при установке 1-го диска из комплекта производителя запускается автоматическая пошаговая установка системы. Если программа не запустилась автоматически, необходимо выполнить это вручную, запустив Setup.exe из директории Setup на ком- пакт-диске. В пошаговом режиме рекомендуется оставить установ- ки по умолчанию, после чего программа Altium Designer будет установлена в папку C:\Program Files\Altium Designer 9
Г.пава 1. Знакомство с платформой Altium Designer После установки системы се можно запустить через меню ПУСК (Start), по умолчанию ярлык Altium Designer добавляется на первый уровень этого меню. При первом запуске программы она имеет вид, показанный на рисунке 1.1. Кроме того, как показано на рисунке, мо- гут быть открыты еше некоторые панели, например Sheet. Стоит отметить, что в дан- ный момент невозможно создать какой-ли- бо новый документ, или открыть существу- ющий, так как все команды заблокированы (погашены серым цветом) — это говорит об отсутствии активированной лицензии. Для активации и подключения лицензии у Altium Designer Winter 09 File View Project 4 Customize... [J jj Preferences... System Info... Run Process... Pun Script... Check For Updates... My Account... используется вкладка My Accaunt, которая открывается при выполнении DXP> Му Accaunt. На вкладке Му Accaunt необходимо выбрать две настройки: во-первых, тип лицензии Standalone или Floating (стандартная или 10
1.1. Системные требования и установка программы плавающая соответственно) и при выборе Standartalone — осуще- ствляемое действие: Activate license using the web (Активация через Интернет) Activate license via email (Активация по электронной почте) I) Add license file (Добавить лицензионный файл) 2) Delete license (Удалить лицензию из списка) лицензии 1.1.1. Установка одиночной лицензии При первом запуске у пользователя отсутствует лицензионный файл и для его получения наиболее удобно воспользоваться акти- вацией кодов по электронной почте. Итак, для активации кодов и получения лицензионного файла, в окне License Management следует выбрать Activate license via email, после чего на экране появится окно, показанное на рисун- ке 1.2. В пустые поля данного окна необходимо ввести номер пользо- вателя и код активации, полученные от дистрибьютора, после чего становится активной команда Generate e-mail attachment, при вы- ll
Гпава 1. Знакомство с платформой Altium Designer Л J ^vere^andunderrtandthe4bove.IuriderUdndml<)rn)4ticr ^bout myK CusbNnrr Number: * I AdiVdbon t ttdK Ml таи ЕЗЯ _ » Щ Generate enwd attachment ££ Add Ikence file gjRe'urn to Ik errfng оде Ш*п Designer Home Рис. 1.2. Запрос кодов активации боре которой будет предложено сохранить текстовый файл. Сохра- ните файл на жестком диске компьютера и затем, свернув окно Altium Designer, перешлите этот файл по адресу activation@alti- um.com. В течение нескольких дней на ваш почтовый ящик будет выслан ответ, содержащий файл лицензии с расширением *.alf, который необходимо скопировать в директорию C:\Program Fi- les\Altium Designer. После проделанных действий будут доступны все команды данной лицензии. 1.1.2. Установка плавающей лицензии Описанными выше действиями мы описали подключение стан- дартной однопользовательской лицензии, кроме которой сущест- вует так называемая плавающая (Float) лицензия. Эта лицензия позволяет установить систему Altium Designer на несколько компьютеров, находящихся в сети, при этом работать с програм- мой может единовременно только один пользователь. Подключение плавающей лицензии требует установки менеджера лицензий, которая осу- ществляется из директории Floating License Server Sctup\Setup первого диска дистрибути- ва. Запуск установки происходит в пошаговом режиме и не вызывает трудностей. После уста- новки менеджера лицензий его необходимо запустить и указать, какие компьютеры в сети могукпользоваться плавающей лицензией. Для запуска менеджера лицензий зайдите в диалог Computer Management, для чего нажмите ПК на иконке Мой компьютер и выберите Управление (Manage). Далее откройте список доступных приложений (Services) и запустите из этого списка менеджер лице- нзий Altium, как показано на рисунке 1.3. После этого в трее поя- вится иконка менеджера. 12
1.1. Системные требования и установка программы Для управления компьютерами, имеющими доступ к лицензии необходимо запустить менеджер из трея и по порядку выполнить следующие действия: I) Нажать кнопку Add license (рис. 1.4) и добавить лицензион- ный файл, а при его отсутствии нажать кнопку Activate и активи- ровать коды, полученные от дистрибьютора. (Стоит обратить вни- мание, что плавающая и стандартная лицензия отличаются). Рис. 1.3. Запуск менеджера лицензий 13
Г.пава 1. Знакомство с платформой Altium Designer 2) После добавления лицензии нужно нажать кнопку Configure (рис. 1.5) и указать компьютеры в сети, которые могут иметь до- ступ к лицензии. Первыми двумя шагами был создан сервер, от которого будут брать лицензию остальные компьютеры в сети. 3) На одном из указанных компьютеров, через диалог License Management выбирается тип лицензии Float, после чего автомати- чески проводится поиск серверов в сети. При обнаружении серве- ра, необходимо нажать кнопку ОК. 4) Далее в списке Product Name следует выбрать нужную лице- нзию и выбрать команду Use selected floating licenses (рис. 1.6), в результате чего в графе Used должна появиться надпись In Use. Рис. 1.5. Добавление пользователей к плавающей лицензии Локальная |jj| Available licenses Available licenses Activate license using (fee web ^Activate license УМеамД SI •I Rebase selected Ifeetewjkrmrs Rebest) trotn Itemse sdrve v Product Mm - Ahi/n Deogner emended fedUyg sat, WntartSrf In use rtxiaw ЖЖЖЖ’ЖЖЖЖТГЖ™' User Count t/20 IxpryWe Infinite (J) Ddete kenses Product Name Location Expiry Dote Ahum Designar extended feature set, Whter G5D:\rw.r efts end ЭДЬдДО UsrrriAppfc Wrtte Плавающая Рис. 1.6. Выбор лицензии на компьютере пользователя 14
1.2. Интерфейс пользователя 1.2. Интерфейс пользователя Altium Designer предоставляет широкие возможности для разра- ботки электронных устройств, охватывая все этапы программного проектирования. Все эти области различных этапов проектирования присущи раз- делам одной, объединяющей системе, встроенной в интегрирован- ную платформу Design Explorer (DXP) функциональные возможно- сти которой зависят от специфики приобретенных лицензий. Интегрированная платформа DXP, лежащая в основе Altium Designer, запускается одновременно с любыми из редакторов и программными процедурами Altium Designer. DXP, по сути, пред- ставляет интерфейс пользователя со всеми программными инстру- ментами и редакторами. Для знакомства с интерфейсом программы воспользуемся гото- вым проектом, который находится в папке примеров Altium Desig- ner. Предполагая, что программа Altium Designer уже запущена, выполним File>Open, после чего в строке тип файла (Files of type) выбираем Project file и открываем проект, из директории C:\Pro- gramFiles\Altium2004\Examples\ReferenceDesigns\4 Port Serial Inter- face (рис. 1.7). "1 "'PCB йхвуГ.рсЫЬ. "4b) Schematic file jchdoc; “ sch; “.OpenBus) Schematic fotaty f.scHb; “.fcb) Рис. 1.7. Выбор типа файла 15
Г.пава 1. Знакомство с платформой Altium Designer Файл проекта имеет расширение *.PijPcb, кроме него других файлов в папке нет. Выбираем этот файл и нажимаем кнопку От- крыть (Open). Вид рабочей области не изменится, а слева на пане- ли Project появится отображение структуры проекта. Дважды щел- кнув на ярлыке с названием ISA Bus and Address Decoding.SchDoc, откроется лист схемы и программа примет вид, показанный на ри- сунке 1.8. Рис. 1.8. Интерфейс Altium Designer Окно редактора состоит из набора меню и панелей инструментов, рабочей области и отдельных панелей, посредством которых производится большая часть работы над документами проекта в среде Altium Desig- ner. Особое внимание стоит уделить меню DXP, через которое осуществляется доступ к наиболее существенным диалоговым ок- нам системы, таким как настройки, обнов- ления и т. д. < Altium Designer Winter 09 lfc|DXp k File View Project * | Customize... Preferences... System Info... Run Process... Check For Updates... My Account... ............f- Run Script... 16
1.3. Управление панелями Данное меню содержит следующие команды: • Customize — перечень возможных команд и панелей инстру- ментов, • Preferences — доступ к настройкам отдельных редакторов, • System Info — перечень приложений доступных (в соответст- вии с лицензией) для запуска на базе данной платформы DXP, • Run Process — запуск процесса, • Check for Update — проверка на наличие обновлений, • Licensing — управление лицензиями, • Run Script — запуск скрипта. В отличие от всех остальных меню, состав команд меню DXP не изменяется при запуске различных редакторов на базе плат- формы Design Explorer, то есть данное меню относится ко всей платформе, а остальные имеют принадлежность к активному ре- дактору. 1.3. Управление панелями Панели рабочей области являются основным составным эле- ментом среды Altium Designer. Эти панели могут быть специфиче- ски настроены в определенном редакторе документа или исполь- зоваться на более глобальном уровне. При первом запуске программного обеспечения, ряд панелей будет открыт сразу. Некоторые из панелей, такие как Files или Projects, будут появляться группами слева от главного окна проек- та. Другие, такие как панель Libraries, представляются в выпадаю- щем режиме и появляются в виде кнопок на правой границе глав- ного окна проекта. В нижней правой части прикладного окна имеется ряд кнопок, которые обеспечивают быстрый доступ к имеющимся панелям ра- бочей области, в зависимости от используемого редактора доку- мента. Каждая кнопка помечена именем категории панелей, к ко- торой возможен доступ. При нажатии по такой кнопке, появляет- ся выпадающий список панелей указанной категории (рис. 1.9). Все текущие доступные панели рабочей области могут также быть доступны из подменю View>Workspace Panels. Для знакомства с операциями управления расположением па- нелей откроем дополнительно панель Snippets. Для этого следует 17
Г.пава 1. Знакомство с платформой Altium Designer выбрать категорию System и в списке панелей найти Snippets (рис. 1.9). По умолчанию данная панель открывается в центре рабо- чей области как самостоятельная одиночная панель. В зависимости от активного ре- дактора текущего документа, ряд панелей может быть доступен или открыт в любой момент времени. Для облегчения компоновки и ис- пользования множества панелей в рабочей области, предназначены различные режимы представления панелей и управления их свойст- вами. Clipboard Favorites Files Libraries Messages Ojtput: Projects Storage Manager Suppler Search To-Co || Systenl nspector | Puc. 1.9. Доступ к панелям рабочей области В Altium Designer поддерживаются три различных режима пред- ставления панелей: • Docked Mode — в этом режиме панель можно поместить го- ризонтально или вертикально в пределах главного окна. На- жатие ПК на заголовке панели и выбор Allow Dock из выпа- дающего меню позволяют выбрать возможности размещения панели — горизонтально или вертикально. При вертикальном размещении панель будет помещена справа или слева в главном окне проекта. При горизонтальном размеще- нии панель будет помещена выше главного окна проекта (но ниже панелей инструментов) или ниже главного окна проекта (но выше строки статуса). На примере открытой ранее панели Snippets посмотрим, как раз- мещать панель в режиме Docked Mode. Для этого необходимо на- жать ПК на заголовке панели и выбрать пункт Allow Dock и далее указать доступный тип ориентации панели горизонтальный (Hori- zontal), как показано на рисунке 1.10. После выбора типа располо- жения панели, необходимо разместить ее на нужном месте, для чего следует захватить ее за заголовок ЛК и начать перемешать. При перемещении в центре панели появляются метки расположения па- нели (рис. 1.11). Необходимо, не отпуская ЛК, переместить панель за заголовок на нижнюю метку, после чего панель разместится в нижней части рабочего окна, как это показано на рисунке 1.12. 18
1.3. Управление панелями Close 'Snippets’ nippeh E Allow Dock Is I maximize ! !+ . j CodeS n_,_________.____ Vх Horizontally Vertically Puc. 1.10. Включение режима Docked Mode Pop-out Mode — в этом режиме панель будет появляться в виде кнопки на границе рабочего окна. Если выполнить на- жатие ЛК на кнопке панели, то это приведет к переносу па- нели за границу окна. Повторное нажатие ЛК на кнопке па- нели вызовет ее перемещение обратно. Кроме того, можно просто навести указатель мыши на кнопку панели и через 2 секунды эта панель появится в рабочем окне, а убрать всплывающую панель можно, выполнив щелчок ЛК в любом месте документа. Символ вывода будет изменяться для ука- зания режима: — Панель в обычном режиме размещения — Панель в выпадающем режиме Floating Mode — в этом режиме панель можно поместить где угодно, внутри или вне среды Altium Designer. Это стандарт- ный режим для открытия панелей, если они нс были предва- рительно установлены в режиме размещения или выпадаю- щем. 19
Гпава 1. Знакомство с платформой Altium Designer Рис. 1.12. Горизонтально и вертикально расположенные панели Рис. 1.13. Управление расположением панелей 20
1.3. Управление панелями GND Рис. 1.14. Полупрозрачное отображение панелей Плавающая панель, помещенная поверх зоны редактирования в главном окне проекта, будет представлена в полупрозрачном ре- жиме, что позволяет производить интерактивные операции в глав- ном окне, в соответствии с опциями, заданными на странице Sys- tem — Transparence диалога DXP>Preferences. Кроме описанных методов размещения панелей, они могут быть сгруппированы посредством перетаскивания и фиксации од- ной панели поверх другой. Результат отображения группы зависит от того, где точно была зафиксирована добавленная панель. Под- держиваются два режима группировки панелей: • Стандартная группировка в виде вкладок (рис. 1.15) — этот режим отображает набор панелей как группу вкладок, при этом видима только одна (активная) панель в группе. Для группирования панелей в этом варианте используйте сле- дующие действия: перетащите нужную для добавления панель в центр выбранной панели (или существующей группы) и зафикси- руйте. Оранжевая стрелка позиционирования появится в правой части вкладки выбранной панели, указывая, в какое место группы новая панель будет добавлена в качестве другой вкладки. Голубой полутоновый цвет также указывает, куда будет добавлена панель относительно выбранной панели. 21
Г.пава 1. Знакомство с платформой Altium Designer Разместим панель SCH Inspec- tor, которую необходимо сначала открыть, в группу к панелям Files и Projects. Чтобы открыть панель SCH Inspector, откройте катего- рию панелей SCH, в левой ниж- ней части окна и выберите из спи- ска панель SCH Inspector, которая появится в центре рабочего поля. Далее добавим эту панель в группу панелей, указанную выше. Для этого следует захватить ЛК за за- головок панели SCH Inspector и переместить се в центр группы па- нелей, после чего появятся метки группировки панелей (рис. 1.I6). В данный момент нас интересует оранжевая стрелка в нижней части Рис. 1.15. Группировка панелей в виде вкладок панели, которая показывает расположение новой панели в группе. Расположив курсор в центре группы панелей, отпускаем ЛК. по- сле чего панель SCH Inspector добавляется в группу и в нижней части группы появляется соответствующая закладка (рис. 1.16). Порядок размещения панелей в группе вкладок может быть из- менен в любой время, нажатием на вкладке панели и перемеще- нием се правее или левее, по необходимости. Появится стрелка позиционирования, маркирующая позицию в групповой послело- I" XI , П Onwleton Locked | Mewed I S‘o. Hriden FV-t I Sbdw C e-V* J R Specie'____________________________ rDew^Oon TTl VERSATILE ₽«. D6V1CI Lock t О LockB-r. Г Метки для стандартной Cun группировки панелей Lock Рсиртла Lock Ре» Ю ' I xUta d fror ofctrt Ikw cwwit duc«w< I Obuci trd Part _ _____ ISA Вл er«j A&kett Decолпд SrW [ XI 610 Y1 530 Onarioton CDeyws lacked Miacred Ue» Mode Nord Helen Pre [3 ,4ИЛ ITlVtFlSAllLE PAL DtwU Вкладки Рис. 1.16. Группирование панелей в виде вкладок 22
1.3. Управление панелями вательности, в которой будут расположены панели при отпуска- нии клавиши мышки. • Фрактальное группирование — этот метод отображает набор панелей как фрактальное группирование, когда несколько панелей в группе видимы одновременно. Фрактальное группирование может содержать индивидуальные панели и/или стандартную группу вкладок. В этом варианте груп- па панелей создается простым перетаскиванием добавляемой па- нели в верхнюю, левую, правую или нижнюю часть целевой пане- ли (или существующей группы) и фиксации ее. Выбранное на- правление определяет место новой панели относительно основной (при перемещении позиция новой панели будет показана метками верх, низ, право, лево — как на рисунке 1.16). Рис. 1.17. Фрактальная группировка панелей При перемещении панели поверх основной, затемнение будет очевидно (рис. 1.18) — используйте это для помещения панели в требуемое место. Убедитесь, что оранжевая стрелка позициониро- вания не отображается при добавлении панели, иначе она будет добавлена как дополнительная вкладка панели (стандартным спо- собом). 23
Гпава 1. Знакомство с платформой Altium Designer Рис. 1.18. Процесс фрактальной группировки панелей Панель можно закрыть щелчком ПК в строке заголовка (или на вкладке, если она доступна) и выбором Close из последовательно- сти выпадающих меню. Используйте «крестик закрытия» в правой части заголовка па- нели для закрытия одиночной панели. Однако, если панель явля- ется частью группы (фрактальной или стандартной из вкладок), используйте этот крестик для закрытия всей группы панелей. В плавающем режиме панель может быть максимизирована щелчком ПК на строке заголовка (или на доступной вкладке) и выбором команды Maximize из последовательности выпадающего меню. Для возврата максимизированной панели к ее прежнему размеру, выполните нажатие ПК на строке заголовка или на вкладке и укажите команду Restore из выпадающего меню. Также, можно дважды щелкнуть на строке заголовка для пере- ключения между состояниями максимизации и восстановления. 1.4. Проект — как основа разработки 1.4.1. Типы проектов в Altium Designer Начальной точкой каждого конструктивного решения в Altium Designer является проект. Проект представляет собой набор доку- 24
1.4. Проект — как основа разработки ментов, чьи данные предназначены для разработки одного само- стоятельного изделия. Например, файлы схем и платы в виде од- ного проекта платы представляют собой набор файлов для изго- товления одной печатной платы, в то время как схема и текст в формате HDL в проекте ПЛИС представляют собой набор фай- лов, необходимых для программирования единственной ПЛИС. Комплект документов, которые создают проект, формируется совместно с файлом проекта. Файл проекта содержит все установ- ки, включая связи с каждым документом в проекте и все проект- но-зависимые опции. Каждый документ в проекте записывается как отдельный файл, который связан с проектом через относите- льные ссылки к файлам на одном и том же логическом устройстве или абсолютные ссылки на файлы на различных логических устройствах. Выходные данные, генерируемые из проекта, также ссылаются на проектный файл. Altium Designer поддерживает различные типы проектов. Ниже кратко рассмотрены основные типы проектов. Проект платы — РСВ Project (*.PrjPcb). Набор документов, не- обходимых для изготовления печатной платы. Электронная схема вводится в редакторе схем, создаваемая из библиотечных симво- лов, которые размещаются на листе и соединяются проводниками. Проект передается в редактор плат, где каждый компонент пред- ставляется как посадочное место (корпус) и проводники на схеме преобразуются в соединительные линии от вывода к выводу. Определяется окончательный вид платы, совместно с физически- ми слоями платы. Описываются правила проектирования для из- готовления фотошаблона, такие как ширины проводников и зазо- ры. Компоненты размещаются в пределах контура платы и соеди- няются линиями связей, которые затем заменяются трассами, вручную или автоматически. Когда проект закончен, генерируют- ся выходные файлы в стандартных форматах, которые можно ис- пользовать для изготовления платы, установки компонентов на сборочной машине и т. д. Проект ПЛИС — FPGA Project (*.PijFpg). Набор документов, которые могут быть обработаны для программирования ПЛИС. Проект создается с помощью редактора схем и (или) программи- рованием на языке HDL (VHDL или Verilog). Добавляются файлы ограничений в проект для описания требований проекта, таких как программируемое устройство, внутреннее распределение вы- водов для цепей и устройств, требований к быстродействию цепи. 25
Глава 1. Знакомство с платформой Altium Designer определения частот на выводах и т. п. Синтез проекта транслирует исходные данные в набор вентилей низкого уровня, в стандарт- ный формат файла, известный как EDIF. Инструментарий произ- водителя устройства затем обрабатывает данные EDIF и пытается разместить и трассировать проект таким образом, чтобы встроить данные в указанное предназначенное устройство, производя про- граммный файл устройства. Проект затем может быть применен в предназначенном устройстве, установленном в плате отладки Nano Board. На этом выполнение собственно проекта ПЛИС завершается. Однако, запрограммированная микросхема не может висеть в воз- духе: в любой аппаратуре пользователя ПЛИС бывает окружена целым рядом компонентов — резисторов, конденсаторов, микро- схем более низкой степени интеграции или еще нескольких ПЛИС, электрическими соединителями и т. п. Поэтому заверша- ющей стадией реализации такого проекта является исполнение всего такого устройства в виде ячейки (модуля) на печатной плате, т. е. выполнение проекта печатной платы, на которой ПЛИС вы- ступает как обычный компонент, наравне с остальными. Интегрированная библиотека — Integrated Library (*.IntLib). Имя файла оболочки *.LibPkg; имя файла библиотеки *.IntLib. Условные графические отображения и посадочные места компо- нентов формируются в редакторе библиотек для создания интег- рированной библиотеки. Символы компонентов для схемы вычер- чиваются средствами библиотечного редактора символов, и для них определяется молельное представление. К символу могут быть добавлены четыре типа моделей, например описание посадочного места компонента на плате, данные для схемного моделирования, моделирования целостности сигналов и трехмерные модели. Фай- лы, содержащие модели, добавляются в Integrated Library Package (*.LibPkg) или определяются пути поиска для идентификации их расположения. Исходные схемные библиотечные символы и тре- буемые модели затем компилируются в единый файл, называемый интегрированной библиотекой. Встроенный проект — Embedded Project (*.PijEmb). Набор до- кументов, необходимых для производства прикладного програм- много обеспечения, которое может быть применено в части управ- ляющего процессора в электронном устройстве. Исходный проект формируется на языке С и (или) ассемблере. После завершения кодирования, все части исходных файлов компилируются в фор- 26
1.4. Проект — как основа разработки мат языка ассемблера. Ассемблер затем конвертирует этот текст в машинный язык (объектный кол). Объектные файлы затем связы- ваются вместе (компонуются) и размешаются в пространстве опе- ративной памяти, формируя единый, целевой выходной файл. Скрипт-проект — Script Project (*.PrjScr). Программирование в среде Altium Designer, имеющее целью модификацию объектов в других открытых проектах. Для управления используется интер- фейс программирования приложений API (Application Program- ming Interface). 1.4.2. Панель Projects Эта панель, возможно наиболее часто используемая панель в Altium Designer. Панель Projects представляет обзор структуры и документов проекта. При открытии проекта его документы ото- бражаются, как показано на рис. 1.19. Позволяя открывать несколько документов для редактирова- ния, эта среда также поддержи- вает открытие многих проектов одновременно. Ими могут быть не связанные проекты и доку- менты, или они могут быть свя- занными, как показано на рис. J.19. Здесь имеется 3 свя- занных проекта — проект пла- ты, который содержит ПЛИС, проект для этой ПЛИС, кото- рый содержит програм- мно-описанный процессор и встроенный проект для про- граммного обеспечения. Редактируемый текущий до- кумент рассматривается как ак- тивный документ, подсвечен- ный на панели. Когда же име- ется множество открытых проектов для редактирования, простой путь для выполнения команд касающихся докумен- тов в выбранном проекте — это использование нажатия ПК на Wwktpecel O»W<k - |wodupae»j- IVldecMu&cPifn4 -j| Project | Puc. 1.19. Работа с панелью Projects 27
Г.пава 1. Знакомство с платформой Altium Designer имени проекта в панели Pro- jects (рис. 1.19). При этом, поя- вится контекстно-чувствитель- ное меню, где можно выпол- нять действия над документами проекта независимо от того, принадлежит документ к этому проекту или нет. Для панели Projects имеются несколько опций отображения. Режим отображения по умолча- нию показывает документы Рис. 1.20. Управление представлением панели Projects проекта, сгруппированные в различные папки, такие как Source Document, Libraries, Settings и т. д. Эти опции устанавливаются на вкладке System>Projects Panel диалога DXP>Preferences. Быстрый способ доступа к этой панели выполняется нажатием ПК на кнопке в верхней части панели, как это показано на рис. 1.20. (Подробно эти настройки описаны в разделе «Системные настройки (System)») Отметим, что папки, показанные в панели Projects, в действи- тельности могут быть сохранены не в одном месте на жестком ди- ске. Они только осуществляют помощь в представлении докумен- тации проекта в удобном виде, то есть показывают лишь логиче- скую связанность документов, а не физическую. Документы в группе (например, Source Documents) отобража- ются в последовательности добавления в проект (который так же отображается в порядке создания). Для изменения порядка доку- ментов в отображаемой папке, нажмите ЛК, перетащите и зафик- сируйте документ в новой позиции. После завершения создания проекта, исходные документы отображаются в порядке иерархии проекта. Отметим, что невозможно построить иерархию посредством пе- ремещения документов в проекте. Отношения между главными и подчиненными документами в проекте' определено позицией сим- вола листа в структуре главного листа. Если панель Projects не является активной и видимой, ее мож- но открыть нажатием кнопки System в нижней правой части рабо- чей области и выбрать Projects из появившегося меню, как это по- казано ранее на рис. 1.9. 28
1.4. Проект — как основа разработки 1.4.3. Создание проекта. Управление документами в проекте Используйте опции в подменю Filc>New>Projccts для создания нового проекта, как показано на рис. 1.19. Отметим, что проект- ный файл существует только в памяти при первичном создании, поэтому используйте команды Save или Save As для сохранения его с необходимым названием на жестком диске. Имена файлов для проектов FPGA, Core и Embedded не должны содержать про- белы. Каждый новый проект рекомендуется сохранять под уника- льным именем, для чего используется команда File>Save Project As... После создания проекта и сохранения его в нужном месте, возникает необходимость добавления документов в проект. Самый простой способ добавления новых или существующих документов в проект — это нажать ПК на названии проекта в панели Projects и выбрать из выпадающего меню Add New to Projects (Добавить новый документ в проект) или Add Existing to Projects (Добавить существующий документ в проект). В первом случае открывается контекстное меню следующего, нижнего уровня с перечнем возможных типов подключаемого до- кумента: I. Schematic — схемный документ; 2. РСВ — файл печатной платы; 3. Schematic Library — библиотека схемных символов; 4. РСВ Library — библиотека топологических посадочных мест; 5. САМ Document — документ САМ-программы; 6. Output Job File — файл выходных данных для обработки; 7. Database Link File — файл-указатель связи с базой данных; 8. Text Document — текстовый документ; 9. Other — прочие документы. В случае подключения существующего документа (Add Existing to Project) открывается стандартная процедура поиска файла. Най- денный файл включается в состав документов проекта. В обоих случаях имя файла подключенного документа включа- ется в дерево документов проекта. В случае подключения графиче- ских документов— схемного файла, файла печатной платы, биб- лиотеки схемных символов или посадочных мест одновременно с появлением имени документа в дереве про- екта открывается лист соответствующего графического редактора — пустой либо занятый объектами подключенного документа. 29
Глава 1. Знакомство с платформой Altium Designer Сохранить подключенные к проекту новые (пустые) докумен- ты, указывая на них, по очереди, курсором и активизируя каждый раз команду главного меню File>Save As. Для исключения документа из состава проекта щелчком правой клавишей на имени документа в дереве проекта активизировать контекстное меню и указать в нем команду Remove from Project. Кроме создания внутри программных файлов, также имеется возможность добавлять в проект другие проектно-связанные доку- менты, такие как файлы Word или Adobe PDF. При добавлении их обычным путем (Add Existing to Projects), в диалоге Choose Docu- ment to Add необходимо установить тип файлов (File Туре) для просмотра в All Files (*.*). Отметим, что невозможно переместить проект, выполнив команду Save As над документом проекта и сохранив его в новом месте — данное действие только сохранит документ проекта в этом месте. Это также обновит все связи в документах, которые содержатся в проекте, организуя связи с новыми документами проекта на старом месте, где в действительности сохранены доку- менты проекта. Кроме того, панель Projects не предназначена для управления файлами, для выполнения данной задачи необходимо переместить документы проекта средствами операционной систе- мы, через проводник. Нередко имеются проекты, которые являются связанными, на- пример, изделие может содержать несколько печатных плат или возникает необходимость сгруппировать вместе различные версии проектов плат. Такое объединение проектов возможно посредст- вом создания группы проектов (Design Workspace). В действительности панель Projects отображает текущую группу проектов — либо одну по умолчанию, либо ту, которая создана или открыта. Для сохранения текущего открытого набора проек- тов в виде группы проектов следует нажать кнопку Workspace в верхней части панели Projects или использовать соответствующие команды в меню File (например, Save Design Workspace As — со- хранить группу проектов и Open Design Workspace — открыть группу проектов). Прежде чем создать новую или открыть существующую группу проектов, должна быть закрыта текущая группа проектов, при этом будет получено предупреждение о закрытии всех нс сохра- ненных ранее документов, проектов или изменений в текущей группе проектов. 30
1.5. Базовые элементы работы в среде Altium Designer Рис. 1.21. Выбор типа проекта в выпадающем меню File> New> Projects 1.5. Базовые элементы работы в среде Altium Designer 1.5.1. Навигация по документам проекта и масштабирование В системе Altium Designer каждый вид документа открывается и обрабатывается соответствующим редактором. Например, схемные документы открываются и обрабатываются в редакторе схем (Schematic), библиотеки посадочных мест — в редакторе библио- тек (РСВ Lib) и так далее. При создании нового документа или от- крытии уже существующего, соответствующий редактор для этого типа документа становится активным редактором. Навигация по проекту осуществляется при помощи панели Project, и при нажатии вкладок переключения документов. Для рассмотрения приемов навигации откроем остальные 2 до- кумента, содержащиеся в открытом ранее проекте. При открытии документа, он становится активным документом в главном окне проекта. Несколько документов можно открыть одновременно, 31
Г.пава 1. Знакомство с платформой Altium Designer что и было сделано ранее. Каждый открытый документ имеет соб- ственную вкладку в верхней части окна, но только один документ является активным в этом окне. Рис. 1.22 показывает три откры- тых документа — одну плату и две схемы — при этом активной яв- ляется плата. Активный документ выделен подсветкой его вкладки. Для того чтобы активировать другой открытый документ, нужно просто щелкнуть его вкладку. С другой стороны, можно использовать го- рячие клавиши Ctrl+Tab и Ctrl+Shift+Tab для циклического обхо- да вперед или назад всех отрытых документов. Для компиляции исходных документов необходимы различные средства и, при необходимости, компиляция выполняется автома- тически. Чтобы это можно было выполнить, все такие документы необходимо предварительно открыть. В зависимости от проекта, число документов для компиляции может быть различным. Чтобы сделать все документы открытыми, как документы со своими вкладками, в главном окне проекта можно создать рабочую об- ласть с произвольным размещением се элементов. С этой целью в системе предусмотрена возможность скрывать документы. Они полностью отвечают требованиям к открытым документам, таким Рис. 1.22. Несколько открытых документов в главном проектном окне 32
1.5. Базовые элементы работы в среде Altium Designer как возможность компиляции, перекрестных ссылок, но не пока- заны на экране в главном окне проекта. Любой открытый документ можно сделать скрытым, выполнив: • Нажатие ПК его вкладки и выполнить команду Hide • Нажатие ПК на этом компоненте в панели Projects и выбрать команду Hide. Скрытые документы перечислены в выпадающем меню рядом и правее панели вкладки документа, при этом на вкладке указано общее количество скрытых документов данного типа, которое со- держит вкладки для этих документов (рис. 1.23). L8 Document •*[ L3 (9) Aux*ar у SchDoc Ихег Pcblfc Л Mtxer.SchOoc Л Auxdary.SchOoc F Headphone.SdOoc Л Input channel. SchDoc Л Monitor, SchDoc Л Power.SchDoc Л Output charnel.5chDoc Л 4 Port MART and Line Dnvers.SchDoc Л ISA Bus and Address Oecoding.ScHJoc Рис 7.23 Доступ к скрытым (документам Щелчок на компоненте в списке отменит состояние невидимости документа, и он повторно появится в виде вкладки для этого документа в главном окне проекта. Главное меню Window и документы меню от ПК мыши на панели Projects также содержат команды для отме- ны невидимости документа. Как часть опций General для панели Projects (более подробно будет описано ниже) можно акти- вировать отображение иконки с отображением статуса «от- крыт/модифицируется». Это по- зволяет быстро понять, какие до- кументы открыты, скрыты или были изменены (рис. 1.24). Рис. 1.24. Отображение иконки статуса документа 2 зак. 32 33
Глава 1. Знакомство с платформой Altium Designer Для облегчения рассмотрения такие иконки показаны ниже. Записи в скобках показывают текстовую подсказку, появляющую- ся при наведении курсора на иконку. i БЛ i (open) — Документ открыт в этой сессии i рл (hidden) — Документ скрыт в этой сессии Лм (open/modified) — Документ открыт и был изменен (требуется сохранение) [Эл (modified) — Проект был изменен (требуется сохранение) Модифицируемый документ, проект или группа проектов, ко- торая должна быть сохранена, также помечаются звездочкой ря- лом с записью элемента в панели. Модифицируемый документ также помечается звездочкой внутри соответствующей вкладки в травном окне проекта. Altium Designer не ограничен в просмотре и работе с одним до- кументом. Имеются различные команды, позволяющие эффектив- н° управлять открытыми документами и менять различные вари- анты положения окон. Нажатие ПК на вкладкедокумеита обеспечивает доступ к раз- личным командам управления отображением окон открытых доку- ментов. К ним относятся команды Close (закрыть), Save (сохра- нить), Hide (скрытия), а так же команды управления отображени- ем всех открытых документов в пределах главного окна проекта (РИс. 1.25). bSrtftocJlМ Uyw- AarA.CC Ouse Mooter.SchDoc * Close Schematic Documents Close Al Other Documents Close Al Documents Save Morttor.5chDcc * Hute Meritor.SchDoc * bkJe Schematic Documents Hde Al Other Dociments Hde Al Documents 5ptt Ve-t.^1 Spit Horizontal Tie Al Merge Al Open In New Wrdow Puc. 1.25. Команды управления документами 34
1.5. Базовые элементы работы в среде Altium {signer Команды для разделения главного окна проекта на го<зонта- льные или вертикальные фрагменты (Split Vertical и Split .orizon- tal), могут оказаться удобными при необходимости сравнтия, на- пример, схемы и платы, открыв их одновременно в одно-окне. При таком разделении экрана, документы проекта реют как бы самостоятельные окна. Кроме этого имеются команды Tile All и Merge АП, ксорые по- зволяют располагать все открытые окна документов в вде мозаи- ки и сворачивать все окна, возвращаясь к работе с одни окном. При необходимости, имеется возможность открытьюкумент в отдельном проектном окне. Для этого нужно нажать П^ на вклад- ке и выполнить команду Open in New Window. Или ж- нажать ЛК на вкладке документа и перетащить его в область экрна, вне пре- делов главного прикладного окна проекта. Затем появляются доступные команды в главнот меню Win- dows>Arrange All Windows Horizontal (Vertical) для асположения окон горизонтально (рис. 1.25) или вертикально. Навигацию по открытому документу попробует на примере РСВ файла. Для перемещения изображения по экргну имеется не- сколько возможностей. Во-первых, это стандартна полоса про- крутки. Во-вторых, при помощи колеса прокрутки (scroll) изобра- жение перемещается вверх-вниз, а при прокрутке Scroll с нажатой клавишей Shift изображение перемещается влево-вправо. В-треть- их, нажав ПК (указатель мыши при этом имеет гид ладони) и, не отпуская ПК, путем передвижения мыши изображение перемеша- ется в любую часть экрана. Масштабирование изображения осуществляйся при прокрутке scroll с нажатой клавишей Ctrl, или при нажатии клавиши Page- Up — увеличение масштаба, PageDown — уметьшение. Для навигации по документу также предназначены команды в выпадающем меню View (рис. 1.26). Ниже описаны все команды меню View используемые для навигации и масштабирования. • Fit Document (сочетание клавиш Ctrl+PgDn) — позициони- рует весь документ в размер экрана, / • Fit Shit — позиционирует весь лист в размер экрана, • Fit Board — позиционирует плату в размер экрана (в редак-. торе схем есть аналогичная команда Fit All Objects — распо- лагает все элементы в размер окна), • Area — позиционирует выбранную площадь в размер экрана (обычное увеличение рамкой), 2* 35
Гпава. Знакомство с платформой Altium Designer View | Project Place Design Fit Document 4] Fit All Objects Ctrl+PgDn ^rea Around Point CV Selected Objects Underlined Connections ► 50% 100% 200% 100% Zoom In PgUp Qi Zoom Out PgDn Zoom Lest Pan Home Refresh End | E3| Full Screen Alt+F5 Рис. 1.26. Команды масштабирования и навигации по документу • Around Pont — позиционирует выбранную площадь вокруг указанно тощи в размер экрана, • Selected Objects и Filtered Objects — позиционируют в размер экрана выделенные и отфильтрованные объекты, • Zoom In, Zoom Out — уменьшение и увеличение, • Zoom Last — возвращает предыдущий масштаб, • Pan — перемещает изображение под курсором в центр экрана, • Flip Board — показывает перевернутое на 180 градусов изоб- ражение платы, • Refresh — обновление изображения, • Full Screen — позиционирование рабочей области во весь эк- ран, при этом скрываются все панели, полосы прокрутки и строки статуса. 36
1.5. Базовые элементы работы в среде Altium Designer 1.5.2. Работа с двумя мониторами Altium Designer поддерживает два монитора с рекомендуемым разрешением 1280x1024. Для использования второго монитора, необходимо в настройках экрана выбрать опцию «Расширить ра- бочий стол на второй монитор». После этого можно будет исполь- зовать один монитор для работы с Altium Designer, а второй для остальных приложений. Также, имеется возможность открыть раз- ные приложения Altium Designer на двух экранах, наиболее при- менимое использование — открыть на одном мониторе схему, а на другом плату. Чтобы открыть второе окно Altium Designer на дополнительном мониторе, необходимо перетащить вкладку одного из открытых документов на рабочий стол второго монитора. После этого при попытке закрыть программу будет появляться диалог (рис. 1.27), в котором задается вопрос о необходимости закрыть только текущее окно (Close this window only) или всю программу (Close Altium De- signer). Altium Design?' a Do you want to ♦ Close Altium Designer Thrs wi exjt the delation ♦ Close thus window only Current wrrtow be dosed [ Cancel ] Puc. 1.27. Диалог при закрытии 37
Глава 1. Знакомство с платформой Altium Designer 1.5.3. Общие графические команды Прежде, чем приступить к изучению отдельных редакторов программы Altium Designer стоит ознакомиться с набором команд, который является стандартным для всех редакторов. Все эти команды сгруппированы в группе Drawing Tools меню Utils (рис. 1.28). S Р Л Рис. 1.28. Команды «рисования» Команды этой группы доступны из всех графических редакто- ров в разных модификациях и перечислены в таблице 1.2. Таблица 1.2 Пиктограммы основных команд «рисования» Пиктограмма Название команды Назначение команды |; / Place Line Размещениелинии 5? Place Polygons Размещение полигона р Place Eliptical Arcs Размещение дуги | л Place Beziers Размещение сплайна (кривая Безьера) ' А Place Text String Размещение текстовой строки i Place Text Frame Размещение текстовой области 1 1 Place Rectangle Размещение прямоугольника о Place Round Rectangle Размещение прямоугольника 1 со скругленными углами F Place Ellipses || Размещение эллипса ! в Place Pie Chart Размещение сектора круга ! РУ Place Graphic Image Размещение рисунка 38
1.5. Базовые элементы работы в среде Altium Designer Размещение графических объектов и текстов в Altium Designer имеет некоторые особенности, не привычные разработчикам, ра- ботавшим ранее в Р-CAD или механических САПР. Рассмотрим порядок использования данных команд на примере наиболее вос- требованных (Place Line, Place Ellipses и Place Text String). Для просмотра этих команд создадим файл схемы File>New>Schematic. 1.5.3.1. Размещение линии Находясь в редакторе схем, выполним команду Place Line из меню Tools, после чего к курсору будет прикреплен крест и про- грамма перейдет в режим рисования линии. Прежде чем размес- тить линию, нужно задать или проверить ее свойства, для чего следует нажать клавишу Tab. В свойствах линии можно задать не- сколько параметров, наиболее важный из которых Line Width (толщина). Более подробно параметры линии описаны в п. 2.2.2.2 (пока эти настройки не существенны). После описания свойств, нажимаем кнопку ОК и приступаем к рисованию линии. Во время рисования нажатие левой кнопки мыши фиксирует окончание отрезка, клавиша Space меняет угол наклона линии, а правая кнопка мыши завершает рисование ли- нии. Стоит отмстить, что рисуя последовательно несколько отрез- ков, они впоследствии будут созданы как единый объект (рис. 1.29), что затруднит последующее редактирование. Чтобы из- бежать этого, нужно после размещения каждого отрезка нажимать правую клавишу мыши и затем начинать рисование следующего отрезка заново. Рис. 1.29. Рисование линии 1.5.3.2. Размещение окружности Рисование окружностей так же имеет ряд особенностей. Вы- полним команду Place Eliptical Arcs, хотя окружность можно нари- совать и с помощью команды Place Ellipses. Далее нажимаем кла- вишу Tab, забегая вперед, отметим, что эту клавишу рекомендует- ся нажимать всегда при размещении каких либо объектов во всех 39
Глава 1. Знакомство с платформой Altium Designer редакторах! В свойствах дуги (рис. 1.30) имеется возможность из- менить следующие параметры: • Line Width — толщина линии; • X(Y) Radius — радиус по оси Х(У); • Start(End) Angle — начальный (конечный) угол дуги; • Color — цвет линии; • Location — расположение центра дуги. Параметр Location не стоит задавать до размещения окружно- сти, его можно редактировать на последующих этапах работы. На- пример, нарисуем окружность диаметром 10 мм. Для этого нужно задать параметры согласно рисунку 1.30. Несмотря на то, что па- раметры задаются в свойствах дуги, при размещении программа требует указание этих значений с помощью мыши, поэтому после нажатия кнопки ОК, нужно будет последовательно указать распо- ложение центра окружности, радиус по X и радиус по Y, а также начальный и конечный угол. В нашем случае это нужно сделать условно, т. е. не перемещая мышь нажать левую кнопку 5 раз (как бы задавая эти параметры!). Результатом этих действий будет раз- мещение окружности с заданным радиусом, и программа предло- жит разместить .вторую окружность. Завершение команд выполня- ется нажатием кнопки Esc или правой клавиши мыши. В описан- ном подходе есть один нюанс, если шаг сетки больше, чем значения радиусов указанных в настройке окружности, то размес- тить данную окружность не удастся! Для создания окружности следует установить шаг сетки как минимум в два раза меньше диа- метра создаваемой окружности. FJliptical Дгс fT|fx Рис. 1.30. Свойства дуги 40
1.5. Базовые элементы работы в среде Altium Designer 1.5.3.3. Размещение текста Головной болью разработчиков, имеющих опыт работы в ре- дакторе схем Р-CAD, является работа с текстом. В Р-CAD на схе- ме нельзя было разместить текст, повернутый на 180 градусов и настройки текстов имели сложную многоступенчатую структуру. При размещении текста в Altium Designer, после выполнения команды Place String Text (рис. 1.27) и нажатия клавиши Tab поя- вится окно Annotation (Свойства текста — рис. 1.31), которые со- держат следующие параметры: • Color — цвет текста; • Location — координаты точки привязки текста; • Orientation — угол поворота текста; • Horizontal (Vertical) testification — положение точки привяз- ки относительно текста по горизонтали (по вертикали); • Text — надпись; • Font — настройки шрифта. В окне свойств стоит менять только два параметра — саму над- пись и шрифт, которым она выполнена. Причем в редакторе схем доступен только объемный шрифт (True Туре), возможность выбо- ра векторного шрифта (Stroke) имеется только на плате. Угол по- ворота в окне свойств не задается, т. к. удобнее его менять непо- средственно в момент размещения, нажатием клавиши Space (Пробел). Рис. 1.31. Свойства текста 41
i лава 1. Знакомство с платформой Altium Designer 1.5.4. Редактирование свойств графических объектов Редактирование в Altium Designer также имеет некоторые осо- бенности. Чтобы изменить свойства объекта, размешенного ранее, его нужно выделить. Если это один объект, то для выделения до- статочно навести на него курсор мыши и нажать левую кнопку, после чего у объекта появится зеленый контур и метки редактиро- вания (рис. 1.29). Чтобы изменить форму объекта, нужно навести курсор на зеленую метку редактирования до появления диагональ- ного курсора и, затем, перемешать нажатой левой кнопкой. Для изменения положения объекта, нужно навести курсор на свобод- ное от зеленых меток место на объекте до появления курсора в виде крестика и, затем, перемешать нажатой левой кнопкой. Пе- ремещение объекта может не получиться, если не включена на- стройка Always Drag (см. п. 3.1.2). Для редактирования свойств объекта нужно выполнить двойное нажатие левой кнопки на его изображении или выбрать команду Properties из контекстного меню при нажатии правой клавиши мыши. Описанные выше действия привычны по другим системам проектирования, отличия начинаются при попытке отредактиро- вать свойства нескольких объектов. Например, при необходимо- сти поменять шрифт у всех по- зиционных обозначений схемы. Если выбрать эти обозначения и по правой кнопке зайти в свойства, то желаемый резуль- тат получен не будет! Для изме- нения свойств группы объектов (а также, рекомендуется приме- нять этот способ для изменения свойств одного объекта) испо- льзуется панель Inspector, кото- рая вызывается нажатием кла- виши Fl 1. Выделив группу объ- ектов, и нажав клавишу Fl 1, на экране появится панель Inspec- tor (рис. 1.32), в которой пред- ставлены свойства выделенных объектов в табличном виде, до- ступные для редактирования. В Kind________________________________________ | Object Kind "Designator El Design ____ __________________ _____ | Owner Document 4 Port MART and Line Drivers В Graphical __________________________________ [ Color 8388603 XI 121.92mm Y1 <.. > Forild | Orientation 0 Degrees Horizontal Justification Left Vertical Justification Bottom Locked Q Autoposition 3 В Object Specific ___ I Тей <...> I Owner 3 object(s) are displayed tn 1 document(s) Puc. 1.32. Свойства объекта в панели Inspector 42
1.6. Системные настройки (System) В нижней части панели написано сколько объектов выбрано в текущий момент. Если значение параметра отображается в табли- це (например, Orienation — 0 Degrees), то это значение одинаково для всех выбранных объектов, если в строке параметра указано <...> — значения этого параметра у разных объектов отличаются. Более подробно работа с этой панелью будет описана в отдельной главе (п. 2.4, 3.9). На данном этапе стоит запомнить, что всегда для изменений свойств объектов в Altium Designer удобнее приме- нять панель Inspector. 1.6. Системные настройки (System) Altium Designer представляет собой очень гибкую, настраивае- мую под конкретного пользователя систему. Все настройки в про- грамме условно делятся на 3 уровня: настройки системы, настрой- ки редактора и настройки документа. Настройки системы и на- стройки редакторов доступны из единого места — из меню DXP>Preferences. В данной главе будут рассмотрены настройки, относящиеся ко всей системе, для установки которых необходимо выполнить DXP>Preferences и открыть вкладку System. Данная вкладка содержит список настроек по определенным направлениям, каждая из которых в свою очередь содержит пере- чень опций. В списке настроек System выбираем General на кото- рой собраны наиболее общие опции. General На данной вкладке, так же как и на других вкладках настроек, все опции делятся на определенные группы по некоторым функци- ональным назначениям. Группы отделяются друг от друга рамкой более темного света. Описание всех опций будет проводится по функциональным группам. При этом если была изменена хоть одна опция, у названия вкладки в списке настроек появится символ звездочки, которая будет отображаться до нажатия клавиши Apply. Первая группа на вкладке General — Startup, в которой пере- числены особенности запуска системы: • Reopen Last Workspace — открывать последнюю группу про- ектов при новом запуске, • Open Home Page — открывать домашнюю страницу Altium, если нет документов для открытия (при завершении послед- ней сессии не было открытых документов), 43
Гпава 1. Знакомство с платформой Altium Designer • Show startup screen — показывать заставку при запуске. Далее идет группа Default Locations — здесь задаются имена па- пок, которые будут использоваться для сохранения документов и библиотек по умолчанию. Стоит отмстить, что изначально здесь указаны папки в директории установки Altium Designer, что лучше поменять с самого начала работы. Папка Examples — представляет примеры различных типовых проектов от разработчиков Altium, а папка Library — набор библиотек. Засорять эти папки своими про- ектами и библиотеками не рекомендуется. Путь к папкам для хра- нения проектов и библиотек рекомендуется создать на диске С длиной не более одного уровня и желательно назвать папку латин- скими буквами. Это связано с тем, что некоторые программы, ко- торые могут использоваться совместно с Altium Designer (напри- мер, Specctra), которые очень чувствительны к длинным именам файлов (а имя файла содержит весь путь его хранения), пробелам в пути файла и русскому алфавиту. Группа System Font позволяет поменять настройки системных шрифтов при необходимости. Это те шрифты, которыми выпол- нены все надписи в диалогах интерфейса программы. Стоит отме- тить, что по умолчанию установлен наиболее удобный размер шрифта, который лучше не менять. Группа Localization отвечает за русификацию интерфейса. Включив опцию Use localized recourses, станут доступны 2 вариан- та русификации интерфейса, во-первых, можно русифицировать все диалоги (для этого нужно выбрать Display localized dialogs); во-вторых, можно русифицировать только всплывающие подсказ- ки к данным диалогам (Display localized hints only). Дополнитель- ная опция Localized menus позволяет русифицировать названия пунктов главного меню. View Вкладка View определяет группы настроек отображения эле- ментов рабочего стола. Группа Desktop определяет начальные па- раметры рабочего стола при запуске системы Altium Designer: • Autosave desktop — сохраняет расположение панелей рабоче- го стола, • Restore open documents — восстанавливает документы, от- крытые в последней сессии работы с приложением. В строке Exclusion выбираются приложения, документы кото- рых нс будут открываться в новой сессии. 44
1.6. Системные настройки (Sysin) Группа Show Navigation Ваг As — настраивает тип отображсгА панели Navigation, которая используется как строка адреса Intern Explorer, для навигации по документам и страницам Интерна Опция Built-in panel — отображает панель Navigation как встроец ную в интерфейс DXP, a Toolbar — как отдельную панель. . \ Группа General — набор общих опций, для более тонкой Hat стройки типа отображения панелей, инструментов и меню! В группе Popup Panels — настраивается задержка появления и' скрытия всплывающих панелей, а так же включается/выключастся анимация появления этих панелей. Опция Favorites Panel — опре- деляет размер иконок документов в панели Favorites. Группа Document Ваг — определяет настройки вкладок пере- ключения документов: • Group document if need — включение/выключение группи- ровки панелей, после чего на выбор предлагается 2 варианта, группировать по типу документов или по принадлежности к проекту, • Use equal-width button — использовать вкладки одинаковой ширины, в противном случае длина вкладки будет определя- ться названием документа, • Auto-hide the document bar — автоматически скрывать вклад- ки переключения документов, которые будут появляться то- лько при наведение курсора на место их расположения (удобно скрывать вкладки, для увеличения рабочей области), • Multiline document bar — использовать многострочное ото- бражение вкладок переключения документов (удобно испо- льзовать при отключенной опции Group document if need), • Ctrl+Tab switches to the last active document — Ctrl+Tab пере- ключает на последний редактируемый документ, при отклю- ченной опции — Ctrl+Tab переключает документы по поряд- ку, как они были открыты, • Middle click closed document tab — нажатие средней кнопки мыши (Scroll) на вкладке переключения документов закры- вает выбранный документ. Altium Web Update На данной странице могут быть выбраны два варианта поиска обновлений через Интернет (URL) и в локальной сети предприя- тия (Network path). В обоих случаях в выбранной строке пишется адрес поиска обновлений, в первом случае это сайт разработчика, 45
Гла 1- Знакомство с платформой Altium Designer а автором — место в локальной сети предприятия. Поиск обнов- ляй в сети предприятия используется при наличии нескольких л^нзий, при этом на одном компьютере пользователя обновле- Ц загружаются с сайта разработчика, после чего эти обновления укладываются в общее хранилище, через которое обновляются /альныс системы. /В группе Automatic checking указывается частота автоматиче- рго поиска обновлений. При выборе здесь любой опции кроме /ever поиск обновлений будет происходить автоматически по ад- ресу, указанному выше и при удачном поиске будет предложено Загрузить найденные обновления. / На вкладке Transparency включается полупрозрачное отображе- ние всплывающих окон и описывается коэффициент прозрачности. Navigation Данная вкладка объединяет настройки отображения объектов в панели Navigator и описывает набор действий с объектами, вы- бранными посредством данной панели. В группе Highlights Met- hods можно выбрать 4 действия, которые будут происходить с объ- ектами в рабочей области, выбранными через панель Navigator: • Zooming — увеличить выбранный объект, на коэффициент, указанный в группе Zoom Precision • Selecting — выделить объект, данное действие необходимо для того, чтобы произвести какую-либо операцию над дан- ным объектом, • Masking — отделить объект маской от остальных объектов документа (остальные объекты при этом выглядят как бы за- тененными), коэффициент прозрачности объектов отделен- ных маской устанавливается по кнопке Mask Level в нижнем левом углу рабочей области. Ползунок Mask (в редакторе плат) определяет уровень видимости маскируемых объектов от 100 — полная видимость до 0 — объект не видим, Dm С oriroi --------------------------------------------1 Masked Objects Factor j | TJ 160 Д| Highly Objects Factor ( Q Д L_________________________............................. I f Mask Control------- 46
1.6. Системные настройки (System) • Connective Graph — показывать графы связи (например для выбранной цепи графы связи будут показывать все компо- ненты, объединенные этой цепью). В группе Objects То Display перечислен список объектов, кото- рый отображается в панели Navigator после компиляции. На вкладке Backup определяются параметры автосохранения проекта. В данном окне указываются 3 параметра: частота автосох- ранения, количество копий и путь сохранения резервных файлов. Projects Panel На данной вкладке устанавливается набор опций панели Pro- jects, которые позволяют настраивать параметры отображения до- кументов проекта. Опции панели Projects могут быть так же до- ступны по функциональной кнопке на самой панели. Данная вкладка разбита на несколько категорий, каждая из которых имеет несколько опций: 1. General • Show open/modified status — показывать статус документа • Show VCS status — показывать иконку контроля версий • Show document position in project — показывать позицию до- кумента в проекте • Show full path information in hint — показывать полный путь в всплывающей подсказке • Show grid — показывать сетку 2. File View a) Show Project Structure — отображать структуру проекта b) Show Document Structure — отображать структуру документа (для многолистовых документов) 3. Structure view с) Show Documents d) Show Sheet Symbols e) Show Nexus Components 4. Sorting — сортировать документы по определенному признаку 47
Г.пава 1. Знакомство с платформой Altium Designer Рис. 1.33. Настройка опций панели Projects 0 Project Order — в порядке поддиректорий проекта (т. е. сна- чала схемы и платы, затем сгенерированные выходные фай- лы, далее библиотеки и т. д.) g) Alphabetically — по алфавиту h) Open/modified status — по статусу открыт/модифипируется i) VCS status — по статусу включения контроля версий j) Ascending — по. возрастанию (относится ко всем вышепере- численным видам сортировки) 5. Grouping k) Do not group — не группировать I) By class — группировать по классу m) By document type — сортировать по типу документов 6. Single Click — действие по нажатию ЛК n) Does nothing — нет действия о) Activates open documents/objects — активировать открытый документ р) Open and show documents/objects — открыть и активировать документ File Types На вкладке File Types перечислен список типов файлов, кото- рые могут быть ассоциированы с системой Altium Designer, т. е. для открытия которых будет использоваться один из редакторов 48
1.6. Системные настройки (System) на базе DXP. Некоторый перечень типов файлов изначально «присвоен» программой Altium Designer, например, в данном спи- ске имеется тип файлов с расширением *.РСВ. Таким образом, при попытке открыть файл *.РСВ с жесткого диска автоматически будет загружаться не PCAD, a Altium Designer, так же обстоят дела с другими типами файлов. Например, список цепей более при- вычно просматривать в программе Notepad, но после установки Altium Designer, тип файла *.net так же будет ассоциирован с ней. В данном списке рекомендуется отключить следующие типы фай- лов: *.pcb, *.lib, *.cam, *.net и другие типы, для редактирования которых используются отдельные программы. Кнопки справа от списка типов файлов позволяют разрешить/запретить все типы файлов (All on/All off), разрешить/запретить типы файлов в вы- бранной группе (All on/Group off) и разрешить/запретить выбран- ный тип файлов. New Document Defaults Данная настройка позволяет выбрать шаблон для вновь созда- ваемых документов. Для каждого типа документа (схема, плата и т. д.) и для каждого типа проекта (проект платы и др.) можно ука- зать файл, который будет формироваться при создании нового до- кумента данного типа. Эта настройка может быть полезна при со- здании однотипных иерархичных проектов и использовании одно- типного оформления схем и плат. Для выбора документа-образца необходимо выполнить нажатие ЛК на нужной строке, а потом по кнопке справа от строки перейти в проводник и указать исходный файл. Installed Libraries На данной вкладке указывается список подключенных библио- тек, которые будут использоваться для поиска компонентов в па- нели Libraries. В том порядке, в котором эти библиотеки указаны в этой вкладке, они будут представлены в выпадающем списке в па- нели Libraries. Для подключения новой библиотеки или удаления из списка существующей используются кнопки Install/Remove. Кнопки Move Up/Move Down используются для перемещения библиотеки по списку вверх/вниз. При указании пути расположе- ния библиотек, можно указывать не весь путь, а только часть, при этом общая часть пути файла указывается в строке Library Path Relative То. При установке системных настроек, как и при других настрой- ках, имеется возможность сохранения данной конфигурации и за- 49
Гпава 1. Знакомство с платформой Altium Designer грузки ранее сохраненной. Кроме перечисленного, имеется воз- можность загрузки конфигурации по умолчанию: кнопка Set То Defaults — можно восстановить конфигурацию для отдельной группы Default (Page), для выбранных вкладок Default (Branch) и для всех настроек Default (АП). | Set То Defaults Save.,. Load... Import From... Default (Pag^) Default (Branch) Default (Al) 1.7. Информационная поддержка Altium Designer Документация no Altium Designer для большей доступности ор- ганизована и структурирована с помощью панели Knowledge Cen- ter. Панель Knowledge Center представляет собой справочную ин- формацию для работы с программой Altium Designer. Для запуска этой панели нажмите клавишу Fl. Knowledge Center интерактивно загружает справочные данные об элементе интерфейса или об ин- струменте при выполнении на нем щелчка ЛК. Например, для по- лучения справки о панели Project (рассмотренной в предыдущей главе) достаточно нажать клавишу F1 и нажать ЛК в любую часть панели Project. Для получения справки об инструменте Wire (раз.- мещение соединений), нужно просто навести указатель курсора на иконку данного инструмента в панели инструментов. Верхняя часть панели Knowledge Center является порталом, от краткой справки, отображаемой до ссылок на данные в формате PDF и на прикладные документы. Нижняя секция панели имеет дерево навигации, которое используется для просмотра докумен- тации на основе PDF и открытия интересующего документа. Knowledge Center содержит мощные возможности по поиску данных, доступные в нижней области панели. Открываются стра- ницы, содержащие слова в строке запроса (за исключением таких общих слов, как и, или и т. п.). Пределы поиска определяются те- кущей позицией в навигационной структуре. Кроме описанной панели для увеличения продуктивности ра- боты в системе Altium Designer предлагается активное использова- ние горячих клавиш. Нажатие клавиши более эффективно, чем 50
1.7. Информационная поддержка Altium Designer О ’ о -©d I The Projects panel displays all projects that are currently open, along with their constituent documents contained therein. Any open documents that have not been created as part of a project, or added to an existing open project, will also be listed. Forthe most part, the Projects panel would typically be configured in File View mode (File View option enabled on the panel), which is the default configuration for the panel. When the structure of a design incorporates different sub-projects however, eg. an FPGA project linked to a PCB project and an embedded software project linked я to a processor core in the FPGA design project, there needs to be some visual way of quickly interrogatmg/controlhng the overall design hierarchy/structure This Is achieved by placing the panel in Structure Editor mode. I Links I Workspace Panels - Projects I Proie^t Essentials Ml j Documentation Library > Search results Open T he Altium Wiki E Й AP0133 Using Components Directly from Your Company Database i+1 Й AP0135 Interactive and Differential Pair Routing Я E0 AR0109 Introduction to the Query Language 60 AR0111 Specifying the PCB Design Rules and Resolving Violations 3 Й AR0129 An Insiders Guide to the Query Language S Й GU0112 Welcome to the Altium Designer Environment 1 Е1ЙТР0104 Altium Designer Panels Reference B®TR0110 Query Language Reference ► Query Language Reference ► ... reference manual describes the Query Language used in Altium De; ► Query Helper ► What is a Query? ► ... the form of a Query ► A query is a string you enter using specific keywords ... ► ... help on a specific query keyword, press Fl when the cursor is in ... ► ... more information on the query system, operators, and tips on writing ► Use the Query Helper dialog for a list of keywords |Query Language v1 (Search Local Documents Search Local Documents Search The Wiki Puc. 1.34. Используйте Knowledge Center для обучения, просмотра и поиска документации в формате PDF тщательное позиционирование мышки на кнопке или поиск по многоуровневым меню, и после изучения горячих клавиш их ис- пользование становится определяющим. В среде многих редакто- ров, как и в Altium Designer, запоминание горячих клавиш трудно, особенно клавиши специального назначения, которые доступны ПРИ запуске команд. Для помощи в этом были добавлены новые краткие меню, которые можно использовать со всеми интерактив- ными командами во всех редакторах. При выполнении команды, например, интерактивной трассировки, можно нажать клавишу 51
Гпава 1. Знакомство с платформой Altium Designer тильды (~) и появится меню, с перечнем всех доступных горячих клавиш для этого этапа интерактивной команды. Также имеется новая панель горячих клавиш (Shortcuts), кото- рая отображает горячие клавиши, доступные в Altium Designer. Панель контекстно-опознаваема, она не только обновляется при переходе от одного редактора к другому, но также обновляется при выборе команды, демонстрируя доступные внутрипроцессор- ные горячие клавиши. Это выглядит идеально для проектировщи- ка, который переходит вперед и назад между прикладными прило- жениями и испытывает трудности в запоминании таких клавиш. Клавиши для отдельных процессов также доступны при нажатии клавиши тильды. 52
Глава 2 Разработка библиотек и моделей компонентов Прежде чем приступить к разработке проекта, разработчик дол- жен иметь в своем распоряжении библиотеку компонентов эле- ментной базы, используемой в проекте. Хотя библиотеки, постав- ляемые с Altium Designer, содержат порядка 87000 компонентов (www.altium.com/Community/Librarics — описание всех библио- тек), созданных в соответствии со стандартом ISO 9001, имеется несколько нюансов относительно целесообразности их использо- вания. Во-первых, УГО (условные графические обозначения) всех этих компонентов не соответствуют ГОСТ, во-вторых, в этих биб- лиотеках отсутствует российская элементная база, которая приме- няется на наших предприятиях. Поэтому перед разработкой элект- рических принципиальных схем и проектированием плат, следует рассмотреть порядок разработки библиотек и моделей компонен- тов, а также разные подходы к их организации. 2.1. Концепция библиотек Altium Designer Компоненты являются основными строительными блоками электронных изделий. При разработке и подготовке к производст- ву проекта каждый компонент нуждается в различных представле- ниях: логический символ на схеме (УГО), посадочное место на плате (Footprint), описание в формате Space для моделирования, описание IBIS-модели для анализа целостности сигналов и трех- мерное описание для объемного представления готовой платы. Для каждого компонента не обязательно наличие всех этих представлений, но обязательно наличие стартовой точки, которой в Altium Designer является логический символ (УГО). Каждый компонент должен быть определен, как минимум, своим собст- венным именем в схемной библиотеке. Он может содержать выво- ды и графический символ в единственном или многосекционном виде и даже иметь альтернативные опции отображения. Как тако- вой он может быть размещен в любом схемном проекте. Однако, до тех пор, пока в компонент не добавлены модели, его нельзя применить в любом практическом понимании. 53
Гпава 2. Разработка библиотек и моделей компонентов 2.1.1. Основные термины и определения Для однозначного понимания разъясним наиболее часто испо- льзуемые термины применительно к среде Altium Designer, в срав- нении с терминологией P-CAD. Component (Компонент) — общее наименование объекта, кото- рый может быть применен в проекте, в среде Р-CAD, этот объект объединял символьное обозначение и посадочное место. Symbol (Символ) — общее наименование условного графиче- ского обозначения (УГО) компонента, подготовленного для раз- мещения на схеме. Символ может содержать графические объек- ты, которые определяют внешний вид и выводы, которые опреде- ляют электрические точки подключения. В системе Altium Designer символ, по сути, является компонентом, т. к. является полностью завершенным объектом, который может быть исполь- зован при создании схем и к нему могут быть подключены модели разного типа. Part (Часть, гейт, секция) — некоторые компоненты, такие как резисторные цепочки или реле, могут быть построены как серия от- дельных секций, которые, в свою очередь, могут быть размещены на схеме независимо (рассматриваются как многосекционный ком- понент), при этом на плате установлены в виде единого корпуса. Model (Модель) — представление компонента, который испо- льзуется в некоторой практической сфере деятельности. Так для создания платы используются модели посадочных мест, при моде- лировании — модели Spice и т. д. Footprint (Топологическое посадочное место — ТПМ) — наиме- нование модели, которая представляет компонент на заготовке пе- чатной платы. Посадочное место группирует набор контактных площадок на плате и контур компонента. Данный термин являет- ся аналогом термина Pattern, известного пользователям P-CAD. Pad (Контактная площадка, КП) — изображение вывода эле- мента на плате. Pin (Вывод) — изображение вывода элемента на схеме. Библиотека — файл, содержащий набор компонентов и набор моделей. Библиотека моделей — файл, содержащий набор моделей ком- понентов. Библиотека компонентов — файл, содержащий набор схемных компонентов. 54
2.1. Концепция библиотек Altium Designer Интегрированная библиотека — файл, содержащий набор схем- ных компонентов и их ассоциированные модели. Библиотека базы данных — библиотека компонентов, где все символы имеют ссылки, модели связаны и параметрическая ин- формация сохранена в базе данных на основе ODBC (open databa- se connectivity — интерфейс связи с открытыми базами данных), или ADO (ActiveX Data Objects — набор компонентов ActiveX, ис- пользуемых для доступа к БД, поддерживающим спецификацию OLE DB), или в виде таблиц Excel. На схемной стадии проект является набором компонентов, ко- торые имеют логическую связанность. Для тестирования или про- ведения проекта в жизнь он нуждается в передаче в другую, более практическую область проверки функционирования, такую как моделирование, формирование платы, анализ целостности сигна- лов и т. д. Каждая область практической реализаций требует некоторую информацию о компонентах, а также некоторые пути перераспре- Рис. 2.1. Информация о разных вариантах представления компонента в файлах моделей 55
Г.пава 2. Разработка библиотек и моделей компонентов деления этой информации касательно выводов символа. Некото- рая часть из этой информации для области реализации размещает- ся в файлах моделей, формат которых является обычно предопре- деленным. Например, модели IBIS, MDL и СКТ. Некоторая информация не содержится в файлах моделей, например, распре- деление выводов SPICE и данные списков цепей должны быть определены средствами системы. Отметим, что модели целостности сигналов IBIS и модели VRML (virtual reality modeling language — язык моделирования виртуальной реальности) или IGES 3D (международный язык об- мена графической информацией) должны быть импортированы в формат модели Altium Designer, перед тем как их можно будет ис- пользовать. Модели IBIS импортируются непосредственно в диа- логе Signal Integrity Model, который открывается при добавлении SI-модели в компонент. Вся необходимая информация внутреннего описания содер- жится внутри схемного компонента, которая сохраняется с помо- щью отдельного интерфейса для каждой добавляемой модели. Та- ким образом, для реализации символа схемы в какой-либо облас- ти используется ссылка на файл модели и описание fee взаимодействия с символом внутри библиотеки, а внутренне опи- сание самой модели хранится в конкретном файле этой модели. Рис. 2.2. Связи в каждой модели и любое переопределение ее, требуют определения модели в соответствующем диалоге 56
2.1. Концепция библиотек Altium Designer 2.1.2. Типы библиотек В среде Altium Designer имеется 4 типа библиотек, рассмотрим назначение каждой из них. Библиотеки моделей — модели для каждой области сохраняют- ся в «хранилищах», обычно называемых библиотеками моделей. В некоторых областях, таких как SPICE, где обычно одна модель хранится в одном файле, они также рассматриваются как отдель- ные файлы (*.MDL, *.СКТ). В других областях модели обычно группируются в библиотечные файлы, соответствующие заданной организации пользователя, такие как посадочные места, сгруппи- рованные в библиотеки пакетного типа (*.PcbLib). Библиотеки символов — содержат схемные компоненты и ин- терфейс определения их моделей (*.SchLib). Каждый интерфейс определения модели привязан к своей соответствующей библиоте- ке моделей. Интегрированные библиотеки — это набор библиотек симво- лов, которые вместе с их привязанными библиотеками моделей, «компилированы» в интегрированную библиотеку (*.IntLib). Пре- имущество создания интегрированных библиотек состоит в том, что вся информация о компонентах доступна в едином компакт- ном файле. Интегрированные библиотеки не могут быть отредак- тированы без распаковки источников и перекомпиляции. Библиотеки базы данных — библиотека, где все символьные ссылки, привязанные модели и параметрическая информация хра- нятся в базе данных на основе ODBC, ADO или в формате таблиц Excel. Каждая запись в базе данных представляет отдельный ком- понент, а так же все сохраненные параметры, вместе со ссылками на "модели. Запись может содержать ссылки на инвентарную ведо- мость или на другие корпоративные данные компонентов. При таком подходе схемные компоненты используются только как символы (они не имеют ссылок на модели, описанные в схем- ной библиотеке) с моделями (посадочными местами или 3D моде- лями), сохраненными в стандартных библиотеках посадочных мест, 3D компонентов и т. д. Интерфейсом библиотеки базы данных является документ с расширением *.DBLib, который представляет собой пакет настро- ек с ссылкой на таблицу в формате Access или Excel, где представ- лен набор параметров для компонентов библиотеки. База данных 57
Глава 2. Разработка библиотек и моделей компонентов DBLib подключается через панель Libraries подобно всем другим библиотекам системы. При выполнении действий по размещению, выполняемых с компонентами библиотеки базы данных, запись в базе данных проверяется, символ загружается из указанной схемной библиоте- ки, а модели добавляются через таблицу, также как остальные па- раметры. 2.2. Создание библиотеки символов Предполагается, что программа Altium Designer уже запущена на компьютере. Для создания новой библиотеки необходимо вы- полнить: File>New>Library>Schematic Library. Сохранить вновь со- зданную библиотеку можно командой File>Save, или нажатием пиктограммы . Для работы с библиотекой символов необхо- димо открыть панель (которая вызывается по кнопке SCH>SCH Library в нижней левой части окна) и разместить ее слева от рабо- чей области, после чего интерфейс Altium Designer будет иметь вид, как показано на рисунке 2.3. Рис. 2.3. Интерфейс редактора компонентов 58
2.2. Создание библиотеки символов 2.2.1. Начальные настройки рабочей области Прежде чем приступить к разработке нового символа, необхо- димо настроить рабочую область, т. е. выбрать необходимые еди- ницы измерения и сетки, эти настройки могут быть ранее заданы как глобальные для всех новых документов (см. раздел 3.1). Дан- ная настройка проводится в окне Library EditorWorkspace, которое вызывается командой Tools>Document Options. В появившемся окне на вкладке Units выбираются единицы измерения, причем они могут быть метрические и дюймовые (в нашем случае следует установить миллиметры). На вкладке Library Editor Options реко- мендуется установить шаг сетки 2,5 мм, для двух видов сеток (Snap — сетка перемещения курсора в режиме графической команды, Visible — сетка, отображаемая на экране). Кроме сеток на данной вкладке могут быть установлены дополнительные пара- метры (см. рис. 2.4). Из всех этих настроек рекомендуется вклю- чить лишь отображение скрытых выводов. Кроме этого имеется возможность задать менее необходимые на этом этапе параметры: Style — стандарт размеров (Standard или ANSI, оба стандарта не соответствуют ГОСТ), здесь размер листа не критичен, а при со- здании схемы или платы размер следует задавать через опцию Custom Size, или подгружать из форматки (см. гл. 3.2). Size — формат листа, Border — цвет границы листа, Workspace — цвет рабочей области, Custom Size — пользовательский размер листа. Рис. 2.4. Настройки рабочей области редактора символов 59
Г.пава 2. Разработка библиотек и моделей компонентов 2.2.2. Алгоритм создания условно-графического обозначения (УГО) При создании УГО дискретных аналоговых компонентов по ЕСКД необходимо сформировать графику УГО и разместить элект- рические контакты схемного элемента (символа) в узлах сетки, еди- ной для всех, как аналоговых, так и цифровых компонентов. В ка- честве такой оптимальной сетки при проектировании электриче- ских схем по ЕСКД целесообразно использовать сетку с шагом 5 мм (хотя для более плотного размещения символов удобнее использо- вать сетку 2,5 мм). Именно в таком модульном шаге формируются, в соответствии с ГОСТ 2.743-91 и ГОСТ 2.759-82, УГО аналоговых и цифровых интегральных микросхем. При таком подходе электри- ческие контакты компонентов и проводники линий связи электри- ческой принципиальной схемы оказываются в узлах единой сетки. Такой выбор не противоречит требованиям ЕСКД к выполнению электрических схем: правила выполнения схем (ГОСТ 2.701-84, ГОСТ 2.702-75) не регламентируют расстояния между проводника- ми. Указывается только, что расстояние между линиями связи в электрических схемах не должно быть менее 2 мм. Рассмотрим особенности формирования схемного символа на примере биполярного транзистора. В соответствии с ГОСТ 2.730-73 УГО биполярного транзистора формируется геометриче- ским построением. В круге диаметром 12 или 14 мм под углом 60 градусов друг к другу и симметрично относительно диаметра стро- ятся два луча. Размер «А» между исходной точкой лучей и точкой их пересечения с окружностью составляет 9 или 11 мм и определя- ет все остальные размеры УГО. Линия базы транзистора строится на расстоянии ОДА от исходной точки лучей и имеет длину, также равную А (рис. 2.5а). Рис. 2.5. Пример УГО биполярного транзистора 60
2.2. Создание библиотеки символов При таком построении УГО координаты точек сопряжения элементов графики выражаются иррациональными числами. Про- грамма, конечно, округляет эти координаты до значения младшего разряда машинного слова, но все равно точки присоединения проводников схемы не попадают в узлы приемлемой сетки проек- тирования. Представляется рациональным решение, изображенное на ри- сунке 2.56. Линии УГО проводятся через узлы 5-миллиметровой сетки, линии выводов также имеют длину 5 или 10 мм, а окруж- ность, изображающая корпус транзистора, смещена вправо так, что ее контур проходит через точки окончания линий эмиттера и коллектора. Угол раствора коллектора и эмиттера составляет при этом 53 градуса. Графика транзистора в этом случае будет немного нс соответствовать ГОСТ (что не различимо при печати), при не- обходимости создания более точной графики следует рисовать УГО с меньшим шагом сетки. Формирование УГО компонента в общем случае можно разде- лить на два этапа: во-первых, устанавливаются выводы символа, а во-вторых с помощью графических команд рисуется непосредст- венно изображение символа. Рассмотрим подробно эту процедуру. 2.2.2.1. Установка выводов I. Для создания компонента следует нажать кнопку Add под списком компонентов данной библиотеки в панели SCH Library и в появившемся окне написать название нового компонента. В ре- зультате этого новый компонент добавляется в список библиотеки. 2. Выполните команду главного меню Place>Pin (горячие кла- виши Р, Р). В рабочей области появляется изображение вывода, перемещающееся за курсором по экрану. Точка присоединения электрической связи к контакту помечена косым перекрестием. В лексике Altium Designer эта точка называется «горячим концом» (hot end), который является невидимым. Размеры этой точки определяются сеткой Electrical Grid. В программе Р-CAD был по- хожий объект, который имел название «Open End» и был показан на схеме в виде желтого контура. При совмещении вывода с УГО линия вывода должна примыкать к УГО противоположным кон- Ном (рис. 2.7). Здесь же можно сориентироваться по надписям: текст над вы- водом — это номер вывода, должен располагаться за пределами 61
Г.пава 2. Разработка библиотек и моделей компонентов Рис. 2.6. Свойства вывода компонента Рис. 2.7. Установка вывода УГО; текст сбоку от вывода — это имя вывода, соответственно располагается внутри УГО. 3. Перед размещением вывода активизировать клавишей Tab диалог редактирования его свойств. Открывается диалоговое окно настройки свойств вывода Pin Properties (рис. 2.6). Установить следующие свойства вывода: • Display Name — логическое имя или функциональное назна- чение контакта. В соответствии с требованиями-SPICE-тех- нологии схемотехнического моделирования последователь- ность ввода и обозначений выводов транзистора должна быть: коллектор-база-эмиттер. По умолчанию первому вво- димому контакту дается обозначение 0 (нуль). Изменим это обозначение на К (коллектор). Стоит отметить, что имя вы- вода нельзя перемещать и поворачивать отдельно от самого вывода, поэтому данное имя у некоторых компонентов реко- мендуется скрыть (Visible). 62
2.2. Создание библиотеки символов • Designator — порядковый номер вывода, который в дальней- шем будет использован для совмещения символьного изоб- ражения и посадочного места компонента, рекомендуется применять сквозную нумерацию от 1. Целесообразно также отключить видимость обозначений выводов, т. к. их функци- ональное назначение в случае транзистора ясно из графики УГО (видимые обозначения будут у выводов микросхем). • Electrical Туре — электрический тип вывода. Для выводов транзистора, а также для всех компонентов, рекомендуется всегда устанавливать тип Passive. • Description — текстовое описание функции вывода компо- нента. , • В поле Graphical установить: — Length — длину линии вывода: установить длину 2,5 мм. Длина вывода выбирается из следующих соображений: наименование вывода должно умещаться над его изобра- жением и узлы точек привязки должны впоследствии по- пасть в сетку; — Orientation — ориентация линии: установить угол разворо- та линии вывода (против часовой стрелки, относительно положительного направления оси X). Ориентация может также меняться при установке вывода на графическом эк- ране последовательными нажатиями клавиши ПРОБЕЛ (SPACE). • В поле Symbols оставить без изменения признак No Sym- bol — отсутствие указателей полярности, направления пере- дачи сигнала и т. п. 4. Щелчком ОК завершить редактирование свойств вывода и установить его на УГО в окне графического редактирования ком- понента. 5. Повторить действия пп. 2—4 для следующих выводов компо- нента. Последовательность ввода оставшихся выводов — база, эмиттер. 2.2.2.2. Рисование графики символа Для рисования графики символа выполните следующие дейст- вия: 1. Активизировать команду главного меню Place>Line. Курсор Меняет вид на перекрестие. Графический примитив Line представ- 63
Г.пава 2. Разработка библиотек и моделей компонентов Рис. 2.8. Свойства линии ляет собой полилинию — все отрезки ломаной линии образуют единый объект. 2. Клавишей Tab активизировать функцию настройки ширины линии. Открывается диалоговое окно настройки PolyLine (рис. 2.8). В окно вынесены следующие настройки линии: • Line Width — ширина линии: курсором указывается один из вариантов ширины — Smallest (очень тонкая) — шириной 0,127 мм; — Small (тонкая) — шириной 0,254 мм; — Medium (средняя) — шириной около 0,508 мм; — Large (толстая) — шириной около 1,016 мм. • Line Style (стиль) — сплошная (Solid), точечная (Dotted) или пунктирная (Dashed); • Color (цвет) — выбирается- из цветовой палитры, открываю- щейся по щелчку левой на цветном прямоугольнике; • Фигуры, которыми начинается и кончается линия — Start Li- ne Shape и End Line Shape — стрелки, точки, квадраты и т. п., или отсутствие фигур (None), а также размер этих фи- гур-наконечников (Line Shape Size). Щелчком на ОК завершить настройку. 3. Проложить необходимое число отрезков, образующих эле- менты УГО (рис. 2.5 б), фиксируя начало и конец каждого щелч- ком левой клавиши. Черчение очередного отрезка завершается щелчком правой клавиши мыши или клавишей Esc. Перед вычер- чиванием линии эмиттера клавишей Tab снова активизировать на- стройку параметров и указать стрелку на конце отрезка. 64
2.2. Создание библиотеки символов Рис. 2.9. Свойства дуги 4. Активизировать команду черчения дуги Place> Elliptical Аге. Клавишей Tab активизировать диалог настройки параметров дуги (рис. 2.9). В диалоговом окне указываются параметры: • Line Width — ширина линии; • Color — цвет; • Radius — радиус дуги; • Start Angle — начальный угол в абсолютных координатах; • End Angle — конечный угол также в абсолютных координа- тах. 5. Указать радиус 6 мм, начальный угол 0 (нуль) и конечный угол 360. По щелчку ОК вычерчивается окружность диаметром 12 мм. 6. Переместить и зафиксировать окружность в таком положе- нии, чтобы концы линии эмиттера и коллектора лежали на окруж- ности. 2.2.3. Многосекционные компоненты К многосекционным компонентам относятся резисторные, конденсаторные, диодные и транзисторные сборки и матрицы, электрические соединители, некоторые логические интегральные микросхемы. Схемный символ многосекционного компонента мо- жет быть построен целиком, со всеми секциями в едином УГО. В этом случае создание такого символа ничем нс отличается от рассмотренного. Однако, для формирования принципиальной 3 зак. 32 65
Глава 2. Разработка библиотек и моделей компонентов электрической схемы, которая бы легко читалась и наглядно де- монстрировала принцип действия функционального узла, такое изображение не всегда удобно. 1) Не все секции до одной всегда используются в схеме, а види- мость неиспользуемых невозможно отключить. В результате схема загромождается лишними УГО. 2) Из соображений удобочитаемости схемы и понимания ее функционирования часто бывает удобно изображать схему разне- сенным способом. При едином УГО на весь компонент это нево \ можно, и приходится гянуть к нему линии электрической связи издалека, что затрудняет чтение схемы. DD1:1 DD1:3 DD1:2 DD1:4 12 XT Puc. 2.10. Пример компонента —- микросхема К511ПУ2 Поэтому целесообразно рассмотреть особенности формирова- ния схемного символа многосекционного компонента разнесен- ным способом — на примере логической интегральной микросхе- мы К511ПУ2, содержащей 2 двухвходовых вентиля 2AND-NOT и 2 вентиля NOT-AND в одном корпусе. 1. Выводы компонента устанавливаются командой Place>Pin, причем сразу после выполнения этой команды вывод становится привязанным к курсору, в этот момент необходимо нажать клави- шу Tab, для установки свойств вывода. В открывшемся окне (рис. 2.6) следует указать имя и позиционное обозначение вывода, которое соответствует номеру ножки корпуса микросхемы. Для ввода значка инверсии (вывод Ош) используется знак «/», кото- рый может использоваться для этою в двух режимах, либо один 66
2.2. Создание библиотеки, символов «/» в начале имени создаст черту над всем названием, либо знак «/» ставится после каждого символа имени, в этом случае часть имени будет со знаком инверсии, другая без. Рекомендуется ука- зать длину вывода, кратную 2,5 мм, остальные параметры, такие как электрический тип вывода, специальные символы добавляют- ся дополнительно. 2. На втором этапе создается графика УГО, для чего использу- ются команды рисования (Line, Ellipses, Arc и др.). В нашем слу- чае выбираем команду PIacc>Line и рисуем прямоугольник, как показано на рисунке 5. Толщина и свойства рисуемой линии мо- гут быть изменены во время рисования при нажатии клавиши Tab. Во время рисования графики символа может возникнуть необхо- димость переключения шага сетки. Для переключения между стандартными режимами сеток нужно нажать клавишу G (при этом сетка будет циклично переключаться между значениями 1, 2,5 и 5 мм). При необходимости включить шаг сетки, отличный от указанных выше, нажмите последовательно клавиши V и G, после чего, в появившемся выпадающем меню, выберите команду Set Snap Grid. 3. Создав первую ячейку микросхемы, необходимо повторить первые два шага и создать остальные три ячейки, при этом на символе позиционные обозначения выводов должны совпадать с реальными номерами ножек микросхемы. Для создания новой ячейки внутри одного компонента выполняется команда То- ols>New Part. Если все ячейки одинаковы, то можно скопировать графику первого символа и вставить ее на лист второго символа, с последующей перенумерацией выводов второй ячейки. 2.2.3.1. Скрытые выводы земли и питания При разработке библиотек, в которых у компонентов будут установлены скрытые выводы, удобно включить отображение скрытых выводов (см. гл. 2.2.1). В рассматриваемом случае выводы 7 и 14 относятся к питанию и земле, и должны быть не показаны на символе. Для установки скрытых выводов нужно при установке вывода (командой Place>Pin) зайти в свойства компонента нажа- тием клавиши Tab. После установки названий и обозначений вы- водов их стоит разместить на первой ячейке компонента, как по- казано на рисунке 2.11, при этом электрические точки привязки (hot end) этих выводов должны располагаться на корпусе. 3- 67
Г.пава 2. Разработка библиотек и моделей компонентов Рис. 2.11. Установка скрытых выводов После установки,выводов в соответствии с описанием, следует поочередно зайти в свойство каждого вывода и установить допол- нительные настройки. В свойствах вывода нужно включить опцию Hide и в поле Connect То задать имя цепи, к которой по умолча- нию будет подключаться данный вывод. Кроме этого, обычно, для таких выводов ставят значение 0 в поле Part Number, что говорит о непринадлежности данного вывода к какой-то конкретной ячей- ке микросхемы. Результат создания символа показан на рисун- ке 2.11. Если оставить принадлежность скрытого вывода к первой ячейке микросхемы, и если при этом на схеме будут задействова- ны только 2,3 и 4 ячейки, у микросхемы не будет подключения к соответствующей цепи! Если выводы питания и земли были созда- ны правильно, то при переключении между ячейками компонента они будут отображаться у всех ячеек. 2.2.3.2. Атрибуты компонента При создании символа в программе PCAD после вышеописан- ных шагов требовалось указать точку привязки и установить обя- зательный атрибут RefDes. Здесь точка привязки определяется на- чалом координат рабочей области (перекрестие в центре экрана), а позиционное обозначение (RefDes) автоматически добавляется над корпусом микросхемы. Третьим этапом при создании символа является добавление атрибутов, которые в дальнейшем могут быть использованы для оформления текстовой документации (перечней 68
2.2. Создание библиотеки символов Рис. 2.12. Свойства компонента и спецификаций). Ранее в PCAD этот этап выполнялся последним при создании компонента в приложении Library Executive. Теперь, в связи с тем, что изменена структура библиотек, сейчас уже со- здается компонент! Для установки атрибутов компонента необхо- димо выполнить двойной щелчок мыши на его названии в панели SCH Library. В появившемся окне свойств компонента (рис. 2.12) необходи- мо указать префикс позиционного обозначения компонента и при необходимости добавить параметры, которые в дальнейшем могут быть использованы для создания спецификации и перечня эле- ментов. После нажатия кнопки ОК можно выполнить сохранение Г . |Й' биолиотеки нажатием пиктограммы . 2.2.3.3. Альтернативные изображения символа При разработке электронных устройств, содержащих многовы- водные элементы, часто возникает проблема с оформлением их принципиальных схем. Связано это с тем, что условные графиче- ские изображения (УГО) таких элементов при соблюдении требо- ваний ЕСКД довольно громоздки, что вынуждает либо необосно- ванно использовать большие форматы, либо разделять УГО эле- 69
Г.пава 2. Разработка библиотек и моделей компонентов ментов на блоки, содержащие только используемые в данной схеме выводы. И то и другое в итоге затрудняет чтение принципи- альной схемы. Кроме того, разделенные на блоки библиотечные компоненты PCAD затрудняют и само проектирование в этой сре- де из-за отсутствия единого универсального компонента. Один из способов разрешения этой проблемы — это использо- вание библиотечных компонентов с усеченными УГО. Рассмотрим это на примере узла 24-разрядного блока памяти, выполненного на базе многовыводной. Один из способов разрешения этой проб- лемы — это использование библиотечных компонентов с усечен- ными УГО. микросхемы FLASH-памяти AM29F010, имеющей 17-разрядную шину адреса и 8-разрядную шину данных. Исполне- ние УГО библиотечного компонента с учетом вышеизложенного могут бытьД)ех основных типов (рис. 2.13): классическое, усечен- ное по адресной шине (Вариант «А») и усеченное по обеим шинам (Вариант «В»), Как видно из рисунка, выполненный по ЕСКД усеченный ва- риант УГО «В» по высоте меньше классического примерно в два раза и при этом содержит всю необходимую информацию. Для создания альтернативных вариантов изображения УГО компонента используется панель Mode (рис. 2.14), которая содер- жит всего пять команд (выбор альтернативного варианта, добавле- ние, удаление, пролистывание вариантов назад и вперед). р-; Вариант классический Рис. 2.13. Варианты представления УГО Flash-памяти 70
2.2. Создание библиотеки символов Рис. 2.14. Панель Mode г-- I Ф Чтобы создать вариант «А», нажимаем пиктограмму i____1 и в чистой области рисуем новое изображение УГО. Может быть не- сколько различных подходов, к реализации упрошенных изобра- жений. В рассматриваемом случае (в варианте «А»), выводы адрес- ной шины делаются скрытыми и «По-умолчанию» подключаются к соответствующим цепям А0...А16 (о скрытых выводах см. рис. 2.6). Общая адресная шина и ее обозначение внутри УГО со- здается обычной графикой и текстом. При использовании такого компонента важно помнить, что скрытые выводы уже подключе- ны к определенным цепям! Любой компонент может содержать неограниченное количество альтернативных изображений, т. е. если в конкретном случае, у компонента на схеме задействованы не все выводы, всегда можно добавить упрошенное обозначение, содержащее только задействованные выводы компонента. Об ис- пользовании альтернативных^вариантов изображения см. главу 3.4 (рис. 3.24). 2.2.3.4. Настройки эквивалентности выводов и ячеек Настройки эквивалентности могут быть созданы на двух эта- пах, при создании библиотеки символов, или при формировании электрической принципиальной схемы. Во втором случае инфор- мация об эквивалентности будет храниться в самой схеме и не бу- дет передана в библиотеку. По этой и другим причинам, более корректно устанавливать эквивалентность выводов и ячеек имен- но при формировании библиотечного компонента. Правда бывают компоненты, у которых эквивалентность выводов определяется только позднее исходя из схемотехнических особенностей. В этом случае также рекомендуется установить эквивалентность в биб- лиотеке, а позднее, на плате, разрешить или запретить использо- вание этой информации. Находясь в редакторе схем, выполним команду Tools>Configure Pin Swapping, в результате которой на экран выводится окно, в ко- тором показаны все компоненты данном библиотеки с информа- 71
Гпава 2. Разработка библиотек и моделей компонентов 'tbimun I кзппуг Configure in Schematic Component ;ЬЬму Reference Port* Fn Swep Paia I2/14J 14/14) Information ЫР14 К511ПУ2 Рис. 2.15. Установка эквивалентности для выводов микросхемы L. К511ПУ2 P^SwipD-^ цисй об эквивалентности (в правых столбцах). В списке нужно выбрать необходимый компонент, например, ранее созданный К.511ПУ2, и нажать кнопку Configure Component (также можно выполнить двойное нажатие на названии компонента в списке). После этого на экране будет окно, показанноеГ на рисунке 2.15, в котором закладки Pin Swapping, Differential Pair Swapping и Part Swapping, в которых задается эквивалентность выводов, диффе- ренциальных пар и ячеек компонентов соответственно. Эквивалентность дифференциальных пар устанавливается толь- ко на схеме или плате, а выводы и ячейки могут быть описаны здесь. Для определения группы выводов как логически эквивален- тных, нужно для всей группы выводов установить одинаковое зна- чение в столбце Pin Group. Удобнее всего для этого использовать цифры, но могут быть также использованы и буквенно-цифровые или просто буквенные обозначения. Настройки эквивалентности ячеек внутри компонента устанавливаются аналогично на вкладке Part Swapping. Болес подробно об использовании эквивалентности выводов и ячеек микросхем см. документ АР0138. 2.2.4. Проверка и верификация библиотеки символов На этапе разработки символьного изображения компонента сложно сделать ошибку, но все же не редки случаи среди начинаю- 72
2.2. Создание библиотеки символов Рис. 2.16. Проверка библиотеки символов ших пользователей, когда случай- но устанавливаются несколько од- ноименных выводов, или постав- лен не нужный скрытый вывод далеко от самого символа, кото- рый не так легко найти. В редакто- ре схем можно провести проверку, которая проверяет наличие необ- ходимых элементов и фиксирует дублирующиеся объекты. Для запуска проверки, находясь в редакторе библиотеки символов, выполним команду Reports>Component Rule Check. В результате на экране появляется окно (см. рис. 2.16), в котором две группы проверки. В группе Duplicate проверяется наличие одноименных компонентов и одинаковых номеров выводов. В группе Missing проверяется наличие Description (описания). Footprint (посадочно- го места), Default Designator (позиционного обозначения), Pin Name (имени вывода), Pin Number (номера вывода) и Missing Pins in Sequence (нарушение сквозной нумерации выводов). После за- пуска проверки программа формирует и открывает новый файл с расширением ERR и названием, соответствующим названию биб- лиотеки. Если библиотека не содержит ошибок, то этот файл бу- дет содержать только заголовок, в противном случае будет выдан отчет об ошибках наподобие рисунка 2.17. Перечень ошибок здесь представлен в виде обычного текстового файла и нет возможности навигации по ошибкам, как это сделано, скажем, в редакторах схем и плат. Ошибки в библиотеке придется обнаруживать и исп- равлять вручную. В нашем случае, например, программа выдает три сообщения, которые могут быть как ошибками, так и особенностями частной Рис. 2.17. Отчет об ошибках в библиотеке символов 73
Г.пава 2. Разработка библиотек и моделей компонентов реализации символа: в резисторе отсутствует посадочное место и в микросхеме два вывода с номером 3 и пропущен вывод номер 5 (рис. 2.17). С посадочным местом более-менее-понятно, а вот об- наружить остальные ошибки может не так просто. Большинство ошибок, связанных с созданием символа, относятся к выводам, и могут быть идентифицированы через панель SCH Lib (рис. 2.18). Если упорядочить выводы по возрастанию, то будет видно дубли- рующиеся и недостающие выводы. В конечном результате после проверки окно файла *.ERR не должно содержать списка ошибок. 2.3. Создание библиотеки посадочных мест При реализации баз компонентов в Р-САЕ) использовались библиотеки одного типа (с расширением lib). Эти библиотеки можно было назвать интегрированными, т. к. внутри таких биб- лиотек хранились символы, посадочные места и сами компонен- ты. В Altium Designer для каждого типа объектов имеются свои библиотеки, как было сказано выше. При этом библиотеки симво- лов, по сути, представляют собой библиотеки компонентов, а вот 74
2.3. Создание библиотеки посадочных мест ./у. интерфейс реииктири нисииичныл мест библиотеки посадочных мест имеют отдельное расширение. При этом остальные три типа моделей (3D, Spice, IBIS) не имеют свое- го типа библиотек и хранятся в виде отдельных файлов. Стоит от- метить, что библиотека трехмерных моделей может существовать в отдельности (*.PCB3Dlib), но такой подход не используется в кон- цепции последних версий программы. Создание посадочного места начинается с создания новой биб- лиотеки, для чего выполняется команда File>Ncw>Library>PCB Library. В результате на экране появляется окно, похожее на то, что показано на рисунке 2.19. Для работы с редактором посадочных мест используется панель PC В Library, которая может не запуститься автоматически. Для отображения указанной панели нужно найти ее в группе панелей РСВ в нижней левой части экрана. После этого интерфейс про- граммы примет вид, как показано на рисунке 2.19, причем нетруд- но заметить, что по умолчанию новый документ запускается в ми- лах (mil). 2.3.1. Начальные настройки рабочей области Для установки настроек выполним Tools>Library Options и на экране появится окно, показанное на рисунке 2.20. В появившем- 75
Гпава 2. Разработка библиотек и моделей компонентов Рис. 2.20. Настройки рабочей области редактора посадочных мест ся окне выставляются единицы измерения (в поле Units), шаг сет- ки (Snap Grid), шаг сетки для установки компонентов (Component Grid, для библиотек эта сетка не используется) и две видимые сет- ки (Grid 1 и Grid 2). Видимые сетки задаются относительно сетки Snap Grid, первая должна быть равна шагу сетки, вторая в десять* раз больше, в этом случае настройки сеток Altium Designer будут идентичны настрой- кам сеток Р-CAD. Наиболее важной здесь является сетка Electrical Grid, или сетка привязки, она определяет прямоугольную область внутри контактной площадки. при захвате ха которую курсор за- липает на центре отверстия. Опция Snap On All Layer — распро- страняет привязку на все слои, а опция Snap То Boaid Outline до- бавляет еще и привязку к углам платы (эта опция не используется в редакторе посадочных мест). Остальные опции в данном окне несущественны, поэтому принимаем изменения нажатием кнопки ОК. Теперь на экране отображается светлая сетка, а при увеличе- нии масштаба изображения появится темная. 2.3.2. Алгоритм создания посадочного места (footprint) Приступим непосредственно к созданию посадочного места, которое в целом состоит из двух этапов: 1. Установка контактных илошадок 2. Разработка графического изображения корпуса компонента 76
2.3. Создание библиотеки посадочных мест Создание посадочного места может быть выполнено двумя спо- собами, во-первых, вручную, а во-вторых, с помощью мастера. Сначала рассмотрим ручной вариант разработки на примере поса- дочного места резистора, а затем с помощью мастера создадим по- садочное место для созданной ранее микросхемы. Для создания нового посадочного места выполним команду Tools>New Blank Component, после чего в панели PCB Library появится новое поса- дочное место с условным именем. Чтобы задать необходимое на- звание создаваемому корпусу нужно выполнить двойной щелчок мыши на его надписи в панели PCB Library (в нашем случае вво- дим название Resistor). I. Для установки контактных площадок выполним команду Р1а- ce>Pad и нажимаем клавишу Tab для описания параметров кон- тактной площадки. В появившемся окне (рис. 2.21) необходимо задать параметры отверстия (в поле Hole Information) и параметры контактной площадки (в поле Size and Shape). Кроме этого могут Рис. 2.21. Параметры контактной площадки 77
Гпава 2. Разработка библиотек и моделей компонентов быть заданы дополнительные параметры (см. рис. 2.21), причем для площадки под поверхностный монтаж сначала нужно указать слой в поле (Layer), после чего поле Hole Information будет недо- ступно. Нумерацию контактных площадок следует начинать с 1, т. к. здесь указываются номера физических ножек микросхемы. Нулевой номер контактной площадки обычно используется для крепежных отверстий. Для резистора устанавливаем две контакт- ные площадки, причем первую устанавливаем в начало координат, а вторую на 10 мм правее (4 шага основной сетки). 2. Вторым этапом разработки посадочного места является раз- работка графики, причем она должна быть выполнена в опреде- ленном слое. Более подробно работа со слоями в Altium Designer будет рассмотрена в главе 4.2.3. В нашем случае контур корпуса компонента должен быть выполнен в слое Top Overlay. Выбор слоев производится по кнопкам с их названиями в нижней части экрана (рис/2.19). Теперь в выбранном слое будем создавать кон- тур компонента с помощью команды Place>Line, которая имеет некоторые отличия от аналогичной команды в редакторе симво- лов. Во-первых, переключение сеток при нажатии клавиши G здесь происходит не между заранее заданными значениями, а предлагается выбрать сетку из списка (или задать пользователь- ское значение — Set Snap Grid). Во-вторых, вместо пяти режимов рисования, здесь каждый режим разбит на подрежимы, как пока- зано на рисунке 2.22. Режимы переключаются комбинацией клавиш Shift+Space и отличаются ортогональностью. Подрежимы переключаются клави- шей Shift и отличаются положением начального сегмента. После завершения рисования корпуса резистора, изображение на экране должно соответствовать рисунку 2.23. В качестве особенностей данного редактора по сравнению с P-CAD Pattern Editor стоит отметить следующие особенности: 1. Не ставится атрибут RcfDcs, здесь, как и в редакторе симво- лов, он будет установлен (по умолчанию) автоматически над кор- пусом компонента. При необходимости изменения положения по- зиционного обозначения компонента нужно поменять настройку в поле Autoposition на странице DXP>Preferences>PCB Editor>Defa- ult для объекта Component. . 2. Не задается точка привязки, и отсутствуют элементы Glue Point (точка приклейки), Pick Point (точка захвата для автоматиче- ской установки) и Test Point (тестовая точка). Точка привязки за- 78
2.3. Соз&ание ои&пиотеки посаоочных мест дана априори в начале координат, а точки Glue Point и Pick Point с ней совпадают, поэтому, если возникает необходимость в их ис- Рис. 2.22. Режимы рисования линии Рис. 2.23. Готовое посадочное место 79
Глава 2. Разработка библиотек и моделей компонентов пользовании, следует располагать начало координат в геометриче- ском центре компонента. Данную операцию удобно делать по окончании разработки посадочного места с помощью команды Edit>SetReference>Center. Разработка посадочного места резистора закончена, теперь по- смотрим, как создать более сложное посадочное место микросхе- мы в режиме мастера. Для запуска мастера выполним команду То- ols>Component Wizard. На экране появится диалоговое окно мас- тера создания посадочных мест и после нажатия кнопки Next будет предложено выбрать тип корпуса и единицы измерения (рис. 2.24). Рис. 2.24. Мастер создания посадочных мест Далее в пошаговом режиме будет предложено задать набор па- раметров, которые описывают создаваемую модель, например, па- раметры контактной площадки, число выводов, толщину линии корпуса и т. д. В нашем случае создаем корпус с 14 выводами. На последнем шаге будет предложено указать название посадочного места. После завершения работы мастера сохраним библиотеку нажатием пиктограммы 80
2.3. Создание библиотеки посадочных мест 2.3.3. Подключение 3D моделей в формате STEP В программе Altium Designer имеется возможность создания библиотеки трехмерных моделей *.PCB3Dlib, однако стоит отме- тить. что такие библиотеки являются атавизмом более ранних вер- сий программы. Сейчас модель компонента, ранее созданную в любой из САПР твердотельного моделирования и сохраненной в формате STEP, можно добавить к посадочному месту напрямую. Рассмотрим данную возможность на примере резистора. После создания посадочного места резистора (как показано на рисунке 2.23), к нему можно добавить трехмерную модель, кото- рая затем на плате будет закреплена за его посадочным местом. Для этого в библиотеке посадочных мест выполним команду Р1а- ce>3D Body. В появившемся окне выбираем тип модели Generic STEP Model и затем нажимаем появившуюся кнопку Embed STEP Model (Работа с моделями в редакторе плат будет описана более подробно в главе 4.8). Будет предложено указать файл модели, в качестве которого выбирается модель резистора в формате STEP. После нажатия кнопки ОК, к курсору будет привязан розовый контур с заливкой в виде сетки (если работа ведется в 2D режиме), который представляет собой проекцию модели на плату. Размеща- ем этот контур в произвольном месте, после чего будет предложе- но разместить еще одну модель, от чего следует отказаться нажа- тием кнопки Cancel. Теперь необходимо совместить установлен- ную модель (которая пока отображается в виде проекции) с посадочным местом, что удобнее сделать в режиме трехмерного просмотра (для чего нажимаем клавишу 3). При включении режи- ма 3D на экране будет видна модель резистора (рис. 2.25), если этого не произошло, то либо отключен просмотр моделей, либо компонент расположен за пределами видимой области. Нажимаем последовательно клавиши V>F (масштабировать объекты во весь экран) и далее нажимаем клавишу L, для отображения настроек просмотра и здесь включаем отображение моделей в группе 3D Bodies (см. рис. 2.26). Теперь необходимо выполнить несколько операций, по привяз- ке модели и посадочного места, в нашем случае, достаточно со- вместить выводы резистора с контактными площадками. В общем случае может потребоваться очень сложный набор действий, по привязке модели, и это, в первую очередь, зависит от того, как была создана модель в механической САПР. Рекомендуется при 81
Гпава 2. Разработка библиотек и моделей компонентов Рис. 2.25. Добавление модели резистора 30 В ode s Jump to system settings. Show Simple 3D Bodes Show STEP Models 0 Show Snap Рой Markets Puc. 2.26. Настройка отображения моделей формировании модели в исходной САПР базировать первую нож- ку модели в начале координат и ориентировать модель относите- льно плоскости XY (предполагая, что эта плоскость соответствует плате). Чтобы выровнять модель, относительно платы или заданной графики, используется группа команд Tools>3D Body Placement (команды которой доступны только в трехмерном режиме). Для вращения вида изображения используется правая клавиша мыши с нажатой кнопкой Shift (эти команды описаны в гл. 4.8). Итак, развернем компонент обратной стороной и выполним команду TooIs>3D Body Placement Position 3D Body, позволяющую совмес- тить модель и графику по одной точке. После запуска команды курсор принимает вид маленького крестика, им необходимо вы- брать модель резистора. Теперь курсор принимает вид большого креста (голубого цве- та), который двигается по невидимым узлам модели. Выбираем этим курсором центр первой ножки резистора (рис. 2.27 — слева), после чего курсор снова меняет вид, и теперь представлен в виде синего прицела. Перемешаем курсор в центр первой контактной площадки, и когда он поменяет цвет на зеленый (рис. 2.27 — 82
2.3. Создание библиотеки посадочных мест Рис. 2.27. Совмещение модели и посадочного места Рис. 2.28. Готовая модель резистора справа), что говорит о попадании в привязку (Electrical Grid), фиксируем положение резистора. Теперь резистор совмещен с по- садочным местом (рис. 2.28), а если этого не достаточно, то мож- но воспользоваться другими командами управления моделями в редакторе плат (см. гл. 4.8) Работа с ЗО-моделями в редакторе плат подробно описана в главе 4.8 и документе стандартной документации TU0132. 2.3.4. Проверка и верификация библиотеки посадочных мест Проверка корректности создания посадочных мест проводится аналогично данной процедуре в библиотеке символов, т. е. с по- 83
Г.лава 2. Разработка библиотек и моделей компонентов Рис. 2.29. Проверка посадочных мест мощью команды Report>Component Rule Check. При этом на эк- ране отображается окно, показанное на рисунке 29. Здесь программа проверяет дублирующиеся контактные пло- щадки (Pads), примитивы (Primitives) и посадочные места (Footp- rint). Кроме этого, рекомендуется проверять наличие следующих объектов: • Missing Pad Names — названия контактных площадок, имен- но по ним автоматически будет проводится сопоставление выводов символа и ножек микросхемы; • Offset Component Reference — смещение точки привязки, вы- дается сообщение в том случае, если привязка расположена не в проекции посадочного места; • Shorted Copper — пересечение объектов на слое металлиза- ции; • Unconnected Copper — неподключенные объекты на слоях металлизации; • Check All Components — проверять все компоненты, в про- тивном случае проверка будет выполнена только для актив- ного компонента. Поиск и исправление ошибок в данном случае являются пол- ностью ручными процедурами, и выполняются аналогично подоб- • ным действиям в редакторе символов (см. гл: 2.2.4) 2.4. Редактирование библиотек (List, Inspector и т. д.) После создания библиотек, может возникнуть задача внесения изменений в графическую часть. Редактирование может касаться 84
2.4. Редактирование библиотек (List, Inspector и т. д.) одного конкретного символа и может содержать набор простейших процедур, таких как изменение толщины линий или нумерации выводов. В этой главе будут рассмотрены вопросы, касающиеся ре- дактирования нескольких компонентов или нескольких объектов на одном компоненте, т. е. вопросы, затрагивающие глобальное редактирование. Рассмотрим три самостоятельных примера. Пример №1. Имеется символ многовыводной микросхемы в стандартной библиотеке Altium. Необходимо адаптировать символ для использования на схемах по требованиям ГОСТ, т. е. поме- нять длину выводов, выровнять их по сетке 2,5 и изменить графи- ку символа. Рассмотрим решение поставленной задачи на компоненте ADC-8, который располагается в стандартной библиотеке Miscel- laneous Devices.IntLib. Чтобы скопировать символ из исходной библиотеки в библиотеку пользователя, нужно одновременно от- крыть обе библиотеки. Причем ранее было показано, как создают- ся библиотеки символов и библиотеки посадочных мест, а в каче- стве исходной библиотеки будет использоваться интегрированная библиотека. Открыть эту библиотеку можно стандартной коман- дой File>Opcn, причем, при попытке это сделать на экране будет показан вопрос (рис. 2.30). Предлагается выполнить два действия над библиотекой: Extract Sources (открыть) и Install Libraries (установить). Немного позднее будет описан порядок создания интегрированных библиотек и там будет сказано, что установка библиотеки нужна для последующего создания схемы из ее компонентов, поэтому в данном случае вы- бираем Extract Sources. В результате в панели Projects будут загру- жены две библиотеки (символы и посадочные места), объединен- ные файлом проекта Miscellaneous Devices.LIBPKG. Рис. 2.30. Открытие интегральной библиотеки 85
Глава 2. Разработка библиотек и моделей компонентов Рис. 2.31. Копирование компонентов из библиотеки После этого открываем из панели Projects библиотеку символов, находим в ней ком- понент ADC-8 (через панель SCH Library7) и копируем его с помощью команды из под пра- вой клавиши мыши (см. рис. 2.31). Соответственно, да- лее открываем библиотеку по- льзователя, нажимаем правой кнопкой в списке компонентов панели SCH Library и выбираем команду Paste. При необходи- мости копирования нескольких компонентов их следует выде- лять с нажатой клавишей Ctrl. Первым действием исправим длину всех выводов компонента, для чего их необходимо все выбрать. Нажимаем правой клавишей мыши на любом дыводе компонента и выбираем команду Find Si- milar Object (Выбор подобных объектов), в появившемся окне пока- заны свойства Pin (вывода). Убеждаемся, что в нижней части вклю- чены все опции, кроме Create Expression и нажимаем кнопку ОК. В появившейся панели Inspector изменяем длину вывода в строке Length на 2,5 мм (рис. 2.32). Обратите внимание, что в за- головке панели указано From Current Component, т. е. указан- ное действие применяется для выбранного компонента, а в об- щем случае данное действие можно было применить ко всем компонентам библиотеки (для этого на предыдущем этапе в панели Find Similar Object надо было также указать область вы- бора — все компоненты). Теперь привяжем выводы компонента к сетке 2,5 мм. На- жатием клавиши G, добиваемся Рис. 2.32. Изменение длины выводов микросхемы ADC-8 86
2.4. Редактирование библиотек (List, Inspector и т. д.) включения сетки 2,5 мм (Если включена миловая система коорди- нат, ее необходимо переключить на метрическую через Tools>Do- cument Options). Не снимая выделение с выбранных выводов, вы- полним команду Edit>Align>Align То Grid, что позволяет выров- нять выделенные объекты по активной сетке. Последнее, что остается выполнить для адаптации выбранного объекта к отечест- венным ГОСТам, это перерисовать графику символа. В данном случае проще удалить старый символ и заново нарисовать новый. Таким образом, на подгонку символа даже самой сложной микро- схемы может уйти не более 2 минут. Пример №2. Имеется многовыводная микросхема, создание символа которой ведется с нуля. Для этой микросхемы имеется Datasheets в котором имена выводов представлены в табличной форме. Ускорить создание такого символа можно с помощью па- нели List. Начало разработки такого символа ничем не отличается от обычного, но при размещении выводов, в их свойствах задаются только номера, поле Name остается не заполненным. Так как но- мера проставляются автоматически, разместить любое количество выводов не составит большого труда. После этого, вызываем па- нель List, которая может быть запущена комбинацией клавиш Shift+FI2. Рис. 2.33. Вставка нумерации выводов из таблицы Datasheets 87
Глава 2. Разработка библиотек и моделей компонентов В этой панели (рис. 2.33) показаны все объекты текущего ком- понента в табличной форме, которые могут подвергаться редакти- рованию. Панель List имеет четыре кнопки управления, который показаны цифрами на рисунке 2.33: I. Режим работы: View (просмотр) или Edit (редактирование); 2. Объекты: Selected (выбранные), Non Masked (не выбранные), АП (все); 3. Область: Current component (текущий компонент), All com- ponents (все компоненты); 4. Примитивы: из списка выбираются примитивы с которыми ведется работа. После выбора всех настроек, как показано на рисунке 2.33, в таблице будут показаны только свойства выводов. Выравниваем таблицу по столбцу Pin Designator, по номерам выводов. Теперь в столбец Name необходимо ввести имена выводов микросхемы, и здесь даже в ручную эту задачу выполнить гораздо легче, чем в поле редактора. Однако, в нашем случае, данная информация имеется в табличной форме, поэтому копируем столбец с именами выводов из Datasheets. Команды копирования, удаления и вставки работают аналогично данным командам в редакторе таблиц Excel. Пример №3. После импорта из Р-CAD некоторые линии сим- волов отображаются толще других, и все тексты имеют точку при- вязки. Необходимо сделать все линии одной толщины и убрать отображение точки привязки для всех компонентов библиотеки. Для решения этой задачи, находясь в режиме редактирования любого компонента библиотеки, нажимаем правой клавишей на позиционном обозначении и вызываем команду Find Similar Ob- ject (если позиционные обозначения не отображаются в библиоте- ки, то необходимо включить опцию Always Show Comment/Desig- nator в настройках Tools>Document Options). В окне Find Similar Object задаем настройки в соответствии с рисунком 2.34, причем, обращаем внимание на то, где будут выбраны объекты (нужно вы- брать All Components, чтобы выбирались объекты во всех компо- нентах). После нажатия кнопки ОК, запустится панель Inspector, в ко- торой также надо выбрать работу со всеми компонентами, в верх- ней части панели (см. рис. 2.34). Прежде чем вносить правки, убе- дитесь, что в статусной строке панели Inspector написано общее число позиционных обозначений (оно равно числу компонентов в 88
2.5. Создание интегрированной библиотеки SCHUB Kind___ Object Kind Design Designate* Graphical XI Y1 Fontld Orientation Horizontal Justification Vertical Justification 1 nrk>a 0 Degrees Left Bottom □ Ubjed ТЫ Speed ic Ownet рб objectfsjare displayed m 7documents) В s 3 > AUtopo:<*«3n Puc. 2.34. Выключение опции отображения точки привязки позиционного обозначения для компонентов библиотеки библиотеке). После этого можно в поле Autoposition поставить галку, которая скрывает точку привязки текста. Аналогичным об- разом исправляем толшину линий по всем компонентам библио- теки. 2.5. Создание интегрированной библиотеки 2.5.1. Особенности использования интегрированных библиотек Теперь мы имеем в своем распоряжении две библиотеки, в одной хранятся символы, а в другой — посадочные места резистора и мик- росхемы. Последним этапом нашей работы, по результатам которо- го можно будет использовать полнофункциональный компонент на схеме и плате, является установка ссылки для компонента на модель посадочного места. Данная процедура может быть выполнена раз- 89
Глава 2. Разработка библиотек и моделей компонентов личными способами и есть несколько вариантов реализации биб- лиотек. В рассматриваемом примере будет показан подход, который предполагается разработчиками, а именно — хранение всех компо- нентов и моделей в виде интегрированных библиотек. Для создания схемы достаточно иметь библиотеки компонентов в виде *.SchLib и *.PcbLib в структуре проекта, но в этом случае усложняется процедура структурирования библиотек и последую- щего оборота библиотек внутри предприятия. Файлы библиотек символов и посадочных мест рекомендуется объединять в проект библиотек, который носит название интегрированной библиотеки. Рекомендуется при создании схем использовать только интегриро- ванные библиотеки (на следующем шаге они могут быть перефор- мированы в базы данных (БД) библиотек, см. гл. 2.6). Использова- ние интегрированной библиотеки имеет следующие преимущества: все символы, модели Spice и посадочные места хранятся в едином файле, имеется возможность компиляции библиотеки, за счет чего достигается ее отладка и, кроме этого, интегрированные библиоте- ки можно использовать для создания БД. Для создания интегрированной библиотеки выполним команду File>New>Project>lntegrated Library, после чего в структуре панели Project добавится новый документ, который нужно сразу сохра- нить, нажав на нем правой кнопкой мыши и выполнив команду Save Project. Теперь необходимо добавить в структуру созданного проекта, ранее созданные библиотеки, путем их перемещения в дереве панели Project (см. рис. 2.35). Стоит отметить, что создан- ный файл имеет расширение \LibPkg, а не *.IntLib, т. е. в настоя- щий момент мы имеем не саму интегрированную библиотеку', файл заготовки, из которого будет сформирована интегрированная библиотека в процессе компиляции. IWoduoacal Oww/ti • wi Scute Vл PcbLfeFcb' b (•ineVrw OSbuckftEtiio' 3 6 J iAbunin LibPkq " ~ i ii ho Documew Added atwnLtFkg 0 Fie View QStrucwe Ejke faktpace] Wdiksoecel DsnWtk Puc. 2 35. Создлние интегрированной библиотеки 90
2.5. Создание интегрированной библиотеки 2.5.2. Подключение моделей 2.5.2.1. Подключение посадочного места Когда все нужные библиоте- ки находятся в структуре интег- рированной библиотеки, мож- но выполнять подключение мо- делей к соответствующим компонентам. В нашем случае необходимо открыть библиоте- ку символов и нажать кнопку Add Footprint в окне подключе- ния моделей (см. рис. 2.35). В результате на экране поя- вится окно РСВ Model (рис. 2.36), где после нажатия кнопки Browse необходимо ука- зать нужный корпус (в окне РСВ Model имеется еше несколько команд для добавления моде- Рис. 2.36. Подключение лей, но при описанном выше посадочного места подходе к реализации библио- тек, когда все нужные модели уже включены в структуру проекта, используется только кнопка Browse). Причем, посадочные места, доступные по кнопке Browse, сразу имеют ссылку на библиотеку по- садочных мест, которая имеется в интегрированной библиотеке. Будем считать, что топологическое посадочное место (ТПМ) для разрабатываемого нами компонента схемной библиотеки — микросхемы К5НПУ2 сформировано и сохранено в РСВ-библио- теке под именем DIP14. В открывшемся диалоговом окне просмотра библиотек Library Browse (рис. 2.37). Поскольку библиотека book.PcbLib, содержа- щая ТПМ DIP14 включена в дерево проекта book.LibPkg, поиск сразу обнаруживает его. В полях окна (рис. 2.37) отображается имя библиотеки, имя ТПМ и его описание, а также графическое изображение контура и контактных площадок. После выбора нужного посадочного места, кнопкой ОК окно закрывается, а данные из его полей передаются в окно РСВ Model (рис. 2.36). Завершить процедуру подключения ТПМ кнопкой ОК в окне рис. 2.36. 91
Гпаеа 2. Разработка библиотек и моделей компонентов Рис. 2.37. Выбор библиотеки и посадочного места При выборе модели про- грамма автоматически сопо- ставл я ет ну м е ра ц и ю вы волов символа, номерам контактных площадок на посадочном месте. Когда эта нумерация совпадает, для согласования символа и ТПМ не требуется дополните- льных процедур (как например заполнение таблицы в Library Executive в P-CAD). Проверить согласование выводов символа и площадок ТПМ можно на этапе Рис. 2.38. Согласование выводов символа и площадок модели компиляции, или во время ра- боты — выбрав вывод на символе, будет подсвечена контактная площадка на модели в окне предварительного просмотра. Бывают ситуации, когда имена выводов нс совпадают с имена- ми площадок модели, например при создании диода, в символе выводы были названы А(анод) и К(катод), а на модели площадки имеют сквозную нумерацию (1,2). В этом случае, после выбора модели, находясь в окне РСВ Model (рис. 2.36), нажимаем кнопку Pin Мар, в которой нужно в столбце Model Pin Designator напи- сать реальные имена выводов модели. В общем случае рекоменду- ется нс допускать компонентов, у которых не совпадают имена выводов на символе и на модели, в крайнем случае их необходимо будет согласовывать через окно Model Мар (рис. 2.38). 92
2.5. Создание интегрированной библиотеки 2.5.2.2. Подключение модели Spice В библиотеках Altium Designer SPlCE-модсли компонентов обычно хранятся в составе интегрированных библиотек. Разработ- чиков интересует процедура присоединения «сторонних» моделей, специально разработанных для отечественной компонентной базы. Эти модели представляют собой отдельные текстовые фай- лы, составленные по правилам SPICE-технологии моделирования. Они могут храниться в пользовательских каталогах файловой сис- темы компьютера. Имена файлов моделей дискретных компонентов имеют рас- ширения *.mdl, а имена файлов макромоделей — расширения *.ckt. В целях упрощения пронедурыпоиска, а также для объеди- нения в дальнейшем, компонентов схемной библиотеки и моде- лей в интегральную библиотеку, начнем процедуру подключения SPlCE-моделей с включения их в структуру библиотечного про- екта. Рассмотрим процесс подключения Spice модели на примере ди- ода, создание которого не было описано в предыдущих главах, но было сказано, что выводы символа диода имеют имена А и К. Для диода потребуется модель, которую предварительно необходимо поместить в папку проекта библиотеки и затем уже добавлять в структуру проекта. Воспользуемся моделью диода lN4003.mdl, ко- торую можно найти в папке ...Library\Miscellaneous Devices, кото- рая по умолчанию отсутствует в каталоге установки, но появляет- ся после открытия интегрированной библиотеки. После копирования этой модели в папку проекта, в Altium De- signer, щелчком правой клавиши мыши на имени библиотечного проекта в панели Projects вызываем контекстное меню Add Exis- ting То Project и в появившемся окне выбираем тип файлов Mi- xed-signal sim model (*.mdl, *.ckt, *.lib). Указываем расположение ранее скопированного файла, после чего структура проекта будет иметь вид, как показано на рисунке 2.39. Далее кнопкой Add в поле моделей активизировать процедуру поиска и присоединения SPICE-модели к компоненту схемной библиотеки. Открывается диалоговое окно поиска модели Sim Model (рис. 2.41), в котором следует нажать кнопку Browse и в появив- шемся окне указать необходимую модель (в данном примере, lN4003.mdl). 93
Г.пава 2. Разработка библиотек и моделей компонентов Рис. 2.39. Структура проекта Рис. 2.40. Добавление модели Spice Рис. 2.41. Выбор модели Spice Если ссылка на файл модели присутствует в дереве проекта *.LibPkg, в полях-закладках в нижней части окна рис. 2.41 отобра- жаются сведения о подключаемой модели: • Netlist Template — строка формальных параметров; • Netlist Preview — образец текстовой строки SPICE-описания транзистора в задании на моделирование; • Model File — текст файла описания SPICE-модели. 94
2.5. Создание интегрированной библиотеки Рис. 2.42. Сопоставление выводов многогейтовому Spice описание После выбора типа модели обязательно нужно проверить согласование выводов. на вкладке Port Мар (рис. 2.42). Если при подключении по- садочного места модель чаше всего настраивается автомати- чески, то при подключении мо- делей Spice чаше бывает наобо- рот. Так при подключении мо- дели к компоненту, выполнено только для одной ячейки, и для остальных ячеек тре- буется указать сопоставление выводов символа и выводов модели. Если создается символ одного из базовых элементов (напри- мер, транзистор, резистор, диод, и т. д.), а модель в формате Spice отсутствует, то се можно получить в редакторе символов с помо- щью мастера. Для этого запускается команда Tools>XSpice Model Wizard, с помощью которой, вводя информацию на каждом шаге, может быть получена модель на языке Spice. 2.5.2.3. Подключение модели IBIS Для моделирования паразитных эффектов в печатном монтаже необходимо включить в описание компонентов схемной библио- теки модели входных и выходных буферов интегральных микро- схем, электрических выводов других компонентов. Модель зави- сит от типа, схемотехники и технологии изготовления компонен- та. Обычно задаются значения активного входного/выходного сопротивления и входной/выходной емкости. Модели бывают внешние, поставляемые производителями компонентов и встроен- ные, параметры которых задаются непосредственно в среде редак- тора библиотек. Процедура присоединения моделей Signal Integrity (lBIS-моде- лей) не отличается от процедуры присоединения моделей ТПМ или SPICE-моделей. Для присоединения IBIS-модели надо акти- визировать вид модели — Signal Integrity (рис. 2.40). Открывается диалоговое окно Signal Integrity Model (рис. 2.43). Для подключения встроенной модели сформированного диода (его выводов) указать в поле Туре имя Diode, в поле описания, Description, ввести описание Diode_14003. 95
Гпава 2. Разработка библиотек и моделей компонентов Рис. 2.43. Подключение IBIS модели 2.5.3. Компиляция и верификация интегрированной библиотеки Теперь мы имеем библиотеку, содержащую три компонента, но, прежде чем ее использовать, необходимо выполнить проверку. Проверка может быть выполнена по отдельности на каждом этапе разработки, т. е. отдельно проверяются символ, посадочное место и т. д. (что было показано в разделах, относящихся к созданию этих библиотек), или можно выполнить полную проверку библио- теки компонентов. Общая проверка библиотеки компонентов вы- полняется компиляцией, по результатам которой будет сгенериро- ван файл с расширением *.IntLib, который можно в дальнейшем использовать для работы при создании схем. Итак, выполним компиляцию проекта Projcct>Compile Integrated Library..., по резу- льтатам которой интегрированная библиотека будет автоматиче- ски подключена к программе и готова к использованию. В нашем случае мы не стали задавать настройки компиляции, т. е. провели проверку библиотеки по правилам заданным «по умолчанию» и соответственно, избежали каких либо ошибок в проекте. При компиляции наиболее важной проверкой является опреде- ление наличия для всех выводов на символе ответных частей на модели, и в случае отсутствия будет выдано сообщение, аналогич- ное рисунку 2.44. 96
2.6. Создание библиотеки на основе базы данных gaumed Sowce M*:iage jfCnor] Bor*ScN_fc Compter Рюбе CaWnol гмррол T on modd1N4(IOff tea pm ^jlCrra] EocteScH.fc Compter Diode. Ccddndmappwl^onmoddlMOQfftoapte Tine ’Date ____________ho. 141350 03’322009 3 ‘ 141350 03 022009 4 [|Ежм1 j8o<* ScNA ИППУ7 jH J (EH ЙИ BookSchLfc BateScHi Book Sdxib 8oA5dU> Cwt* К511ЛУ2 Codd noi tod port Iff on model Wla’fe pm Iff Compter К5ППУ2 CoidTKitodpcrtirwmodellemto'fafmir Compter К511ПУ2 Catend Ыpal 7onmodsl'lewlaforpn7 Compter К511ПУ2 Cate rd tod port Iff on model tewta'fapn Iff 'pTC~f^O7K'fillJCX'£ii < 141350 14:1350 141350 14.1350 D3 02 2009 03022009 СЭ 022009 030220/9 6 ® 7 8 9 v ЦШЮ даооГк MCuVM Выделенное на рисунке сообщение буквально говорит о том, что у компонента К.511ПУ2, для вывода №12 на символе отсутст- вует соответствующий вывод на модели. Для обнаружения этой ошибки в самом редакторе необходимо выполнить двойное нажа- тие на тексте ошибки в панели Messages. На этапе компиляции проекта библиотеки необходимо добиться полного отсутствия ошибок! Более подробно о компиляции проекта сказано в разде- ле 3.8.2. 2.6. Создание библиотеки на основе базы данных Как правило, в стандартных библиотеках большинство компо- нентов отсутствуют, и рано или поздно встает вопрос, как о созда- нии перечня элементов, так и доступности компонентов и их мон- тажа на печатной плате. В этой главе рассмотрен вопрос создания библиотеки на основе базы данных для того, чтобы непосредст- венно из нее выбирать компоненты и применять их в электриче- ской схеме. Затраченное время на подготовку базы данных с лихвой окупит- ся простотой поиска компонентов (вид изображения компонентов, посадочных мест и других моделей непосредственно отображается на соответствующей панели) для проекта, так и отсутствием необ- ходимости ввода всех параметров этих элементов в SCH-редакторе. Более того, можно будет отказаться от инсталляции всего множе- ства созданных разнообразных специализированных библиотек, ориентированных на определенный класс элементов. В качестве примера воспользуемся интегрированной библиоте- кой, содержащей всего лишь один компонент R1206-lK-5%, кото- рый был предварительно разработан и содержит все параметры, необходимые для последующего формирования КД. Предполо- жим, перед разработчиком стоит задача — добавить в данную биб- 4 зак. 32 97
Глава 2. Разработка библиотек и моделей компонентов лиотску следующие компоненты: R1206-1.4K-5%, R1206-2.2K-5%, R12O6-1K-1%, R1206-1.4К.-1 %, R1206-2.2K-l%. Хотя, для столь небольшого ряда компонентов данная процедура не будет очень предпочтительна, указанным ниже способом можно обработать гораздо больший массив компонентов, меняя при этом большее значение параметров. Для создания базы данных библиотек и последующим ее им- портом в стандартную интегрированную библиотеку, выполним последовательно следующие действия: Перед началом выполнения пошаговых действий создадим на диске С папку с названием Database и скопируем в нее библиотеку ONE.IntLib. Запустим программу Altium Designer и создадим новый файл базы данных библиотек *.DbLib, с помощью команды File>New>Library>Database Library Находясь в режиме редактирования базы данных, выполним Tools>lmport Tools Integrated Libraries. Этим действием начальная интегрированная библиотека будет преобразована в базу данных библиотек. В появившемся окне (рис. 2.45) необходимо указать ссылку на существующий файл базы данных Access, либо просто выбрать не- которую директорию и ввести имя файла новой базы данных, как было сделано в данном случае. Рис. 2.45. Выбор используемой базы данных 98
2.6. Создание библиотеки на основе базы данных После нажатия кнопки Next, программа предложит указать файл для базы данных библиотек *.DbLib (рис. 2.46), при этом если файл с которым ведется работа в данный момент, изначально был сохранен, то его имя в данном окне будет добавлено автома- тически. На следующем шаге необходимо указать интегрированную биб- лиотеку, которую необходимо преобразовать в базу данных биб- лиотек. Для того, чтобы се добавить, нажимаем кнопку' Add и на- ходим библиотеку в папке проекта (учитывается, что библиотека, разработанная ранее и содержащая один резистор, находится в папке проекта). После нажатия кнопки Next, будет запущен про- цесс импорта, в результате которого в будет сформирована база данных библиотек *.DbLib и в рабочей папке при этом будет сформирован файл *.MDB, который является файлом программы Microsoft Access. После создания базы данных библиотек, можно приступить к созданию массива компонентов непосредственно через базу дан- ных, для чего открываем для редактирования файл *.MDB, кото- рый находится в папке проекта. Рис. 2.46. Выбор файла базы данных библиотек 4- 99
Г.пава 2. Разработка библиотек и моделей компонентов При запуске программы Microsoft Access, откроется окно с со- держанием базы данных, в котором необходимо выбрать вкладку Таблицы и после этого запустить таблицу с названием XXX (кото- рая представляет собой библиотеку XXX.IntLib) В таблице XXX имеется одна строка, в которой внесена вся ин- формация о компоненте R1206-lK-5%. Здесь наиболее значимыми являются следующие параметры: Part Number — название компо- нента, Library Ref — ссылка на символ (УГО), Library Path — рас- положение библиотеки, содержащей символ, Footprint Ref — ссылка на посадочное место, Footprint Path — расположение биб- лиотеки, содержащей посадочное место. В рассматриваемом слу- чае символ и посадочное место будет одинаковым для всей линей- ки резисторов, а параметр Part Number — будет уникальным для каждого из них. Кроме изменения имени компонента необходимо будет поменять значения в столбцах Value — номинал резистора, Регс — погрешность. Для того, чтобы создать несколько однотипных компонентов в базе данных, выделяем строку имеющегося в ней компонента и вставляем несколько ее, копий в последнюю строку таблицы. При копировании строки будет выдано сообщение, предупреждающее о дублировании компонента в библиотеке, при этом необходимо на данном этапе изменить параметр Part Number добавляемого компонента на R1206-1.4K-5%. Аналогичным действием добавляем оставшиеся компоненты и исправляем для них значения параметров Value и Регс на соответ- ствующие. '.В* Й 1мм*; Лем .ыннмх (форма) Аш*ы 2000} В иН1: гаАчна# ' Сщммм ’аблмы с поэдщыо матера I 41 ti&mui nyw мам дэмл S3 PwtNumbtt | ЬЬгмуРЙ j Library P*h ]ComjC>-znp«(C«rjCjmpmaiti ioqptf Dwcnptton j Dovyotw J Footpnnt [binary Oohronj, R120S-1K-5X R12£6-1K5% L»ranes\ONE SchUb * rSUrtar. Star 0 55 R1206dK-5% R1206-1K5* CC1206-1 Rl20b-1K>5% РИ ► up ______ Нис. 2.47. Библиотека, открытая в виде базы данных для редактирования 100
2.6. Создание библиотеки на основе базы данных В пне: таблица " Part Number [ Library Ref [ Library Path | Pete ] Pm Court i Value Footprint Path Footprint Ref R1206-1 4K-1% R1206-1K-5% bbran<s\CNE Schbb fl 1 4k UbrerieelONE. PC BUB CC1206-1 R1206-1. 4K-5% ' R1206-1 K-6% LibranesXONE Schbb 5% 2 14k 'bbnmesWNE PCBU8 СС1206Л R1206-1K-1% R1206-1 K-5% bbranes\ONE Schbb 2 1k Ubrane$\G^E PC BUB CC1206-1 - R1206-1K5% Rl206-1K-5% LrbranesOE Schbb 5% 2 Jk bbrar es\CNE.PCBUB CC1206-1 ' R1206-2 2K-1* R1206-1K-5% Lbram\ONE. Schbb 2 22k bbrane$\DNE PCEu'B CC1206-1 ► 312062 2K-5 % Rl206-1K-5% UbranesNONE ScbLib 5% 2 я Libraries\ONE PC BUB CC1206-1 • Зухь; [ТГр]| 6 Г> /иР»] из 6 < > Рйс. 2А8. Результат заполнения таблицы для группы резисторов После заполнения таблицы для всех указанных резисторов, со- храняем таблицу в базе данных и закрываем программу Microsoft Access. Теперь необходимо обновить базу данных подключенную в Al- tium Designer. Для этого возвращаемся в редактор базы данных библиотек и повторно подключим базу данных *.MDB следующим действием: переключим опцию Source of connection со значения Select Database Туре на Use Connection String и обратно, после чего станет активна кнопка Reconnect. Нажимаем кнопку Recon- nect и в результате в нижней части окна в таблице появятся добав- ленные ранее компоненты. После создания массива компонентов в базе данных необходи- мо выполнить обратное действие, то есть конвертировать базу данных библиотек в интегрированную библиотеку. Прежде чем к этому приступить, необходимо сохранить файл *.DbLib, выполнив File>Save As. Для конвертации базы данных обратно в интегрированную библиотеку, нужно выполнить Tools>Offline Integrated Library Ma- ker. При этом запускается помощник конвертирования библиотек. После нажатия кнопки Next предлагается указать базу данных библиотек для конвертирования, причем в строке выбора уже ука- зана база данных, с которой ведется работа. На следующем шаге предлагается указать директорию для сохранения интегрирован- ной библиотеки и выбрать таблицу для импорта. После этого за- пускается процесс конвертирования библиотеки, в результате ко- торого интегрированная библиотека автоматически открывается в панели Projects. Создание и организация библиотеки на основе базы данных приведены в документах АРО133 и АР0143. 101
Глава 3 Разработка электрических принципиальных схем Начальным этапом разработки любого радиоэлектронного устройства является описание его работы на некотором уровне аб- стракции, в качестве которой могут выступать схема структурная, схема электрическая и др. При реализации проектов печатных плат работа начинается с формирования идеи разработчика в виде электрической принципиальной схемы. Редакторы схем практиче- ски всех программ данного типа схожи между собой, однако, в Al- tium Designer имеется довольно большое количество опций и на- строек. Основной особенностью Altium Designer является проект- ная структура разработки, а также непривычная разработчикам схем и плат процедура компиляции схемы и проекта. Формирование новой электрической схемы начинается с созда- ния нового файла проекта и листа схемы командами File>New>Project>PCB Project и File>New>Schematic. После со- здания новых документов их следует сразу же сохранить, иначе позднее нс будет доступна компиляция. Для сс}хранения проекта выполняется File>Save Project As, а для схемы — File>Save, напри- мер, присвоим проекту и схеме название Ucheba. В результате в панели Project (см. п. 1.4.2) будет отображена структура проекта, как показано на рисунке 3.1. Рис. 3.1. Структура проекта 102
3.1. Настройка редактора схем 3.1. Настройка редактора схем Настройки в Altium Designer, во всех редакторах можно разде- лить на две части, глобальные — относящиеся ко всем докумен- там, или локальные — относящиеся только к текущему документу. Некоторые из настроек дублируются в двух местах (как, например, сетки и единицы измерения), и отличаются только областью при- менения. Рассмотрим отдельно каждый вид настроек. 3.1.1. Настройки текущего листа схемы При первом создании нового документа схемы стоит обратить внимание, что схема открывается в дюймовой системе измерения и с форматкой не соответствующей ГОСТ. Поэтому, прежде чем приступать к реализации схемы, стоит настроить параметры рабо- чей области и текущего листа схемы. Начнем с настроек текущего документа, которые устанавливаются на вкладке Design> Document Options. Все настройки документа описаны на рисунке 3.2, их ре- комендуется установить в такие же значения, при этом следует сделать несколько замечаний. Начать настройки следует с вкладки Units, на которой следует указать миллиметры в качестве единиц измерения, при этом на- стройка будет действовать только на открытый документ (позднее будет описана процедура установки единиц измерения по умолча- Текущая форматка f? ,|Х Document Options Ориентация Ло<юлнитальныв настройки Рис. 3.2. Настройки текущего документа 103
Гпава 3. Разработка электрических принципиальных схем нию — глобальная настройка). Далее на вкладке Sheet Options сле- дует отключить все опции в дополнительных настройках, т. к. они в большинстве случаев не используются в практике отечественных предприятий. Отдельно стоит сказать о двух параметрах, помечен- ными знаками (*) и (**) на рисунке 3.2. Системный шрифт — испо- льзуется для надписей, привязанных к некоторым объектам по умолчанию (например, имя (Pin Name) и номер (Pin Des) вывода, имя порта). Изменив этот параметр на данной вкладке, величина указанных надписей изменится во всем документе. Электрическая сетка — это область вокруг вывода компонента, за которую «цеп- ляется» цепь при соединении компонентов. Значение данного па- раметра должно быть в 2 раза меньше чем минимальный шаг рас- положения выводов условного графического обозначения компо- нентов (УГО), чтобы области двух соседних выводов не пересекались между собой. Нажатием кнопки ОК подтверждаем выбранные настройки. В дополнительных настройках имеется возможность установки следующих опций: • Orientation — ориентация листа, выбирается из двух значе- ний, Landscape (альбомная) и Portrait (книжная); • Title Blok — показывать стандартную рамку листа, которая может использоваться совместно с пользовательской, что не совсем удобно; • Show, Reference Zone — показывать зоны разметки, в виде буквенно-цифровых обозначений по границам листа; » Show Border — показывать границы листа; • Show Template Graphics — показывать стандартный штамп (форматку); • Border color и Sheet color — цвет границы листа и цвет листа. 3.1.2. Глобальные настройки редактора В окне Document Options рассмотрены локальные настройки, относящиеся к текущему листу схемы. Основная масса настроек, которые будут относиться ко всему редактору и ко всем вновь со- зданным документам, находятся в меню: DXP>Preferences>Sche- matic (рис. 3.3). Прежде чем впервые начинать работу с редактором схем, реко- мендуется пройтись по всем вкладкам группы Schematic (рис. 3.3) и установить оптимальные настройки. Настроек здесь доволь- но-таки много и при первом знакомстве создается впечатление, 104
3.1. Настройка редактора схем Рис. 3.3. Настройки редактора схем. Вкладка General что их слишком много, поэтому не рекомендуется сразу пытаться разобраться во всех, а стоит уделить внимание лишь наиболее зна- чимым. Вкладка General задает общие настройки, те из них, которые нужно знать на начальном этапе, показаны в подсказках на рисун- ке 3.3, остальные опции на рисунке установлены согласно реко- мендациям автора. Drag Orthogonal — флажок включает такой режим перетаскива- ния компонентов, при котором все связи остаются ортогональны- ми, т. е. углы сохраняются кратными 90°. При выключении этого флажка связи могут располагаться под произвольным углом; Components Cut Wires — при включении данной опции добав- ление нового компонента в прорисованный проводник разрывает его электрическими соединениями компонента (рис. 3.4); Enable In-Place Editing — опция разрешает прямое редактирова- ние текста любых надписей напрямую со схемы. При включенной 105
Г.пава 3. Разработка электрических принципиальных схем (слева — выключена, справа — включена) опции два последовательных нажатия (не быстрых) левой кнопки мыши на надписи позволяют ее редактировать на поле схемы; CTRL+Double Click Open Sheet — при включенной опции, двойной клик с нажатой клавишей Ctrl на порту схемы переходит к ответной части порта, а то же действие без Ctrl — открывает окно свойств порта. При отключенной опции действия выполня- ются наоборот; Convert Cross-Junction — включение опции разрешает кресто- образное подключение проводников; Рис. 3.5. Опция Convert Cross-Junction (слева — выключена, справа — включена) Display Cross-Overs — флаг включает режим отображения пере- ходов, при пересечении не подключенных проводников (рис. 3.6.); Pin Direction, Sheet Entry Direction, Port Direction — показывать направленность выводов (см. рис. 3.7), входов листов и портов; В группе Include with Clipboard можно разрешить или запретить копирование в буфер специальных маркеров. Alpha Numeric Suffix — выбор типа нумерации ячеек внутри компонента Alpha (буквенный) или Numeric (цифровой), напри- мер: Ul:l, Ul:2 и т. д. или U1A, U1B и т. д. 106
3.1. Настройка редактора схем Рис. 3.6. Опция Display Cross-Overs (слева — выключена, справа — включена) Рис. 3.7. Опция Pin Direction (слева — выключена, справа — включена) Pin Margin — параметры поля Pin Margin определяют местопо- ложение названий и номеров выводов элементов схем относитель- но контура символа (рис. 3.8). Помимо этой опции менять распо- ложение информации вывода невозможно! । Pin Number Out Pin Name Рис. 3.8. Опция Pin Margin Default Power Object Names — это поле используется для пред- варительного задания имен портов питания. Document scope for filtering and selection — данное меню предла- гает выбор: к чему будут применяться данные настройки (к откры- тым документам или только к активному документу). Default blank sheet size — выбор формата документа. Auto-Increment During Placement — назначение инкремента счетчика при расстановке элементов, меток цепей и т. д.
Гпава 3. Разработка электрических принципиальных схем Defaults>Template — здесь задается файл шаблона листа, испо- льзуемый по умолчанию при создании нового листа принципиаль- ной схемы. На вкладке Graphical Editing задаются настройки редактирова- ния объектов, отметим наиболее важные из них: Clipboard Reference — если эта опция включена, то при выпол- нении операций копирования (Edit>Copy) или вырезания (Edit> Cut) система будет запрашивать указание точки привязки. Это ис- пользуется при копировании части схемы, которую надо будет вставить обратно в схемный лист. Указатель мыши при этом будет удерживать вставляемый блок именно за эту точку. Add Template to Clipboard — при включении этой опции шаб- лон листа будет копироваться в буфер обмена при выполнении операций копирования (Сору) и вырезания (Cut). Convert Special Strings (рис. 3.9) — включение этой опции по- зволяет увидеть на экране содержимое специальных строк в том виде, в котором они будут выведены на печать. Center of Object — при включении данной опции указатель мыши удерживает перемещаемый объект в точке привязки (если объект имеет такую точку) или за его центр (если объект не имеет точки привязки, например прямоугольник). Object’s Electrical Hot Spot — при включении данной опции ука- затель мыши удерживает перемещаемый объект в ближайшей го- рячей точке (например, окончание вывода). Auto Zoom — при включении данной опции и переходе к како- му-либо элементу принципиальной схемы автоматически изменя- Изм Лист Ns докум. Подпись Дата Разраб •Author Пров. ’Uhnkwffiv Т контр. “Engin«r ' Н контр. Утв. -ApprovedBy : : : изм Лист № докуй. Подпись Дата Разраб. Сабунин Пров. .Алненмов Т .контр. МнрОШНИК Н.контр. Утв. Гуч пик I лацгДЗ Нис. З.У. ипция Convert Special Strings (слева — выключена, справа — включена) 108
3.1. Настройка редактора схем ется масштаб отображения. В противном случае масштаб остается постоянным. Single «\» Negation — при включении данной опции все иден- тификаторы цепей (порты, метки цепей, входы листа), имеющие в начале названия символ «\», воспринимаются как инверсные со- ответствующей цепи. В обратном случае необходимо устанавли- вать знак «\» после каждого символа, который должен иметь знак инверсии (см. рис. 3.10). Рис. 3.10. Опция Single «\» Negation (слева — выключена, справа — включена) Shift Click То Select — некоторый аналог фильтра выделения (наподобие Selection Mask в программе Р-CAD), позволяет указать в списке объекты, которые не будут выделяться одиночным выде- лением, и для их выбора нужно будет нажать клавишу Shift. Always Drag — при включении данной опцией компонент будет перемещаться со связями, а при нажатой клавише Ctrl без, при выключенной наоборот. Display Strings As Rotated — показывать повернутый текст в реа- льном виде, в противном случае отображение всех текстов будет слева направо, до вывода на печать. Auto Pan Options — параметры автопанамирования, Style: вы- брав Auto Pan ReCenter — в режиме редактирования компонента при ведении указателя мыши за пределы видимой области рабоче- го окна, будет происходить автопанамирование вокруг указателя мыши, который в свою очередь будет размещаться в центре рабо- чего окна. При выборе Auto Pan Fixed Jump вид окна будет «пры- гать» за указателем мыши с шагом, указанным в строке step size. При выборе Auto Pan Off — автопанамирование будет отключено (данный режим соответствует настройке редактора схем програм- мы P-CAD). Speed — скорость автопанамирования. Step size и inn
Глава 3. Разработка электрических принципиальных схем Shift Step Size — размер шага при ручном панамировании (котор0е осуществляется Scroll и Shift+Scroll) Undo/Redo Stack Size — задает максимальное число послсдовд. тельного выполнения команды отмены предыдущего действця (Undo). Group Undo — при включении данной опции, будут груц_ пироваться действия, выполненные одной командой. Color Options — задает цвет выделения объектов на схеме с по- мощью команды Select. Cursor — параметры отображения курсора. Некоторые опции на вкладке Graphical Editing не описаны, т. к. по мнению автора нс находят массового применения у пользова- телей и некоторые из этих опций появились только в последних версиях программы и не были в должной мере апробированы. Оп- тимальный вариант установки настроек данной группы показан на рисунке З.Н. На вкладке Mouse Wheel Configuration задается функциональ- ность клавиш мыши, т. е. можно поменять клавиши, управляю- щие изображением и меняющие масштаб, таким образом, адапти- Рис. 3.11. Настройки редактора схем. Вкладка Graphical Editing. НО
3.1. Настройка редактора схем ровав управление изображением в Altium Designer под знакомые программы (AutoCAD, SolidWorks или Компас). На вкладке Compiler кроме установки цветов ошибок и преду- преждений при компиляции, можно установить функцию Auto-Junction. Данная опция включает или выключает режим сое- динения связей при наложении конца связи на уже существую- щую связь. Во включенном режиме система автоматически поста- вит точку, обозначающую соединение цепей (шин). На рисунке 3.12 показан результат включения опции Auto-Junction, показаны рекомендуемые настройки. Вкладка AutoFocus позволяет включить три режима: • Dim Unconnected Objects — затенение неподключенных объ- ектов; • Thicken Connected Objects — утолщение подключенных объ- ектов; • Zoom Connected Objects — увеличение подключенных объек- тов. Каждый из описанных режимов может распространяться на одно из следующих действий: On Place (размещение), On Move (перемещение), On Edit Graphically (редактирование графики), On Edit In Place (редактирование атрибутов на поле схемы). Таким образом, включив опцию On Move в группе Dim Unconnected Ob- jects — во время перемещения объекта все не остальные объекты на схеме будут затенены, и степень затенения задается «ползун- ком» Dim Level. Рис. 3.12. Опция Auto-Junction для цепей и для шин Ш
Гпава 3. Разработка электрических принципиальных схем Вкладка Library AutoZoom задает режим отображения компо- нентов при открытии библиотеки и имеется возможность выбрать из трех значений: • Do Not Change Zoom Between Components — не менять мас- штаб при открытии компонентов; • Remember Last Zoom For Each Components — сохранять для каждого компонента масштаб, при котором велась послед- няя работа; • Center Each Component In Editor — открывать компонент по центру с указанным масштабом (Zoom Precision). Рекомен- дуется установить именно эту настройку, причем масштаб указывается максимальный. В этом случае компоненты будут открываться в масштаб окна. Вкладка Grids определяет настройки сеток, которые будут явля- ться системными для двух систем координат (эти сетки можно бу- дет переключать клавишей G). Для сеток можно задать два пара- метра: Visible Grid (тип отображения) — в виде линий (Line Grid) и в виде точек (Dot Grid), и Grid Color (Цвет сетки). Кроме этих параметров можно сформировать набор пользовательских сеток, управление которыми выполняется через контекстное меню при нажатии правой клавиши мыши (см. рис. 3.13). Вкладка Break Wire задает настройки инструмента Break Wire (обрезка проводника) — устанавливает размер отрезка (количество шагов сетки), который будет вырезать инструмент Edit>Break Wire. На вкладке Default Units имеется возможность выбрать едини- цы измерения. Здесь следует установить флаг в строке Use Metric Unit System, после чего работа будет вестись с метрической систе- мой координат. Вкладка Default Primitives позволяет установить значения по умолчанию для всех графических и электрических примитивов. Рассмотрим порядок установки начальных параметров примити- вов на конкретном примере. При рисовании схемы, цепи, заве- денный в шины, должны обязательно иметь так называемый вы- ход из шины (Bus Entry), который по умолчанию привязан к сетке с шагом 2 мм. В работе большинство пользователей используют сетку кратную 2,5 мм, т. е. стандартный выход из шины не будет попадать в узлы пользовательской сетки. Зададим значения опи- санного примитива по шагам (рис. 3.14): 112
3.1. Настройка редактора схем I шаг — выбираем единицы измерения миллиметры (для одно- го и того же примитива могут быть установлены разные настройки для разных систем координат); 2 шаг — в списке примитивов выбираем параметр Bus Entry двойным нажатием левой клавиши мыши; 3 шаг — задаем параметры примитива, как показано на рисунке 3.14 и нажимаем кнопку ОК; 4 шаг (необязательный) — включаем опцию Permanent, если необходимо всегда сохранять начальные настройки как «по умол- чанию». Опция используется в тех случаях, когда при размещении объекта была нажата клавиша Tab и настройки были отредактиро- ваны. При выключенной опции Permanent, после следующего за- пуска данного инструмента настройки будут соответствовать по- следней сессии, т. е. они будут перезаписаны на вкладке Default Primitives. При включении Permanent, настройки нс будут переза- писываться во время каждой конкретной сессии, а будут каждый раз возвращаться к исходным при каждом запуске инструмента. После установки всех параметров обратите внимание на список настроек: у названия настройки появился знак «*», а сама она ста- Рис. 3.13. Настройки редактора схем. Вкладка Grids ИЗ
Гпава 3. Разработка электрических принципиальных схем Рис. 3.14. Настройки редактора схем. Вкладка Default Primitives ла выделена жирным текстом — все это говорит о том, что на- стройки были изменены, но еще не применены. Для того, чтобы применить новые настройки, необходимо нажать кнопку Apply, после выходим из выпадающего окна Preferences нажатием кнопки ОК. Настройки, которые не были описаны выше, не имеют опре- деляющего значения на начальной стадии работы с программой. 3.2. Создание и подключение форматки В программе Altium Designer имеется несколько стандартных форматок, но они не соответствуют требованиям ЕСКД, поэтому прежде чем приступать к работе с редактором схем, необходимо создать базовый набор форматок, в соответствии с требованиями ГОСТ. 114
3.2. Создание и подключение форматки Создание форматки можно разделить на четыре самостоятель- ных этапа: 1. Формирование графической части штампа; 2. Размещение постоянных текстовых надписей; 3. Создание параметров, обладающих свойствами автозаполне- ния; 4. Размещение параметров (переменных текстовых надписей). Рис. 3.15. Рис. 3.15. Пример форматки Первые два шага не имеют каких-либо особенностей, все ню- ансы рисования линий и размещения текста описаны в главе 1.5.2. Постоянные текстовые надписи, это те поля, содержимое которых не будет меняться для различных документов (Разраб., Пров., Подпись и т. д.). После того, как стандартная рамка нарисована и размещены стандартные надписи, приступим к созданию и размещению пара- метров. Чтобы сформировать данные текстовые поля, выполним: Design>Document Options, и перейдем на вкладку Parameters — в списке перечислены все существующие поля. Некоторые разра- ботчики используют только стандартные текстовые поля (парамет- ры), в этом случае внутри предприятия составляется внутренний руководящий документ, где регламентируется назначение пара- метров. В нашем случае создадим пользовательские параметры, для чего нажмем кнопку Add и в выпадающем окне в поле Name напишем Razrabotal (в поле Name воспринимаются только англ, текст и цифры, запрещено использовать точку!) и в поле Value — {Разработал}. Аналогично добавляем в список все стандартные надписи, которые мы будем использовать в нашей форматке (рис. 3.15). Введя все необходимые данные, выходим из окна Do- 115
Гпава 3. Разработка электрических принципиальных схем cument Options, выбираем инст- румент Place Text и нажимаем клавишу Tab. В графе Text в выпадающем меню (рис. 3.16) находим атрибут «=Razrabotal» выбираем его ЛК, нажимаем ОК и устанавливаем в соответ- ствующую ячейку. Аналогично устанавливаем остальные атри- буты (рис. 3.15). Для атрибутов Название и Обозначение выбираем шрифт 20. Последний этап создания форматки — сохранение. Выбираем Filc>Save As в выпадающем окне пишем имя АЗ и выбираем тип файла Advanced Schematic Template (*.SchDot). На этом работа по созданию форматки завершена и документ A3.SchDot можно закрыть, для чего нажимаем ПК на название до- кумента в панели File и в выпадающем окне выбираем Close. Для работы с форматками в редакторе схем используется груп- па команд из подменю Design>Template, в которой содержатся следующие команды: • Update — обновить текущую форматку; • Set Template File Name — подключить новый файл форматки; • Remove Current Template — удалить существующую форматку. Чтобы подключить созданную форматку к открытому докумен- ту, следует выполнить: Design>Template>Set Template File Name и в открывшемся окне выбрать созданную нами форматку, с име- нем АЗ. В выпадающем окне Update Template следует включить опции, как показано на рис. 3.17. Здесь программа задает пользователю два вопроса: 1. К чему применить выбранную форматку a) Just This Document — только к текущему документу; b) Ail schematic documents in the current project — ко всем схе- мам текущего проекта; с) АП open schematic documents — ко всем открытым схемам. 2. Какие действия применить к параметрам d) Do not update any parameters — не обновлять параметры; Рис. 3.16. Выбор параметра для размещения 116
3.3. Поиск компонентов, подключение библиотек Рис. 3.17. Подключение форматки е) Add new parameters that exist in the template only — добавить новые параметры, не меняя значения и положения сущест- вующих; f) Replace all matching parameters — обновить все параметры. Чтобы установить данный шаблон по умолчанию для всех вновь созданных документов, выполняем: DXP>Preferences>Sche- matic>General, в графе Default Template нажимаем ЛК на кнопку Browse и выбираем файл АЗ. После подключения форматки следует выполнить Design>Do- cument Options и на вкладке Parameters для всех атрибутов напи- сать их истинные значения (в поле Value вместо {Разработал} сле- дует написать свою фамилию, и т. д.) 3.3. Поиск компонентов, подключение библиотек В качестве примера будем использовать схему, показанную на рисунке 3.18. Для разработки схемы используется специальная панель Libra- ries, посредством которой выполняется работа с библиотеками. За- пуск этой панели производится через меню вызова панелей Sys- tem>Libraries (правый нижний угол рабочего окна). В запущенном виде панель Libraries имеет вид, показанный на рисунке 3.19, на котором также описано назначение окон данной панели. 117
Гпава 3. Разработка электрических принципиальных схем Рис. 3.19. Панель Libraries 118
3.3. Поиск компонентов, подключение библиотек Рис. 3.20. Подключение библиотек Перед началом работы необходимо подключить библиотеки, в которых находятся компоненты для создаваемой схемы. Чтобы подключить библиотеки, нажимаем кнопку Libraries в одноимен- ной панели, после чего на экране появится окно, показанное на рисунке 3.20. В данном окне имеются 3 вкладки, которые имеют следующее назначение: Project — библиотеки проекта, Installed — установлен- ные библиотеки, SearchPath — путь для поиска по библиотекам. Предполагается, что библиотеки изначально были созданы в виде интегрированных библиотек (см. главу 2.5), тогда для использова- ния эти библиотеки нужно добавить в список на вкладке Installed. Для подключения и удаления библиотек используются кнопки In- stall и Remove на вкладке Installed окна Avialable Libraries. После подключения библиотеки нажимаем кнопку Close, при этом па- нель Libraries должна полностью соответствовать показанной ча рисунке 3.19. Приступая к размещению компонентов на листе схемы не все- гда легко найти нужный компонент в указанных библиотеках, ко- торый могут содержать до нескольких тысяч компонентов. Для поиска необходимого компонента в библиотеке можно воспользо- ваться двумя методами. Во-первых, можно воспользоваться стро- кой поиска (рис. 3.19), в которой можно написать название (или часть) необходимого компонента, используя спецзнаки (* — набор неопределенных символов, ? — один неопределенный символ). Например, начнем с установки на схеме резистора R1 — R0805-4.7K-5%, для чего в строке поиска введем текст «*4.7л, по- сле чего из всей библиотеки будут показаны компоненты, содер- жащие в своем названии данные символы. В панели Libraries будет 119
Г.пава 3. Разработка электрических принципиальных схем показан только один, необходимый нам компонент. Во-вторых, зайти в диалог поиска по кнопке Search панели Libraries, что по- зволяет искать компоненты по всем доступным библиотекам неза- висимо от того, подключены они или нет. Для поиска компонента нажимаем кнопку Search в панели libraries, в открывшемся выпа- дающем окне нужно установить следующие параметры: В выпадающем списке «Search in» нужно выбрать критерий по- иска (Что искать?). Либо искать компонент (Components), либо топологическое посадочное место (Protel Footprints), либо 3D мо- дель. В данном случае указываем Components. В окне Scope вы- брать область поиска, Available libraries — искать, только в под- ключенных библиотеках, libraries on path — искать в указанной папке, при этом надо указать адрес папки (Path), включить или выключить поиск во вложенных папках (Include Subdirectories) и, если требуется, установить маску на тип файла (File Mask). Выби- раем поиск в указанном месте, выбираем стандартную папку биб- лиотек (C:\Program Files\Altium Designer 6\Library) и выключаем поиск во вложенных папках. Критерии поиска можно задать в форме, как показано на рисунке 3.21, а можно ввести продвину- тый текстовый запрос, для чего нужно нажать кнопку >>Advanced. Далее нужно указать более конкретный критерий поиска, для чего нажимаем кнопку Helper, после чего Появляется окно Query Рис. 3.21. Поиск компонентов 120
3.4. Инструменты создания схемы Рис. 3.22. Сложное задание на поиск Helper. В данном окне нужно назначить атрибут, по которому бу- дет производиться поиск и его полное или частичное значение. Значение атрибута можно написать частично (тогда вместо пропу- щенных символов ставится знак *, а вместо одного пропущенного символа — ?). Проверить корректность написанного критерия по- иска можно нажав кнопку Check Sintax, о правильности говорит сообщение, показанное на рис. 3.22. После указанных действий запускаем поиск, нажатием кнопки Search в окне поиска. Кнопки Favorites и History используются для задания критерия поиска из списка наиболее часто используемых (список вносится вручную) и последних. По результатам поиска Altium Designer создает временную библиотеку Query Results, в ко- торой в данном случае содержится один, нужный нам компо- нент — устанавливаем его на схему. Критерии для сложных запро- сов не описываются в данной главе и, вообще, не входят в рамки Данного издания. Вопросы, относящиеся к языку запросов (Query Language), к которому как раз относится описанный случай, будут подробно описаны во втором издании данной книги. 3.4. Инструменты создания схемы В каждом редакторе Altium Designer имеется свой набор пане- лей и инструментов для работы. Основной панелью, с которой ве- 121
Г.пава 3. Разработка электрических принципиальных схем Рис. 3.23. Инструменты редактора схем дется работа в редакторе схем, является панель Libraries, которая была описана в предыдущей главе. Инструменты, относящиеся к схемной части программы сгруппированы в панели инструментов Wiring (рис. 3.23). Инструменты создания схемы описаны в табли- це 3.1. Процедура формирования схемы довольно-таки проста, и в об- щем случае представляет собой последовательное размещение на листе схемы компонентов, линий связей, шин, меток цепей и дру- гих объектов, перечисленных в таблице 3.1. Рассмотрим подход к размещению объектов схемы. Из списка компонентов в панели Libraries выбираем с помощью мыши нужный компонент (напри- мер, резистор) и нажимаем на кнопку Place. Указатель мыши при- нимает вид крестика, к которому "прилип” контур символа рези- стора, что означает, что редактор переключился в режим размеще- ния. Прежде чем щелкнуть левой кнопкой мыши в поле схемы и поставить резистор в нужное место, нужно отредактировать его параметры, для чего следует нажать клавишу Tab. На экране поя- вится окно Component Properties (рис. 3.24), в котором вся инфор- мация о компоненте разбита на группы: 1. Properties — основные свойства компонента (Designator — по- зиционное обозначение, Comment — название компонента); 2. Library Link — ссылка на библиотечный элемент; 3. Graphical — информация о графическом отображении (Show All Pins... — показать все выводы компонента, Mode — вы- бор режима отображения (см. главу 2.2.3.3); 4. Parameters — атрибуты компонента (отсюда информация бу- дет использоваться для формирования текстовой части кон- структорской документации (см. главу 2.2.3.2); 5. Models — модели компонента (посадочное место и т. д. (см. главу 2.5.2); 6. Edit Pins — таблица выводов компонента. ьм
3.4. Инструменты создания схемы Таблица 3.1 Пиктограммы команд редактора схем ! Пикто- грамма Описание команды Настройки (Tab) ’.i 8 Place Wire (Размещение цепи) • Wire Width - толщина линии цепи; • Color* - цвет объекта; • _ ^ked* - зафиксировать объект; il i Place Bus (Размещение шины) • Bus Width - толщина линии шины; Place Signal Harness (Размещение жгута) • Width- - толщина жгута; Place Bus Entry (Размещение выхода из шины) • Line Width - толщина линии выхода из шины; • Location - положение начала и окончания выхода их шины; LM । Place Net Label | (Размещение метки цепи) • Location* - положение объекта; • Orientation - угол поворота; • Net - название цепи; • Font - шрифт надписи; 1 1 Л_ VCC GND(VCC) Power Port (Размещение порта питания или земли) • Style - формат порта (ниже перечислены возможные варианты) • Orientation • Net • Show Net Name J Circle Arrow Ваг Wave Power Ground Signal Ground Earth bL IE JL — 5^^ । IL 1 Place Part (Размещение компонента) Данную команду неудобно использовать из панели инструментов, обычно компоненты размещаются на схеме через панель Libraries, (настройки компонента показаны на рисунке 24) i il Place Sheet Symbol (Размещение символа листа) • X, Y-Size — размеры символа листа; • Border, Fill Color - цвет границы и заливки; • Draw Solid - включение заливки; • Border Width - толщина линии контура; • Designator-обозначение листа; • filename - ссылка на файл схемы; 123
Гпава 3. Разработка электрических принципиальных схем Таблица 3.1 (продолжение) Пикто- грамма Описание команды Настройки (Tab) i > 1 а Place Sheet Entry (Размещение входа на символ листа) • Border, Fill, Text Color - цвет границы, заливки и текста; • Text Font-шрифт текста; i • Side, Style, Kind - параметры объекта; • Name - название; ' 1 • Harness Type - тип жгута (при необходимости); • I/O Туре - тип вывода (необозначенный, вход, выход, ; двунаправленный) i • Position - положение на листе; чЬ Place Device Sheet Symbol (Размещение символа подсхемы) Д анный объект не имеет настроек, необходимо выбрать подсхему из списка, который представляет папку с готовыми наработками подсхем 0 Place Harness Connector (Размещение разъема жгута) • X, Y-Size - размеры символа листа; • Primary Position - положение первого вывода жгута; • Border, Fill Color - цвет границы и заливки; • Border Width - толщина линии контура; • Harness Туре-тип жгута; • Hide Harness Туре - скрыть тип жгута; •у Place Harness Entry (Размещение выхода разъема жгута) • Text Color, Font, Style - параметры текста; • Name-название вывода жгута; к Place Port (Размещение порта) • Width - толщина порта; • ЯН, Border, Text Color - цвет границы, заливки и текста; • Alignment выравнивание текста; • Name - название порта; • I/O Туре - направленность порта; L X Place No ERC (Размещение метки No ERC) • Color - цвет метки 1 * - данные опции одинаковы для всех последующих объектов. В свойствах компонента (рис. 3.24) можно включать или вы- ключать видимость дополнительной информации — опция Visible в соответствующей строке, а так же жестко зафиксировать части 124
3.4. Инструменты создания схемы компонента — опция Lock. После установки необходимых настро- ек, нажимаем кнопку ОК и переходим к размещению компонента. При размещении компонентов стоит обращать внимание на сетку, которая должна быть кратна 2,5 мм, для переключения сетки ис- пользуется горячая клавиша G, которая позволяет переключать сетки из ранее созданного списка. При необходимости установки иной сетки выполняется команда View>Grid>Set Snap Grid (V>G>S, здесь и далее последовательность букв после наименова- ния команды описывает порядок нажатия соответствующих кла- виш для запуска команды). При размещении компонентов используются клавиши: Space (пробел) — поворот на 90 градусов, X,Y — зеркальное отображе- ние относительно осей Y и X. Данные команды отличаются от привычных настроек Р-CAD, что доставляет определенные неу- добства пользователям имеющим огромный опыт работы с данной программой. Для пользователей Р-CAD есть настройка, возвраща- ющая комбинации горячих клавиш к привычным пикадовским: View>Key Mappings>P-CAD. Рис. 3.24. Настройки свойств компонента 125
Гпава 3. Разработка электрических принципиальных схем После расположения всех компонентов приступаем к прорисов- ке связей. Убедимся, что вся схема отображается в окне редактора схем, для чего выполним команду меню View>Fit All Object (V>F). Сначала соединим нижний вывод резистора R1 с эмиттером тран- зистора VT1. Выполним команду меню Place>Wire. Указатель мыши примет вид крестика. Подведем указатель мыши к нижнему выводу резистора R1. Появится красная звездочка, сигнализирую- щая о наличии электрического объекта. Выполним щелчок левой кнопкой мыши или нажмем клавишу Enter, чем зададим начало линии. В программе Altium Designer есть 4 режима рисования сое- динений, 90, 45, произвольный угол и режим Auto Wire (соединяет две выбранные точки по оптимальному маршруту). Переключение между режимами осуществляется нажатием комбинации клавиш Shift+Space. У режимов 90 и 45 имеются по 2 подрежима, переклю- чение между ними осуществляется нажатием Space. В целом эти и остальные элементы рисования схемы не имеют принципиальных отличий от аналогичных редакторов программ такого класса, поэтому далее будут перечислены используемые команды с небольшим комментарием, без подробного описания действий. Place>Bus Entry — выход из, шины, этот объект отсутст- вовал в Р-CAD, программа добавляла вывод от шины автоматиче- ски. Place>Bus — шина, в отличие от P-CAD ne просто представля- ет собой графическое изображение, а имеет логическую сущность. Все цепи объединенные шиной на плате будут объединены в класс цепей, поэтому шина обязательно должна иметь имя, которое за- дается согласно синтаксису: А[0..2] — где, А — метка имени, [0..2] — разрядность шины (максимальная цифра в разрядности шины задает ограничение на количество входящих в нее цепей). Place>Net Label — метка цепи, этой командой задаются наимено- вания цепей и шин. Place> Power Port — установка порта питания или земли. При установке всех объектов, описанных в этом абзаце, следует нажимать клавишу Tab после вызова команды и задавать необходимые атрибуты перед установкой объектов на лист схемы. 3.5. Горячие клавиши, используемые в редакторе схем Для более удобной и быстрой работы в программе Altium Desig- ner рекомендуется запускать часто выполняемые команды не через 126
3.5. Горячие клавиши, используемые в редакторе схем главное меню программ, а использовать для этого комбинации го- рячих клавиш. В таблице 3.2 перечислены наиболее удобные, по мнению автора, комбинации клавиш и команды, к которым реко- мендуется привыкать с первого дня работы с программой. Ранее было сказано, что настройки горячих клавиш можно синхронизи- ровать с настройками P-CAD (View>Key Mappings>P-CAD), но автор не рекомендует этим пользоваться из личного опыта. Таблица 3.2 Горячие клавиши и команды, часто используемые в редакторе схем Комбинация Запуск через меню Описание команды ! G View>Grid>Cicte Snap Grid Последовательное переключение доступных сеток | V>G>S View>Grid>Set Snap Grid Включение произвольной сетки V>F View>Fit All Objects Показать все объекты схемы । Tab — Открывает окно свойств во время размещения объекта i Fn — Запуск панели Inspector il 5 j Space (пробел) —- • Поворот на 90 градусов при размещении компонента • Переключение ортогональности при размещении цепей, шин и линий II I Shift+Space — Переключение подрежимов при размещении цепей i; XnY —- Зеркальное отображение относительно осей OY и ОХ в режиме размещения компонентов г f Backspace — Удаление последнего сегмента в режиме размещения цепей, шин и линий [ Во время работы с программой можно самому определить ком- бинации горячих клавиш, разрешенные для использования. Для этого существует два способа: во-первых, во время выполнения команды можно нажать клавишу «~» (тильда), после чего на экра- не появится всплывающее окно со всеми доступными клавишами, во-вторых, можно во время работы открыть панель Shortcut. 127
Гпаеа 3. Разработка электрических принципиальных схем 3.6. Синхронизация схемы с библиотеками компонентов Во время реализации проекта не редко возникает ситуация, когда вносятся изменения в библиотечные элементы, после чего возникает необходимость синхронизировать элементы на схеме с библиотеками. Данная задача может быть выполнена двумя спосо- бами, или непосредственно из библиотеки, или со схемы. Из биб- лиотеки удобно выполнять обновления в том случае, когда для ре- ализации схемы была использована только одна библиотека (что бывает крайне редко) или когда требуется обновить один-два эле- мента. Для обновления элемен- тов из библиотеки в схеме, не- обходимо находясь в редакторе библиотек нажать правой кноп- кой в списке компонентов па- нели SCH Libraries и выбрать команду Update Schematic She- ets (рис. 3.25). Результатом выполнения этой команды будет появление окна, показанного на рисунке 3.26, в котором говорится о том, сколько компонентов в скольких документах были об- новлены. У этого метода есть опреде- ленные недостатки. Во-первых, нет возможности настроить па- раметры обновления, т. е. поло- жение и свойства атрибутов. Во-вторых, обновление проис- ходит во всех открытых схемах, что может быть не всегда удобно. Для синхронизации компо- нентов схем, в которых исполь- зуются элементы из разных библиотек, нужно, находясь в редакторе схем выполнить команду Tools>Update From Рис. 3.25. Обновление элементов из библиотеки Information j Updated 2 cwnponents In 1 Schematic Documents I OK I Puc. 3.26. Результат обновления 128
3.6. Синхронизация схемы с библиотеками компонентов Libraries, после чего на экране появится одноименное окно (см. рис. 3.27). Здесь имеется возможность выполнить следующие на- стройки: 1. Schematic Sheets — выбрать листы, которые необходимо син- хронизировать; 2. Component Types — выбрать компоненты, которые необходи- мо обновить; 3. Setting — дополнительные настройки. В дополнительных настройках, по умолчанию, обновляется вся информация о компонентах, включая заблокированные позицион- ные обозначения (Update designator lock field) и заблокированные уникальные идентификаторы компонентов (Update part ID lock fi- eld). Если отключить опцию Fully replaces..., то будут доступны бо- лее расширенные настройки. Так можно отключить обновление графики компонента (Update graphical attributes), обновление па- раметров (Update Parameters) и обновление моделей (Update Mo- dels). После выбора настроек можно сразу нажать кнопку Finish, а при необходимости можно перейти к следующему шагу (Next) и более точно указать, какие действия применить к какому компо- ненту. После нажатия кнопки Finish появляется окно Engineering Change Order (ECO), в котором надо последовательно нажать кнопки Validate Changes>Execute Changes>Close. Рис. 3.27. Синхронизация схемы с библиотеками 5 зак. 32 129
Гпаеа 3. Разработка электрических принципиальных схем 3.7. Автоматическая переномерация компонентов схемы Designer Winter 09Uxample$v Рис. 3.28. Команды для перенумерации компонентов Последним этапом перед ком- пиляцией проекта является авто- матическая нумерация компонен- тов схемы, которая в самом про- стом случае выполняется командой Tools>Annotate Schematic. Вообще, задачи перенумерации решает це- лая группа команд, располагающа- яся в меню Tools (см. рис. 3.28). Нет смысла описывать каждую из этих команд по двум причинам, во-первых, многие из них интуи- тивно понятны, во-вторых, мно- гие доступны через команду Anno- tate Schematic, которая является здесь основной. При выполнении команды Tools>Annotate Schema- tic, на экране появляется окно, показанное на рисунке 3.29. На рисунке 3.29 показан поря- док работы с окном Annotate. В ле- вом верхнем углу появившегося окна задается направление нуме- рации (в нашем случае, Down then Across — соответствует нумерации, которую требует ГОСТ). На втором шаге следует дать программе указания по нумерации ячеек составных микросхем. Например, если на схеме есть ПЛИС, у ко- торой первая и вторая ячейки не одинаковы по свойствам (и соот- ветственно по графическому отображению), то при перенумерации программа не только поменяет номера ячеек, но и сами ячейки. Другими словами номера ячеек закреплены за каким-то логиче- ским функционалом, и не может меняться отдельно. Поэтому при наличии таких микросхем (которые принято называть неоднород- ными), следует запретить менять номера ячеек, что показано вто- рым пунктом на рисунке 3.29. Для этого нужно нажать правой кнопкой мыши на тех ячейках, которые нужно заблокировать и выбрать команду Lock Selected Part ID из контекстного меню. 130
3.8. Проверка схемы и исправление ошибок Рис. 3.29. Автоматическая нумерация компонентов на схеме Если до запуска перенумерации некоторые компоненты уже имели номера или нужно исправить нумерацию только повторяю- щихся компонентов, то выполняется команда Reset All (Reset Dup- licates). Стоит помнить, что при запуске автоматической нумера- ции будут присвоены новые номера только тем компонентам, ко- торые вообще не имели номера. После описанных настроек следует нажать кнопку Update Change List, в результате чего в таб- лице Proposed Change List будет показана новая нумерация. Если предложенный вариант устраивает пользователя, то следует на- жать кнопку Accept Changes. Наконец, в окне Engineering Change Order нужно последовательно нажать кнопки Validate Changes, Execute Changes и Close, после чего во всей схеме будет установле- на автоматическая нумерация компонентов в соответствии с оте- чественными стандартами. 3.8. Проверка схемы и исправление ошибок Концептуально новым для разработчиков схем на базе P-CAD, этапом разработки проекта в программе Altium Designer является компиляция проекта. При реализации проекта печатной платы компиляция обычно выполняется перед передачей информации от принципиальной электрической схемы в файл платы. Если в про- грамме Р-CAD после разработки схемы нужно было выполнить проверку электрических правил (ERC) и затем сформировать спи- 5* 131
Гпава 3. Разработка электрических принципиальных схем сок соединений (NetList), то в Altium Designer выполняется ком- пиляция всего проекта, а затем информация проекта передается в файл платы выполнением одной команды. В связи с этим боль- шую роль играет процесс компиляции, который выполняется для проектов всех типов, но в данном случае будет рассмотрен только для наиболее часто применяемого проекта печатной платы. Частый вопрос начинающих пользователей — «Зачем нужен процесс компиляции?». В рамках компиляции проводится провер- ка ранее заданных правил и создается отчет о корректности вы- полнения проекта. Кроме этого, все найденные в ходе проверки ошибки помечаются на схеме и сопровождаются комментарием о их природе. Результатом компиляции является отлаженный файл проекта, готовый к формированию платы. Обозначенный выше процесс состоит из следующих этапов: 1. Настройка опций проекта; 2. Запуск компиляции; 3. Поиск и исправление ошибок. После поиска и исправления ошибок выполняется повторный запуск компилятора и проводится исправление оставшихся оши- бок, так процесс повторяется до полной отладки проекта. 3.8.1. Настройка опций проекта Опции проекта задаются на странице, которая вызывается на экран командой Project>Project Options (при этом должен быть выбран необходимый проект или открыт один из его документов). Данная страница содержит несколько вкладок, на каждой из кото- рой задаются определенные настройки (для проекта печатных плат таких вкладок 10, см. рис. 3.30). Для компиляции проекта, содержащего только схему, достаточно установить настройки двух .вкладок, Error Reporting и Connection Matrix — рассмотрим назна- чение каждой из этих двух вкладок. 3.8.1.1. Настройка отчета об ошибках (Error Reporting) Error reporting — отчет об ошибках. На данной вкладке пред- ставлен весь перечень нарушений, которые могут быть зафиксиро- ваны в проекте данного типа. Напротив каждого пункта указыва- ется степень важности данного нарушения для разработчика и мо- гут быть выбраны следующие варианты: No Report — не включать в отчет; 132
3.8. Проверка схемы и исправление ошибок Warning — предупреждение, нарушение фиксируется, но оно незначительно; Error — ошибка, существенное нарушение; Fatal Error — критическая ошибка. Все типы нарушений на вкладке Error Reporting разбиты на группы, по отношению к определенному типу объектов. Рассмот- рим виды нарушений, варианты их отображения и рекомендуемые настройки. Прежде чем рассмотреть нарушения разного типа стоит отме- тить, что на начальном этапе можно включить все виды наруше- ний, а потом, анализируя полученные ошибки, исключать те на- рушения, которые точно не актуальны для текущего проекта. Что- бы установить уровень всех нарушений в значение Error следует нажать правой кнопкой мыши в любом месте окна и выбрать АН Error (см. рис. 3.30). 1. Violations Associated with Buses — предупреждения, связанные с шинами. Здесь и далее на рисунке показаны рекомендуемые настройки, а далее по тексту описаны особенности нарушения и синтаксис сообщения, которое будет отображаться при нарушении. Описа- ние опций проекта проводилось по версии 6.8, поэтому описание некоторых нарушений отсутствует. Кроме этого не описываются ошибки, связанные с объектами Hamess и OpenBus, а также с кон- фигурациями ПЛИС (Configuration Constrains). Синтаксис нару- шения показан в фигурных скобках. Options for PCB Project 4 Port Serial Interface.PRJPCB ? X Рис. 3.30. Предупреждения, связанные с шинами 133
Глава 3. Разработка электрических принципиальных схем В первой группе нарушений описываются ошибки, связанные с реализацией шин. Bus indices out of range — номер цепи выходит за пределы опи- санного диапазона цепей шины. {Bus index out of range on A Index = 4} В шине с меткой А име- ется цепь (А4) — превышающая размерность шины. Bus range syntaxes errors — нарушен синтаксис описания диапа- зона шины. {Bus range-syntax error NetName at Location}, где NetNamc — не- корректное имя шины, Location — расположение некорректной метки шины. В общем случае шины должны иметь метку А[0..7] или А[7..О], в которых буква задает имя шины, а цифрами задается диапазон меток цепей, которые в нее входят. Illegal bus definition — некорректное использование шины, по- является при подключении к шине объекта, не относящегося к одному из разрешенных (netlabel, port, sheet entry, pin, cross sheet connector или power object). Illegal bus range value — некорректное описание диапазона це- пей шины. {Illegal bus range value BusLabel at Location}, где BusLabel — не- корректная метка шины. Mismatched bus label ordering — показывает идентификаторы одной шины, ошибочно указывающие порядок возрастания номе- ров, например А[0..2] и А[2..О]. { Mismatched bus ordering on NetName Low value first and High value first} Mismatched bus widths — несовпадение ширины шины и назва- ния порта. {Mismatched bus widths on bus section NetName (BusSize 1 and BusSize2)}, где NetNamc — несовпадающая метка шины и в скоб- ках размеры шины и порта. Mismatched Bus/Wire object on Wire/Bus — несовпадение типа идентификатора и названия цепи (шины). Ошибка появляется в том случае, если шина заведена в порт (или другой идентифика- тор) описывающий одиночную цепь. {Wire Port A at 100mm, 100mm placed on a bus} — ошибка свиде- тельствует, что порт А (описывающий одиночную цепь) подклю- чен к шине. В общем случае, ошибка выглядит так: {Objcctldentifi- er at Location placed on an ObjectType}, где Objectidentifier — не- корректная метка идентификатора (вывод, порт, порт питания, 134
3.8. Проверка схемы и исправление ошибок вывод листа, метка цепи), которая сопровождается меткой, гово- рящей о принадлежности к цепи (Wire) или шине (Bus). Locati- on — координаты некорректного идентификатора, ObjectType — объект, подключенный к некорректному идентификатору (цепь или шина). Mixed generic and numeric bus labeling — смешанная буквен- но-цифровая маркировка шины. Шины, подключенные к одно- именным портам, имеют различное описание разрядности, напри- мер, А[0..2] и А[0..Ь] Рис. 3.31. Предупреждения, связанные с компонентами (Mismatched generic and numeric bus labeling on NetName Level value first and Generic}, где NetName — некорректно описанная метка шины. 2. Violations Associated with Components — предупреждения свя- занные с компонентами. Component Implementations with invalid pins mappings — ошибка появляется при компиляции интегрированных библиотек и свиде- тельствует о несовпадении количества выводов у символа и моде- ли компонента. {SN7432: Could not find port 13 on model DIP14 for pin 13} — у компонента SN7432 для вывода 13 не найдена соответствующая ножка на корпусе DIP 14. Components containing duplicate sub-parts — ошибка свидетель- ствует о- наличии нескольких одинаковых ячеек одной и той же 135
Гпава 3. Разработка электрических принципиальных схем микросхемы. Например, первая ячейка микросхемы SN7432 уста- новлена в двух местах с позиционным обозначением DD1.1. {Component ComponentName has duplicate sub-parts at Location 1 and Location2} Components with duplicate pins — сообщение свидетельствует о наличии двух или более одноименных обозначений вывода компо- нента. {Duplicate pins in component Pin Identifier! and Pin Identified} Duplicate Part Designators — задублированы позиционные обо- значения компонентов на схеме (плате). {Duplicate Component Designators Part Designator at Location 1 and Location2} Errors in Component Model Parameters — ошибка появляется при компиляции интегрированных библиотек и свидетельствует об отсутствии описания модели одного или нескольких выводов в IBIS-модели для анализа целостности сигналов. {ComponentName: Pin models could not be found} Extra pin found in component display mode — найден дополните- льный вывод в текущем режиме отображения компонента. Mismatched hidden pin connections — данное сообщение свиде- тельствует о наличии скрытых выводов у компонентов и показы- вает цепи, к которым по умолчанию подключены данные выводы. Mismatched Pin Visibility — несовпадение названия цепи под- ключенной к выводу компонента с названием цепи к которому по умолчанию должен быть подключен данный вывод в случае его неотображения на схеме (данная ошибка встречается при исполь- зовании скрытых выводов земли и питания). Missing Components Models — ошибка появляется при компи- ляции интегрированных библиотек и свидетельствует о наличии моделей со ссылками на несуществующие файлы библиотек либо на несуществующие модели в библиотеке. Missing Components Models in Model files — ошибка появляется при компиляции интегрированных библиотек и свидетельствует о наличии отдельных файлов моделей (таких как Spise и IBIS). Missing Pin Found in Component Display Mode — найден необо- значенный вывод в текущем режиме отображения компонента. Sheet Symbol with duplicate entries — сообщение, свидетельству- ющее о наличии двух одноименных выводов листа на одном сим- воле листа. 136
3.8. Проверка схемы и исправление ошибок Un-Designated parts requiring annotation — сообщение, свидете- льствующее о наличии необозначенных позиционных обозначе- ний компонентов (R?, D? и т. д.). Unused sub-part in component — сообщение, показывающее не- задействованные ячейки многосекционных компонентов. 3. Violations Associated with Configuration Constrains — преду- преждения связанные с ограничениями конфигурации. Рис. 3.32. Предупреждения, связанные с ограничениями конфигурации Не описываются, т. к. относятся в большей степени к реализа- ции проектов ПЛИС или совместных проектов плат и ПЛИС. 4. Violations Associated with Documents — предупреждения свя- занные с документами. Options for PCB Project 4 Port Serial Interface.PRJPCB [ ? X Puc. 3.33. Предупреждения, связанные с документами Duplicate Sheet Symbol Names — данное сообщение появляется, когда на одном листе схемы повторяются как минимум два обо- значения символов листов. Missing child HDL entity for sheet symbol — сообщение, показы- вающее несопоставимые имена выводов на символах листов и описание этих выводов в подчиненном листе описанном на HDL. Missing child sheet for sheet symbol — сообщение, показывающее несопоставимые имена выводов на символах листов и порты, со- ответствующие этим выводам на подчиненном листе схемы. 137
Гпава 3. Разработка электрических принципиальных схем Multiple Top-Level Documents — сообщение, появляющееся при компиляции иерархического проекта, свидетельствующее о нали- чии двух или более листов верхнего уровня. Port not linked to parent sheet symbol — сообщение компилятора о наличии порта на подчиненном листе схемы, не имеющего от- ветной части на символе листа этой схемы на верхнем уровне иерархии. Все выводы на символах листов главной схемы должны быть синхронизированы с соответствующими портами на подчи- ненных листах. Sheet Entry’ not linked to child sheet — сообщение компилятора о наличии вывода листа на главном листе схемы, не имеющего от- ветной части на одной из подчиненных схем. Unique Identifiers Errors — сообщение, показывающее по край- ней мере два компонента, листа схем или комбинацию этих объ- ектов имеющие одинаковые уникальные номера (ID). 5. Violations Associated with Harnesses — предупреждения свя- занные co жгутами Options for РСВ Project 4 Port Serial Interface.PRJPCB связанные co жгутами He рассматриваются в данной статье. Если на схеме не исполь- зуются жгуты, то все нарушения данной группы можно выключить из проверки. 6. Violations Associated with Nets — предупреждения связанные с цепями Adding hidden net to sheet — добавление скрытых выводов на листе схемы Adding Items from hidden net to net — сообщение, показываю- щее цепи, подключенные к выводам компонента, которые изнача- льно были подключены через скрытые выводы. Таким образом, производится поиск несанкционированного подключения цепей питания к компоненту. Differential Pair Net Connection Polarity Inversed — соединение цепей дифференциальной пары с различной полярностью. 138
3.8. Проверка схемы и исправление ошибок Differential Pair Net Unconnected To Differential Pair Pin — от- сутствие подключение между цепями и выводами дифференциаль- ной пары. Рис. 3.35. Предупреждения, связанные с цепями Differential Pair Net Unproperly Connected to Device — некор- ректное подключение дифференциальной пары к устройству. Duplicate Nets — задублированы имена цепей. Floating net labels — неподключенные метки цепей. Floating power objects — неподключенные объекты питания. Missing Negative Net in Differential Pair — отсутствует негатив- ная цепь в дифференциальной паре. Missing Positive Net in Differential Pair — отсутствует позитивная цепь в дифференциальной паре. Net Parameters with no name — безымянные параметры цепи. Net Parameters with no value — параметры цепи, не имеющие значения. Nets containing floating input pins — цепи, содержащие непод- ключенный входной вывод. 139
Глава 3. Разработка электрических принципиальных схем Nets containing multiple similar objects — цепи, содержащие не- сколько дублирующихся объектов. Nets with multiple names — цепь, содержащая несколько меток (имен). Nets with no driving source — цепь, не имеющая источника воз- буждения. Nets with only one pin — цепь, имеющая только один вывод. Same Net used in Multiple Differential Pairs — одна и та же цепь используется в нескольких дифференциальных парах. Sheets containing duplicate ports — листы схемы содержат повто- ряющиеся порты. Signals with multiple driver — сигнал имеет несколько источни- ков возбуждения. Signals with no driver — сигнал без источника возбуждения. Signals with no load — сигнал без нагрузки. Unconnected object in net — неподключенные объекты в цепи. Unconnected wires — неподключенные окончания цепей. 7. Violations Associated with Others, Violations Associated with Pa- rameters предупреждения, связанные с параметрами и другие. v.<h Object not согрИау wUhn sheet bouriaret U Warnng Off-Qnd oLiect OWarrng Volator • ’ Av.' Pswtto * Sane paametw cortarng dltaeri inpei Ы Eira | Same paamete* ccdarng iterant values й No Report rue. j.jo. дополнительные правила Object not completely within sheet boundaries объекты, не пол- ностью попадающие в границы листа. Off-grid object — объекты расположенные не в узлах сетки. Same parameter containing different types — одинаковые парамет- ры, имеющие различный тип. Same parameter containing different values — одинаковые пара- метры, имеющие различные значения. Многие из рассмотренных настроек могут изменяться для раз- личных проектов, и большую роль здесь играет использование шин, жгутов, портов и других вспомогательных элементов. 3.8.1.2. Настройка матрицы соединений (Connection Matrix) На второй вкладке опций проекта показана матрица соедине- ний в которой по горизонтали и вертикали перечислены всевоз- можные типы выводов, портов и выводов листа. Данная матрица 140
3.8. Проверка схемы и исправление ошибок задает уровень значимости подключений каждого типа. Например, по умолчанию на пересечении строки и столбца Input Port уста- новлено значение Error — это говорит о том, что одна цепь не мо- жет содержать два и более входных порта, но для многих схем это является нормальным! Таким образом, в данной матрице нужно описать пересечения всех типов. Данная статья написана по материалам справочного руководст- ва Altium Designer, которое находится в папке Help директории установки программы. Рис. 3.37. Матрица соединений 3.8.1.3. Дополнительные настройки Непосредственное влияние на компиляцию оказывают только настройки описанных выше двух групп, но для работы в некото- рых случаях используются и остальные вкладки. Описание после- дующих вкладок рассмотрено в сокращенном виде, т. к. опции бо- льшинства из них используются по умолчанию, а те редкие слу- чаи, когда эти опции необходимо менять, будут рассмотрены отдельно. 141
Глава 3. Разработка электрических принципиальных схем Вкладка Class Generation — правила формирования классов це- пей и компонентов. При желании можно отключить формирова- ние комнат и классов компонентов согласно подлистам схемы. Вкладка Comparator — настройки компаратора. Эти настройки используются при синхронизации проекта, при котором происхо- дит сравнение схемы и платы. Результатом синхронизации являет- ся перечень отличий между схемой и платой, а данный список определяет тот набор отличий, которые при синхронизации будут проверяться. Вкладка ECO Generation — настройки отчета о перечне измене- ний. Выбираются те изменения, которые следует включить в от- чет; по умолчанию включены все опции — изменять эти данные нецелесообразно. Вкладка Options — группа дополнительных настроек проекта. Здесь задаются директории сохранения файлов отчета о компиля- ции, компарации и т. д. В группе Output Options — выбираются действия, которые следует применить после выполнения процесса компиляции. Наиболее существенными здесь являются группы Netlist Options и Net Identifier Scope. В первой задаются правила именования цепей при формировании списка соединений для платы: • Allow Ports to Name Nets — присваивать цепям имена от под- ключенных портов; • Allow Sheet Entries to Name Nets — присваивать цепям имена от подключенных выводов с подчиненного листа схемы (Sheet Entries); • Append Sheet Numbers to Local Nets — добавлять к именам локальных цепей номер подлиста; • Higher Level Names Take Priority — отдать меткам цепей наи- высший приоритет*; • Power Port Names Take Priority — отдать портам питания на- ивысший приоритет*; * — используется в том случае, когда на одну и ту же цепь установлены одновременно метка цепи и порт питания. Группа Net Identifier Scope определяет область действия идентификаторов, о чем подробно описано в главе 3.10.1. • Вкладка Multi Channel — задает порядок номерации компонен- тов при реализации многоканальных и иерархических проектов. 142
3.8. Проверка схемы и исправление ошибок Вкладка Default Prints — настройки распечатки документации проекта. Этот вопрос рассматривается отдельно для документации разного типа в главах 3.15.2. и 4.15.3. Вкладка Search Puths — расположение библиотек, в которых по умолчанию будет проводиться поиск компонентов. Вкладка Parameters — параметры проекта, которые могут быть использованы для составления текстовых надписей, являющихся общими для всех документов проекта. Вкладка Device Sheets — расположение директорий, содержа- щих схемы, которые используются в проекте в качестве готовых решений (подсхем) в иерархии нижних уровней. Для более подробного знакомства с настройками компиляции проекта рекомендуется ознакомиться со статьей TR0142 Project Compiler Error Reference.pdf. в документации Altium Designer\Help. 3.8.2. Компиляция и верификация проекта После установки настроек согласно установкам на рисунках 3.30—3.37 можно выполнять компиляцию проекта и его отладку при наличии ошибок. Запустим компиляцию командой Рго- ject>Compile PCB Project, результатом появления которой станет появление окна Messages с ошибками проекта (компиляцию удоб- нее запускать через панель Projects, для чего нужно нажать правой клавишей мыши на нужном проекте и выбрать команду Compi- le...). Если проект был выполнен в соответствии с рисунком 3.18, то в списке сообщений будет 2 ошибки и несколько десятков пре- дупреждений (рис. 3.38). После компиляции начинается один из наиболее сложных эта- пов работы с программой — отладка схемы. Необходимо добиться No. I Class / Document | Source Message I Time I Date И л io? 0104 0105 0106 ! 050 060 j 033 045 055 [Error] |UchebaSchDoc|Compter|NetA6 hat only one pin (Pin DDV2) [16:21:32 [ 31.072008 {Error] Jcheba SchDoc Compter Net NelDDI J> has orJy one pin (Pr DD1 •£) 16:21.32 31 07.2008 [Warning] Ucheba SchDoc Compter Bus index out of range on A Index *6 16:21:32 31.07.2008 [Warning] Ucheba.SchDoc Compiler Component XP1 DRB*9RM_1 hat unused $u.. 16:21 32 31.07.2008 [Warning] UchebaSchDoc Compiler Component XP1 DRB-9RM_1 hat unused su„ 16:21:32 31.07.2008 [Warning] UchebaSchDoc Compiler Off grid at 106 55mm,147 38mm 16:21:32 31.07.2008 [Warning] Ucheba SchDoc Compter Off grid at 113.73mm.l 82 38mm 16:21:32 31.07.2008 [Warning] UchebaSchDoc Compter Off grid at 114.05mm,149.88mm 16:21:32 31.07.2008 [Warning] Ucheba SchDoc Compter Off grid at 116.55mm.147.38mm 16:21:32 31.07.2008 [Warning] UchebaSchDoc Compter Off grid at 116.55mm,170 2mm 16:21:32 31.07.2008 Puc. 3.38. Список нарушений 143
Гпава 3. Разработка электрических принципиальных схем того, чтобы в списке нарушений (Messages) не содержалось ни од- ной ошибки (Error и Fatal Error), наличие предупреждений не критично. Отладку рекомендуется выполнять поэтапно, сначала избавиться от одной ошибки, затем снова провести компиляцию и только после этого переходить к следующей ошибке. Вернемся к рассматриваемой схеме — выполним двойной щелчок мыши на первой ошибке. В результате на экране появится окно Compile Er- rors, в котором приведен текст данной ошибке (в нашем случае указана цепь А6, которая имеет только 1 вывод). Так как решение проблемы не видно с первого взгляда, перейдем ко второй ошиб- ке. При выделении второй ошибке в сообщении написано, что имеется некоторая цепь, содержащая только один вывод, откуда становится понятно, что на этой цепи не хватает метки (цепь вхо- дит в шину и при этом не имеет метку цепи). Выполним команду Place>Net Label и установим метку А6 на эту цепь. После проведе- ния компиляции окно нарушений не будет содержать ошибок. Те- перь мы имеем проект, содержащий одну схему, готовый к пере- даче информации на печатную плату. 3.9. Редактирование схем (Inspector, List и др.) Редактирование свойств одиночных объектов было описано в главе 1.5.3, где было сказано, что для изменения внутренних свойств объектов всегда используется панель Inspector. Эта па- нель, в сочетании с некоторыми другими (Find Similar Objects, List) организует так называемое глобальное редактирование, т. е. одновременное изменение свойств у указанной группы объектов. Рассмотрим примеры глобального редактирования, применитель- но к схеме. Первая задача, которая стоит при данной работе — это выделе- ние заданной группы объектов. Для этого имеется несколько спо- собов выбора объектов, например, можно использовать стандарт- ные варианты выделения, используя Shift+Click. Такой подход ра- ционален при выборе незначительного числа объектов или когда имеется несколько типов объектов, редактируемых одновременно, находящихся на одном листе. Для выделения нескольких объектов, которые могут при этом находиться на разных листах схемы, используется команда Find Similar Objects. Для открытия этого диалога щелкните ПК на од- 144
3.9. Редактирование схем (Inspector, List и др.) ном из объектов редактирования и выберите из контекстного меню Find Similar Objects. Рассмотрим данную процедуру на конкретном примере, взятом из директории C:\Program Files\Altium Designer 6\Examples под на- званием 4 Port UART and Line Drivers. Скажем, необходимо изме- нить имя цепи питания на схеме с — 12V на — 5V. Это потребует из- менения атрибута всех портов питания — 12V на всех листах. -12V U4 Л / BQd Smfar Objects... RTSC - - . ™ Filter TXD DTRD RTSD *re Place Refactor ATgn Unions J V+ " JTA > DTB * LTTC ► * JTD » JND Puc. 3.39. Запуск панели Find Similar Objects 1. Первым шагом необходимо обнаружить один из данных пор- тов на схеме, выполнить щелчок ПК на нем и выбрать Find Similar Objects (рис. 3.39), после чего появится окно, показанное на ри- сунке 3.40. 2. При появлении окна Find Similar Objects необходимо создать запрос, т. е. указать критерии выбора объектов на схеме. Данное окно имеет три столбца, в первом перечислены параметры, до- ступные для данного типа объектов (набор этих параметров значи- тельно меняется в зависимости от типа выбранного объекта), во втором столбце указано текущее значение параметра для выбран- ного объекта, а в последнем столбце критерий выбора. Для каждо- го параметра объекта вы можете установить такие свойства срав- нения, как Same (Одинаковый), Different (Другой) или Any (Лю- бой). В рассматриваемом примере нас интересуют порты питания ~12V, таким образом, необходимо в строках Object Kind (тип объ- екта) и Text (текст) указать критерий выбора Same, в результате данный запрос применим к портам питания Power Object со зна- чением —12V (см. выделения на рис. 3.40). Все остальные парамет- ры имеют значение Any, т. е. эти критерии не имеют значения для данного запроса. 145
Г.пава 3. Разработка электрических принципиальных схем Рис. 3.40. Выбор объектов по заданным критериям 3. После установки критерия выбора, необходимо указать об- ласть действия запроса (см. I. на рис. 3.40) и выбрать команду для запроса (см. 2. на рис. 3.40). В данном случае указываем область действия Open Document (открытые документы, предполагается, что уже открыты все листы схем указанного проекта) и включаем опции Select Matching и Run Inspector. В целом для выбранных объектов имеется возможность применить следующие действия: • Zoom Matching — масштабировать выбранные объекты во весь экран; • Clear Existing — снять выделение с ранее выбранных объектов; • Mask Matching — наложить маску на выбранные объекты (при этом объекты не попавшие в выделение будут затенены) • Select Matching — выделить выбранные объекты, чтобы к ним потом можно было применять действия; • Create Expression — сформировать запрос для панели Filter, при этом запрос будет сохранен в истории, и может в даль- нейшем быть использован с меньшими трудозатратами; • Run Inspector — запустить панель Inspector. 146
3.9. Редактирование схем (Inspector, List и др.) Стоит запомнить, что панель Find Similar Objects используется только для формирования запроса на выделение объектов опреде- ленного типа. Эта панель не используется для изменения свойств объектов!!! После выбора указанных настроек и нажатия клавиши ОК за- пускается панель Inspector (рис. 3.41). Kind an documents cf the -злю cunent document open documents В______________ Object Kind is pt obieclcwornlopen document; / I . El Design | Owner Document S Graphical________ Color X1 Y1 Orientation Locked Power Object Style Show Net Name 126 Bar И tvt> El Object Specific T ext -12V ^objectfs) are displayed ri 2 documentfs)^ Puc. 3.41. Свойства группы портов Основная задача панели Inspector — вывести список свойств выбранных объектов. Набор выбранных объектов может быть то- лько одинакового типа, например, на рисунке 3.41 показаны свой- ства пяти портов питания. Свойства, идентичные для всех выбранных объектов, получают отображение их значений, например, в данном случае показан цвет портов питания (Color), стиль порта питания (Power Object Style — Ваг) и опция Show Net Name (Отображать название цепи). Для каждого свойства порта питания, имеющего различные значе- ния будет указано <...> (например, в позиции XI). Это означает, что не все эти пять объектов имеют одно и тоже значение для XI. Кроме перечня свойств объекта панель Inspector имеет две опции по определению области действия панели: это опция Include, ко- торая устанавливает ограничения на тип отображаемых парамет- ров, и опция from — определяющая документы с которыми ведет- 147
Гпава 3. Разработка электрических принципиальных схем ся работа. В нашем случае в опции Include имеется только один выбор — Power Object (так как панель Inspector была запущена из окна Find Similar Objects), а в опции from необходимо выбрать Open Document of the Same Project (Открытые документы данного проекта). В результате выполнения всех действий в строке состоя- ния панели Inspector будет указано количество выбранных объек- тов и на скольких листах они находятся (см. рис. 3.41). Панель Inspector может быть использована и для работы со свойствами единичных объектов, что более удобно, чем каждый раз заходить в свойства объекта двойным щелчком мыши, либо вызывать из контекстного меню по правой клавише. При запу- щенной панели Inspector, выбрав объект на схеме, его свойства будут отображены в панели и доступны для редактирования, при этом не будет стандартного окна свойств объекта, которое к тому же закрывает собой большую часть документа. 4. Выбрав объекты и просмотрев их свойства можно перейти к редактированию необходимого параметра. В рассматриваемом примере устанавливаем указатель мыши в строку Text, вместо —12V вводим новое значение — 5V и нажимаем Enter. После проде- ланных манипуляций значение метки порта питания — 12V смени- лось на —5V на всех листах схемы. При последовательном выполнении описанных действий после смены имен портов все другие объекты на схеме маскируются (т. е. показаны более светлым цветом и не доступны для редакти- рования). Чтобы снять маскировку и продолжить работу с доку- ментом, необходимо нажать комбинацию клавиш Shift+C. Редактирование, которое выполнялось выше относилось к про- стому примитиву, т. е. одному из базовых объектов, используемых в схемном редакторе. Более сложные объекты, такие как компо- ненты, называют составными примитивами; они являются по су- ществу набором простых примитивов. Рассмотрим пример типичного сложного объекта, редактирова- ние которого необходимо выполнить. Данный проект (4 Port UART and Line Drivers) содержит несколько конденсаторов 0,luF, для которых необходимо добавить параметр POWER (Напряже- ние). Данную процедуру проделаем в несколько шагов: 1. Выберем все конденсаторы данного типа^ 2. Добавим новый параметр для конденсатора 3. Установим видимость добавленного параметра 148
3.9. Редактирование схем (Inspector, List и др.) Шаг 1. Выбор всех конденсаторов 0,luF в проекте. Находим на схеме конденсатор С4, который принадлежит ре- дактируемой группе, нажимаем на нем правой кнопкой мыши и запускаем Find Similar Objects. Окно Find Similar Objects имеет не- сколько другой вид — перечень доступных свойств гораздо боль- ше, чем при работе с простыми примитивами. Зададим запрос на выделение параметров следующим образом (рис. 3.42) — ограни- чение на позиционное обозначение (С* — выбор только конденса- торов) и на комментарий (0,luF — выделять только с данным на- пряжением). Включаем опции как показано на рисунке 3.42. Рис. 3.42. Запрос для поиска конденсаторов После нажатия кнопки ОК запускается панель Inspector. Шаг 2. Добавление нового параметра. Для составных объектов в панели Inspector имеется вкладка Pa- rameters (см. рис. 3.43), в которой перечислены все параметры, на- значенные для выбранных компонентов. Чтобы добавить параметр Power, устанавливаем курсор в поле Add User Parameter и вводим значение параметра 10V, после чего нажимаем Enter. После ввода значения параметра появляется за- прос на ввод название самого параметра, здесь необходимо ввести 149
Гпава 3, Разработка электрических принципиальных схем SOI In Include ql types of object* from open document; Pins Locked Fie Name Configuration Library Symbol Reference Component PetOTtffl Cunent Part Part Comment Current Footprint Component Type Database Table Name Use Library Name Use Database Table Name M DesgnltemlO__________________CAP2M OluF RAD0 2 St^rxlarj 3 P idirtlec X Text Fiddl X P?t Humber XCseadancg X Manufpctixr X Manufactuer P/N X TfitefiXSe X VqfcacB-Ra^H C9 0.1 CAP 2k -12 V CAPACITOR C001037 0.1 pF BC Components 2222 370 221 (M 0.05 1007 C8 O.luF | Add Urer Parameter 10 objects) are displayed in 2 document^) Puc. 3.43. Добавление нового параметра его название (Power). После проделанных действий у выбранных компонентов появился новый параметр, однако, на схеме он пока не отображен. Шаг 3. Отображение видимости параметра компонента. Для того, чтобы сделать добавленный параметр видимым, необ- ходимо менять уже его свойства, а не свойства компонента. Чтобы перейти к свойствам параметра, необходимо его выбрать в панели Inspector, после чего в этой же панели появляются свойства пара- метра, о чем свидетельствует надпись Parameter в строке Object kind, появившаяся вместо Part. Следующим действием нужно выключить опцию Hide (см. рис. 3.44), после чего на листе схемы появилось значение парамет- ра (10V). Теперь, чтобы от свойств параметра вернуться назад к свойствам компонента, выбрать строку Owner в панели Inspector (см. рис. 3.44). При проектировании каждому типу объектов может быть назна- чено несколько параметров, информация о которых используется для оформления документации. Параметры документа используют- ся для оформления чертежей схемы и платы, параметры компонента 150
3.9. Редактирование схем (Inspector, List и др.) идут для оформления перечней и спецификаций. Все используемые параметры могут редактироваться индивидуально для каждого ком- понента, при высокой плотности современных устройств это бывает не рационально, а иногда невоз- можно. Как поменять параметры для одинаковых компонентов было показано в предыдущих примерах, теперь рассмотрим, как изменить параметры для разных объектов. Для данной задачи используется окно управления параметрами, ко- торое вызывается по команде Para- meter Manager из меню Tools. После такого выбора появляется диалог Parameter Editor Option, в котором необходимо указать — с какими па- раметрами ведется работа. В данном случае (рис. 3.45) вы- бираем Parts — т. е. будем менять параметры компонентов, причем желательно включать опцию Ехс- hide System Parameters (Исключить системные параметры). После на- жатия кнопки ОК откроется окно, показанное на рисунке 3.46, в ко- тором можно поменять значение любого параметра. Чтобы изменить значение груп- пы параметров, необходимо щелк- нуть правой клавишей на выделе- нии и выбрать Edit, после чего можно ввести новый парамтр, ко- торый будет применен ко всей вы- деленной группе компонентов. Важной особенностью работы с Рис. 3.44. Изменение свойств параметра через свойства компонента Рис. 3.45. Изменение параметров компонентов данным окном является его взаимоподдержка с внешними табли- цами (например, Excel), т. е. можно копировать фрагменты табли- 151
Г.пава 3. Разработка электрических принципиальных схем Рис. 3.46. Изменение параметров различных компонентов цы в Excel и после редактирования вставлять обратно. Причем стоит отмстить, что здесь же возможно и добавить новый пара- метр, используя кнопку Add Column. 3.10. Многолистовые и многоканальные проекты 3.10.1. Реализация связанности на разных уровнях иерархии При разработке радиоэлектронных средств на этапе электриче- ских принципиальных схем очень часто используются иерархиче- ские и многоканальные структуры. Схемотехники используют многолистовые проекты по различным причинам, в первую оче- редь из-за размеров схем; некоторые проекты просто слишком бо- льшие или сложные, чтобы разместить их на одном листе. Даже если проект не сложен, возможны другие преимущества реализа- ции проекта на нескольких листах. Например, проект может включать элементы различных функциональных модулей. Органи- зация этих модулей как самостоятельных схем может позволить нескольким инженерам работать над проектом в одно и то же время. Другой причиной может быть, возможность использования малоформатных принтеров, таких, как лазерный принтер. В целом, в Altium Designer имеется два решения по выполне- нию каждого многолистового проекта — структурные отношения 152
3.10. Многолистовые и многоканальные проекты Рис. 3.47. Пример для панели Lisi между листами и использование связности между фрагментами схемы на этих листах. Конкретный выбор будет варьироваться в зависимости от размера и типа каждого проекта и индивидуаль- ных предпочтений пользователя. Единственным способом передачи сигналов между листами разного уровня является использование идентификаторов, кото- рые создают логические соединения между элементами схем про- ще, чем это делают физические соединения (проводники). Иден- тификаторы могут использоваться в пределах одного листа, как показано на рис. 3.48, но основное их предназначение — это реа- лизация глобальной связи внутри всего проекта. Рис. 3.48. Примеры идентификаторов 153
Гпава 3. Разработка электрических принципиальных схем Рисунок 3.48 показывает, как могут быть реализованы провод- ники с помощью обычных цепей, меток цепей, портов и силовых портов, соответственно. Все эти объекты объединены общим на- званием идентификаторов и будут подробно рассматриваться в этой главе. Рисунки 3.49а и 3.496 иллюстрируют частое заблуждение разра- ботчиков, что идентификаторы различных типов (такие как метки цепей и порты), имеющие одинаковые имена, будут логически связаны. Фактически это не так: различные типы идентификато- ров могут иметь разные имена, но при этом соединяться вместе для формирования единой цепи. a б Рис. 3.49 Идентификаторы различного типа могут быть физически сое- динены на одном листе, но при этом ни по одному из них визуа- льно нельзя сказать, какую связанность он имеет в многолистовом проекте. Как реализуется межлистовая связанность зависит от идентификаторов, используемых в проекте, и установленных огра- ничений действия этих идентификаторов. В Altium Designer для реализации связанности на разных уров- нях иерархии используется несколько видов идентификаторов, каждый из которых имеет свое конкретное предназначение. Са- мые простые идентификаторы являются метками цепи. Их пер- вичной функцией является отображение функционального назна- чения соединений проводников на листе. В некоторых случаях, метки цепей можно использовать не только как локальные соеди- нения, но и для межлистовой связи. Порты, аналогично меткам цепей, могут быть использованы для соединения цепей локально в одном документе. Но, в отличие от меток цепей, порты изначально предназначены для межлисто- вых соединений. Они могут реализовывать связанность по гори- зонтали или по вертикали. Горизонтальность является широко применяемой функцией, так как она не чувствительна к многоли- 1Д4
3.10. Многолистовые и многоканальные проекты стовой структуре и соединяет одноименные порты на всей схеме в единую цепь. Вертикальные соединения более управляемы, так как они соединяют цепи только между подчиненными и родитель- ским листами. Вместо соединения портов, вертикальные соедине- ния выполняются между портом на подчиненном листе и входом листа символа на родительском документа. Этот вход листа дол- жен быть размещен в пределах символа листа со ссылкой на опре- деленный подчиненный лист. Таким образом, связанность по вер- тикали используется для соединения листов на разных уровнях иерархии. Таблица 3.3 Идентификаторы в Altium Designer • Обозначение на схеме Название Описание | । | Net LabeT] 1 Метка цепи Соединение по вертикали; если используется I совместно с портами или символами листа, то 1 применимы либо в рамках иерархии, либо внутри документа. По горизонтали объединяют все цепи с одинаковыми метками. i! ч i, р—\ Port Порт Соединение по вертикали; используется для перехода к входу листа на главном символе и как обычные метки внутри иерархии, либо внутри документа. По горизонтали соединяет все одноименные порты проекта, либо порты внутри указанного документа (в зависимости от | настроек). Вход на лист Всегда соединяется вертикально вниз к порту указанном на символе подчиненного листа 1 схемы. i—Entryl Межлистовой соединитель Горизонтальное соединение одноименных межлистовых соединителей внутри группы схем на одном уровне иерархии. i —OfISheed 1, il 1—i— i ffi Силовой порт Соединяет глобально все одноименные силовые порты на всех схемах проекта. II L Невидимый вывод Соединяет глобально все невидимые выводы проекта с цепями, имена которых указаны в поле Connect to. | Межлистовые соединители обеспечивают среднее звено, позво- ляя создавать горизонтальные связи между выбранной группой листов в пределах проекта. Способом группирования этих листов 155
Гпава 3. Разработка электрических принципиальных схем является размещение множества имен листов в поле Filename од- ного символа листа, разделенных точкой с запятой. Межлистовые соединители для этих сигналов нужно размещать так, чтобы они находились между этими группами листов. Одноименные межли- стовые соединители будут соединены только в пределах листов, сгруппированных вместе на символе родительского листа. Если на символе листа представлен только один подчиненный лист, то его межлистовые соединители не будут соединены с однотипными со- единителями, которые могут существовать в другом месте проекта. Силовые порты нс чувствительны к структуре проекта и соеди- няют одноименные силовые порты на всех связанных листах (на- пример, порты питания и земли). Невидимые выводы могут быть введены для символа в библио- теке и подключены к определенной цепи посредством установки значений в поле Connect to (в диалоге Pin Properties). При импор- те проекта из Protel 99 SE или более ранней версии, любые неви- димые выводы будут иметь имя цепи, размещенное в этом поле автоматически. Для получения более подробной информации о метках цепей, портах, входах листов, межлистовых соединителях и силовых пор- тах наведите курсор на идентификатор и нажмйте клавишу F1 при работе с редактором схем. По умолчанию, все новые проекты плат в Altium Designer испо- льзуют автоматическое определение установок для ограничения действия идентификаторов. Если при компиляции будет обнаружен хотя бы один вход лис- та, представленный в разработанной схеме проекта, то автомати- чески будут выбраны иерархические ограничения для вертикаль- ной связности. Метки цепей и порты будут использованы для ло- кальной связи в пределах одного листа (как обычно), но порты будут иметь только межлистовые связи, если размещены соответ- ствующие входы листа на символе в главном листе. Когда заданы иерархические ограничения, порты и метки цепи не создают логи- ческой связности с одноименными идентификаторами цепей на других листах проекта. Если схема проекта содержит порты, но отсутствуют входы лис- та, то автоматически устанавливается глобальное ограничение для портов. Это означает, что порты получат горизонтальное подклю- чение во всем проекте, не принимая во внимание многолистовую 156
3.10. Многолистовые и многоканальные проекты структуру, и объединят одноименные порты одной цепью. Метки цепей будут при этом создавать только локальные соединения. Если схема проекта не содержит ни входов листа, ни портов, то автоматическое определение повысит статус меток цепей до глоба- льного. Межлистовые соединители, силовые порты и специальные не- видимые выводы имеют постоянное назначение и область дейст- вия независимо от ограничений идентификаторов. Altium Designer предоставляет полный контроль над ограниче- ниями идентификаторов цепей (Net Identifier Scope). Контроль возможен на вкладке Options доступной в диалоге Options for Pro- ject, вызываемом последовательным выполнением Project>Project Option. Настройки на вкладке Options можно использовать для из- менения автоматических установок и определения ограничений идентификаторов в структуре всего проекта, независимо от его со- держимого. Контроль предполагает ограничения, при которых идентификаторы — метки цепи и порты получат определенный тип связанности на всем проекте (глобальный или локальный). С точки зрения структуры, все многолистовые проекты органи- зованы иерархически, даже если иерархия имеет всего два уровня (например, верхний лист, содержащий символы листов, которые ссылаются на подчиненные листы нижнего уровня). При этом проект может быть реализован либо одноуровневой, либо иерархи- ческой структурой. Основным различием между ними является то, что иерархические проекты переключают сигналы между листами, соответствующими созданной структуре, в то время как одноуров- невые проекты не предполагают структурную организацию листов. Важно отметить, что при проектировании проекта ПЛИС, ис- пользуемая модель связности обязательно должна быть иерархиче- ской. 3.10.2. Создание многолистовых проектов без иерархии Многолистовые проекты могут быть созданы как- одноуровне- вые проекты без листа высшего уровня. Если разрабатываемый проект не иерархический, например, все листы схем находятся на одном и том же уровне, без символов листов, ссылающихся на подчиненные листы, то проект называется одноуровневым проек- том. В этом случае нет необходимости в листе высшего уровня, который содержит только символы листов, ссылающиеся на схе- мы. Одноуровневый проект, который содержит лист высшего 157
Г.пава 3. Разработка электрических принципиальных схем уровня, будет корректно компилироваться, но Altium Desig- ner предоставляет возможность построения проекта без верхнего листа, по необходимости. Реализация такого проекта показана на рисунке 3.50, и она наиболее подходит для оформления неслож- ных проектов согласно требованиям ГОСТ. Для такой реализации создастся файл проекта, внутри которого создаются листы схемы. По завершении отрисовки схем необходи- мо выполнить компиляцию, и на этом этапе следует строго задать область действия идентификаторов, т. е. меток цепей и шин. Для этого в окне Project> Project Options на вкладке Options в выпада- ющем списке Net Identifier Scope следует выбрать вариант Global (NetLabel and Ports Global), после чего все метки цепей становятся глобальными на всех листах проекта. Чтобы оформить такой про- ект по ГОСТ можно сделать эти метки невидимыми (формально в программе такой опции нет, но можно выбрать цвет — близкий к цвету фона, что будет не различимо при печати), а поверх них на- писать стандартные надписи «А 1 (лист 2)». Здесь при оформлении 158
3.10. Многолистовые и многоканальные проекты может быть использовано несколько подходов, а такая реализация проекта является наиболее простой. 3.10.3. Создание иерархических проектов Следующие четыре примера показывают, как определять или выбирать ограничения идентификаторов, которые будут оказывать воздействие на связанность одинаковых меток цепей и портов в иерархической структуре. В названии примера указана настройка ограничения действия идентификаторов, устанавливаемая в спис- ке Net Identifier Scope на вкладке Options, доступной в диалоге Options for Project вызываемом последовательным выполнением Projcct>Project Option. Схемный проект на примере 1 будет автоматически определен как иерархический, так как здесь имеют место входы листа в сим- волах листов на главной схеме. Метки цепей С1 и С2 на каждом подчиненном листе не будут подключены к соответствующим меткам цепей на другом листе, но будут действовать в пределах границ каждого листа. Порты в этом примере имеют различные имена, но даже если бы их имена совпа- 159
Г.пава 3. Разработка электрических принципиальных схем дали, они не создавали бы горизонтальные связи с одноименными портами других листов из-за ограничений идентификаторов дан- ного типа иерархии. Поэтому эти порты создадут только вертика- льное соединение схемы вверх к родительскому листу. Чтобы вы- полнить соединение порт на подчиненном листе должен иметь од- ноименный вход листа внутри соответствующего символа листа. В этом примере элементы входа на главном листе подключены к разным листам (еще раз отметим, что их имена не одинаковы — это физическое подключение, а не логическое). В структурно про- работанном проекте эти сигналы прокладываются к портам и сравниваются по входам с другим символом листа на родитель- ском листе. Для реализации связи в этом проекте (пример 2) совместно с отсутствием входов листов используется вариант автоматического назначения глобальных портов. Это особенность одноуровневого проекта, так как порты явля- ются связанными, они получают логические связи со всеми одно- именными портами на всех листах проекта. Метки цепей не под- держивают такую возможность, они будут локальными на каждом отдельном листе схемы. Рис. 3.52. Пример 2 — Flat (Глобальные порты) 160
3.10. Многолистовые и многоканальные проекты Так как этот проект одноуровневый, верхний лист можно уда- лить из проекта и он, тем не менее, будет корректно откомпили- рован. Отметим, что Altium Designer использует файл проекта для определения количества листов в проекте, что является важным свойством понятия проект и необходимым для одноуровневых разработок с ограничением идентификаторов типа Flat. Этот проект (пример 3) не имеет элементов входов листов и портов. Это единственный случай, в котором метки цепей автома- тически создают глобальное подключение на всех схемах многоли- стового проекта. Эти метки цепей будут связаны с одноименными метками цепей в проекте независимо от его структуры. Опять же, так как этот проект одноуровневый, то верхний лист можно удалить из проекта и проект будет корректно откомпили- рован. Следующий вариант (пример 4) недоступен при автоматиче- ском определении иерархии, но может быть применен в Altium Designer для поддержки наследуемых проектов. В нем как метки цепей, так и порты получают глобальные свя- зи по горизонтали с соответствующими идентификаторами в про- екте. Единственным путем достижения этого типа связности явля- Рис. 3.53. Пример 3 — Automatic (Глобальные метки цепей) 6 зак. 32 161
Гпава 3. Разработка электрических принципиальных схем Left Side Left Side SchDoc Right Side Right Side.schdoc R LJ top SchDoc" U Rtfi SA.SdDoc' QI Left SA SchDoc * Puc. 3.54. Пример 4 — Global (Глобальные метки цепей и портов) ется просмотр вкладки Option в диалоге Options for Projects и из- менение Net Identifier Scope на Global (Netlabels and ports global). Так как это одноуровневый проект, верхний лист может быть удален из проекта и проект, тем не менее, будет корректно отком- пилирован (файл проекта сам по себе содержит информацию о со- ставе исходных документов, формирующих проект, как и в преды- дущих двух примерах). Именно такой пример был описан в пре- дыдущей главе. Рассмотрим пример создания простейшего иерархического проекта. Проекты, имеющие несколько уровней иерархии, могут быть созданы двумя способами: сверху вниз или снизу вверх. Либо сначала создается главный лист схемы, на нем размещаются листы подсхем, а затем формируются сами подсхемы, либо наоборот. Для реализации таких проектов в редакторе схем используется це- лая группа команд в меню Design (рис. 3.55): • Create Sheet From Sheet Symbol — создать схему из символа подчиненного листа; • Create HDL File From Sheet Symbol — создать файл HDL из символа подчиненного листа; 162
3.10. Многолистовые и многоканальные проекты | Design | Took Reports Window Help £L Browse library... Add/Remcve library... Make Schematic Library Make Integrated Library Template ► Netbst For Project ► Netfet For Document ► Simulate ► Create Sheet From Sheet Symbol Create HDL File From Sheet Symbol ► Create Sheet Symbol From Sheet or HDL Create Component From Sheet Rename Child Sheet... Synchronize Sheet Entries and Ports ...................................— Document Options... Puc. 3.55. Команды для создания иерархии в проекте • Create Sheet Symbol From Sheet or HDL — создать символ подчиненного листа из схемы или файла HDL; • Create Component From Sheet — создать компонент из листа схемы • Rename Child Sheet — переименовать подчиненную схему че- рез ее символьное изображение на главной схеме; • Synchronize Sheet Entries and Ports — синхронизировать име- на портов и имена входов символа листа. Наиболее удобным здесь считается подход, когда сначала со- здаются листы нижнего уровня, а потом их символы размещаются на верхнем уровне иерархии. При таком подходе, внутри одного проекта создаются несколько схем, после чего, находясь на глав- ной схеме, выполняется команда Design>Create Sheet Symbol From Sheet or HDL. Результатом этой команды является предложение разместить символ одной из схем, расположенных в текущем про- екте. Размещенный символ уже содержит выходы, которые имеют соответствующие порты на схемах. В дальнейшем остается лишь создать линии связей между листами. Стоит помнить, что при такой реализации область действия идентификаторов выбирается Hierarchical (Net Identifier Scope на вкладке Options, доступной в диалоге Options for Project). 6* 163
Гпава 3. Разработка электрических принципиальных схем 3.10.4. Создание многоканальности в проекте Более интересной является ситуация, когда один и тот же блок схемы повторяется на плате в виде нескольких каналов. Для реали- зации таких каналов в Altium Designer имеется специальный инст- рументарий, позволяющий копировать размещение, топологию и другие оформительские элементы между одинаковыми ячейками. После размещения на главном листе схемы символа подчинен- ного листа, нужно задать параметр, который будет определять ко- личество таких каналов на плате. Для этого заходим в свойства символа листа, который должен повторяться на плате, и в поле Designator вводим команду Repeat(CIN,l,8). Эта команда означает, что данный канал на плате будет повторен восемь раз. Рис. 3.56. Многоканальный блок На рисунке 3.56 показано отображение такого блока, множест- венное отображение которого появляется после компиляции про- екта. Синтаксис, использованный для применения оператора Re- peat в поле Designator (позиционное обозначение) символа листа имеет форму. ДереаЦОписание, Первый канал, Количество), где Описание — является базовым именем канала. Рисунок 56 ил- люстрирует использование оператора Repeat для применения во- сьми входных каналов для аудио миксера. Кроме повторяющихся блоков при реализации многоканаль- ных проектов могут использоваться повторяющиеся выводы на листе и повторяющиеся цепи. Например, если на схеме имеется 164
3.11. Навигация в многолистовом проекте шина, содержащая 8 цепей, и каждая цепь этой шины должна быть заведена в один из каналов. Для этого в названии вывода ли- ста пишется команда Repeat(Headphone), которая говорит о том, что вывод Headphone у каждого канал свой! Т. е. в данной реали- зации (см. рис. 3.56), из шины Headphone!I..8] выходят цепи He- adphone! в первый канал, Headphone2 — во второй и т. д. В целом создание многоканальности не вызывает больших сложностей, но при этом несет много вспомогательной информации, позволяю- щей автоматизировать процесс проектирования платы с многока- нальными участками. При отладке многоканального или иерархического проекта — Tools>Up/Down Hierarchy, удобно использовать опцию которая позволяет переходить вверх вниз по иерархии. Запустив эту команду и выбрав порт на схеме, будет показана ответная часть, при выборе символа листа — будет открыт сам лист, и т. д. 3.11. Навигация в многолистовом проекте По завершении разработки схем проекта, нет необходимости генерировать список цепей и выполнять перекрестный контроль каждой цепи вручную. После компиляции вся информация о свя- занности отображается в панели Navigator (рис. 3.57), которая упрощает работу над проектом. Панель Navigator занимает центральное место в организации связности в проекте. Верхняя рамка организует листы проекта тремя способами: в виде перечня компилированных листов, в виде плоской иерархии и как структурное дерево. После компиляции многолистового проекта, нужно немедленно проверить дерево, чтобы убедиться в корректном определении структуры с помощью символов листов. Следующие две рамки в панели перечисляют компоненты и цепи/шины в проекте. Они также представлены в виде дерева, по- скольку символ листа может содержать множество входов на лист, компоненты могут содержать множество параметров, моделей и выводов. Таким же образом, логическая шина будет разветвляться на цепи, которые входят в ее структуру. Содержимое последней рамки панели зависит от двух факто- ров: наблюдаемых объектов и объектов, которые установлены для 165
Гпава 3. Разработка электрических принципиальных схем отображения. Главный пере- чень объектов для отображения может быть доступен с помо- щью выпадающих опций, ря- дом с кнопкой Interactive Navi- gation, можете нажать правую клавишу мыши на панели и ак- тивировать или дезактивиро- вать их индивидуально через подменю Show. При выборе листа в верхней рамке — нижняя рамка пере- числит ее порты. При выборе компонентов во второй рам- ке — нижняя секция предста- вит данные о его выводах. От- кройте дерево для этого компо- нента и нажмите на одном из его выводов, и в нижней сек- ции увидите этот и все другие выводы и метки этой же цепи. Такой же результат, вы получи- те при нажатии на любой шине или цепи в третьей рамке. Каждая рамка панели (каж- дая секция) будет переключать- ся на соответствующий элемент Рис. 3.57. Панель Navigator в ее списке, когда просматрива- емый объект имеет к ней отношение. При этом стоит отметить, что обновляется рабочая область. При каждом нажатии левой кла- виши мыши на объекте в панели Navigator будет появляться отфи- льтрованный объект, представляя визуальный результат, который определяется коэффициентом опций подсветки. Эти и описанные ниже опции могут быть доступны, и установлены либо из выпада- ющего меню, рядом с кнопкой Interactive Navigation, либо на стра- нице System>Navigation диалога DXP>Preferences (см. рис. 3.58). • Zooming (Масштабирование) — при включении, отфильтро- ванные объекты будут масштабироваться и центрироваться (если это возможно) в главном окне проекта. Масштабиро- вание — масштаб будет установлен средствами панели 166
3.11. Навигация в многолистовом проекте System - Navigation Hghfa/t Methods------------------------------------------- Choose here the methods used to higHght graphical objects dur ng navigation. These options are used during navigation, and when exploring cSffecences between documents or compier messages. 0 Zooming Q Selecting 0 Masking Q Connective Gaph ’ ’ Г* Indude Power Parts I ____1L______________ Zoom Precision The zoom precision option controls how dcsdy the system wi zoom rito to Nghlghted objects when usng the ‘zoom' navigation method (------------------Q------------------- Far ' ....................iiii Objects To Deplay -------------- Choose here the objects to dfeptay n the N svigatw Pand. 0pr« 0 Not Labels 0 Ports 0 Sheet Entries 0 Sheet Connectors 0 Sheet Symbols O&aphcai Lines I Puc. 3.58. Настройки панели Navigation (Zoom Precision) или интерактивно внутри документа проек- та — с помощью скользящей панели (ползунка). Увеличение масштаба достигается перемещением ползунка вправо (мас- штабирование не применимо, когда целевым объектом явля- ется порт и изменение производится в файле HDL). • Selecting (Выделение) — при включении отфильтрованные объекты в рабочей области будут выделены, т. е. после этого к ним можно будет применить команды редактирования. • Masking (Маскировка) — при включении данной опции, все не отфильтрованные объекты будут показаны в затененном виде. • Connective Graph (Графы связей) — активация этой опции отображает связность между объектами (в главном окне про- екта активного документа). Визуально такая связность имеет зеленый цвет при просмотре компонентов и красный при просмотре цепей. Активируйте дополнительную опцию Inc- lude Power Parts, если также необходимо иметь графы связ- ности силовых объектов. Рисунок 3.59 показывает пример графа связности, при про- смотре объектов цепей (шины, цепи, вывода, линии, проводника или метки цепи). Эта связь отображается линией красного цвета, 167
Гпава 3. Разработка электрических принципиальных схем Рис. 3.59. Пример графа связности при -просмотре цепи в панели Navigator показывая все объекты цепи связанные цепью, выбранной в пане- ли Navigator для отображения. Если линия является сплошной, то это означает, что выводы имеют физическую связь, соответствен- но они могут иметь логическую связь, которая отображается то- чечной линией. Рисунок 3.60 показывает пример графа связности при просмот- ре компонентов. Графы связи компонентов отображаются зеленой Рис. 3.60. Пример графа связности при просмотре компонента в панели Navigator 168
3.11. Навигация в многолистовом проекте линией, показывающей все компоненты, непосредственно под- ключенные к компоненту, который выделен в панели Navigator. Инструментарий навигации также применим к многолистовым структурам. Навигация по шине или цепи будет использовать ор*- ции подсветки на всех листах, где они обнаружены. В том случае, если в текущем окне проекта активен один документ, эти связи на других листах просмотреть не просто; для этого удобно выбрать другой вариант расположения рабочих окон в проекте, например, в виде черепицы (Window>Tile). Для более подробной информации о панели Navigator, нажмите Fl, пока курсор находится на данной панели. В верхней части панели Navigator есть кнопка Interactive Navi- gation, нажатие на которой переключит вид курсора на прицель- ное перекрестье в активном документе схемы. Это предоставит пространственную альтернативу логического списка, представлен- ного на самой панели. Выберите цепь в схеме для выделения всех объектов цепи, выберите порт для мгновенного перехода на выход листа, к которому он подключен и т. д. Содержимое панели Navi- gator будет обновлено в соответствии с выбранными в данном ре- жиме объектами. Курсор останется в режиме навигации до нажа- тия правой клавиши мыши или нажатии клавиши ESC. Рекомендуется использовать команду at Up/Down Hierarchy, доступную в стандартной панели инструментов для навигации по иерархии проекта. При выборе входа символа листа, будет показан одноименный порт на подчиненный листе, выборе символа листа, будет показан подчиненный лист полностью. Для навигации вверх по такой иерархии, выберите порт, после чего будет показан ссы- лающийся на него выход листа на подчиненном листе. Иерархия может быть просмотрена двойным щелчком мыши, с зажатой Ctrl, на порте, входе в лист или символе листа. Так как проектирование платы является процессом существен- но зависимым от схемы (необходимо много перемещений назад и вперед по проекту от схемы к плате и наоборот), панель Navigator позволяет переключаться между документами схем и плат. Пред- положим, открыта плата и схема одного проекта, удерживая кла- вишу Alt выберем объект в панели Navigator — при этом будут ис- пользоваться одинаковые опции выделения над объектами схемы и соответствующими объектами платы. 169
Гпава 3. Разработка электрических принципиальных схем Можно заметить, что переключение с помощью панели Naviga- tor незначительно отличается от использования перекрестных команд такого же' назначения с помощью меню Tools (Cross Pro- be). Эти команды доступны как в редакторе схем, так и редакторе плат, в то время как инструментарий панели Navigator спроекти- рован изначально для схемной части проекта. 3.12. Создание дифференциальных пар и правил проектирования При разработке схемы инженер имеет возможность формиро- вать специальные знаки (которые не выводятся на печать), позво- ляющие задать дополнительную информацию, которые вытекают их схемотехнических особенностей реализации схемы. Эти знаки размещаются посредством подменю Place>Directives, и в первую очередь сюда можно отнести знаки, перечисленные в таблице 3.4. Таблица 3.4 Метки дополнительных параметров на схеме Г ““ 1 Пиктограмма Название команды Описание |~(T)Net Class} РСВ Layout Установка правил проектирования, размещается на цепи и шины -<Т)РСВ Rille Net Classes Формирование классов цепей, размешается на цепи Differential Pair Формирование дифференциальных пар, размешается на цепи Рассмотрим примеры использование дополнительных парамет- ров, перечисленных в таблице 3.4. Для формирования дифферен- циальной пары должны быть выполнены два условия: 1. Двум цепям необходимо присвоить одинаковые имена, отли- чающиеся лишь индексами _N — негативный сигнал, _Р — пози- тивный сигнал (см. рис. 3.61 — цепи DRV_N и DRV P); 2. На цепи, образующие дифференциальную пару необходимо разместить метку Place>Directives> Differential Pair. Для формирования классов цепей нужно также выполнить два условия, например, стоит задача, на схеме объединить все силовые цепи и землю в виде класса Power: 170
3.12. Создание дифференциальных пар и правил проектирования lo(trdy VC I/O (D4), LI 91 VO, VREF 3, LI 9L I/O, L20P_YY I/O,L20NYY I/O, L21P_YY I/O (D5), L21N_Yy .. О DRV N R4 165 Puc. 3.61. Создание дифференциальных пар 1. На цепи, образующие описанный класс устанавливаются метки Place>Directives> Net Classes; 2. При установке первой метки необходимо нажать клавишу Tab и в свойствах параметра указать названия будущего класса. При этом в появившемся окне (рис. 3.62), в списке имеется одна строка, под названием ClassName, и для формирования названия класса нужно зайти в свойства этой строки и в поле Value ввести название. Чтобы отличать добавленные метки на поле схемы, ре- 171
Гпава 3. Разработка электрических принципиальных схем Рис. 3.63. Создание правил проектирования комендуется в свойствах параметра в поле Name, добавить отли- чительный префикс (например, NetClass_Power, см. рис. 3.62). Немного сложнее обстоят дела с формированием правил про- ектирования. Например, чтобы создать правило, ограничивающее толщину группы цепей, сформированных в общую шину, необхо- димо после установки метки Place>Directives> РСВ Layout зайти в ее свойства и проделать действия, показанные на рисунке 3.63. После нажатия кнопки Edit Rule Values будет предложено вы- брать одно из правил проектирования, которые будут рассмотрен позднее (гл. 4.6). Стоит отметить, что все описанные метки имеют общую приро- ду, т. е. это один и тот же объект (Parameters), имеющий разные названия и свойства. Таким образом, при формировании с помо- щью меток классов цепей и дифференциальных пар можно внутри этих же меток формировать правила, которые будут относиться к созданным классам и дифференциальным парам. 3.13. Особенности импорта и экспорта схем Одно из наиболее крупных достижений разработчиков Altium Designer — это возможности программы по трансляции докумен- тов внешних систем. Так в Altium Designer можно открыть разра- 172
3.13. Особенности импорта и экспорта схем ботки схем, плат и библиотек, выполненные во всех смежных сис- темах проектирования плат. Для этого используется специальная команда Files>Import Wizard. Среди доступных для трансляции ти- пов файлов перечислены: Protel99, Allegro PCB, Cadstar, Circuit- Maker, DxDesigner, OrCAD, PADs и естественно P-CAD. Для со- хранения схемы доступно гораздо мене форматов. Чтобы сохра- нить схему, нужно выполнить команду File>Save Project As (Многие пользователи ошибочно пытаются найти эту возмож- ность в File>Save As...). Рассмотрим наиболее востребованные в отечественной практике возможности трансляции схемы в форма- ты DXF(DWG) и в P-CAD200X. 3.13.1. Импорт/Экспорт в формат AutoCAD Чтобы открыть в редакторе схем Altium Designer файл, ранее созданный в механической САПР и сохраненный в формате DXF(DWG), выполняется команда File>Import. На экране предла- гается выбрать файл в формате DXF(DWG), причем стоит по- мнить, не все версии этих файлов открываются в Altium Designer. Самая подходящая для этого версия DXF(DWG) — AutoCAD 2000. Стоит помнить, что в программе AutoCAD более старшей версии, всегда можно сохранить файл в формате ранних версий. Этот мо- мент стоит помнить при конвертации графики в Altium Designer и заранее подготавливать файлы. Если вы случайно будете импорти- ровать DXF(DWG) других версий, который не воспринимается в Altium, то будет выдано сообщение (рис. 3.64). Error (Х| DilpELETEDUOM-dwg' does not exist or к not a vaM AutoCAD fte. I 'x i Puc. 3.64. Ошибка при импорте из AutoCAD Если версия файла AutoCAD подходит для импорта, то на экра- не будет показано сообщение (рис. 3.65), в котором предлагается задать дополнительные настройки импорта. Здесь имеется возможность выбрать вариант импорта в виде компонента (Import as Component) или в виде примитивов (Import as Primitives). Далее указаны размеры линий разных толщин и в последнем окне задается коэффициент масштабирования. При 173
Гпава 3. Разработка электрических принципиальных схем Рис. 3.65. Настройки импорта из AutoCAD импорте графики в формате DXF(DWG), стоит помнить, что про- изводится импорт не самого рисунка, всего листа, т. е. если лист схемы меньше листа DXF, то первый будет увеличен до размеров последнего. Для сохранения схемы в формате AutoCAD, нужно выполнить команду File>Save As, после чего в списке предлагаемых вариан- тов сохранения выбрать Export AutoCAD Files. В результате на эк- ране появляется окно (рис. 3.66), в котором выбирается версия файла AutoCAD, формат сохранения (DXF или DWG) и единицы измерения. Рис. 3.66. Экспорт в AutoCAD 174
3.13. Особенности импорта и экспорта схем Опция Include Template — добавляет форматку в экспортируе- мый файл. После нажатия кнопки ОК появляется вопрос — к чему применить экспорт, и имеется три варианта ответа: • Just This Document — только к текущему документу; • All schematic documents in the current project — ко всем схе- мам текущего проекта; • All open schematic documents — ко всем открытым схемам. 3.13.2. Импорт/Экспорт схем из P-CAD200X Для того, чтобы открыть схему, ранее созданную в P-CAD2000-2006, выполняется команда File>Import Wizard и на втором шаге выбирается тип файлов Р-CAD, а на третьем указы- вается схема для импорта. То же самое окно появится при попыт- ке открыть схему, созданную Р-CAD, через меню File>Opcn. На- ходясь в режиме мастера (P-CAD Import Wizard) необходимо зада- вать запрашиваемую информацию и переходить к следующему шагу кнопкой Next. После выбора схемы нажимаем Next, и будет предложено выбрать библиотеки для импорта. Если библиотеки не требуются, нажимаем Next, и после недолгого анализа появля- ется окно, в котором спрашивается формат имен компонентов. Этот вопрос связан с тем, что в библиотеках Р-CAD было три типа объектов (компоненты, паттерны и символы), а в Altium то- лько два, и программе «не понятно» какие имена использовать для Footprint. Здесь рекомендуется оставить настройки по умолчанию, в этом случае Footprint будет иметь имя паттерна. После нажатия Next будет предложено задать настройки отчета об импорте, кото- рые можно проигнорировать, сняв все опции (кроме Error). На ZL-21 Рис. 3.67. Артефакты на схеме при импорте из P-CAD 175
Гпава 3. Разработка электрических принципиальных схем последующем шаге будет показана структура будущего проекта после чего выполняется импорт нажатием Next. При импорте документа из Р-CAD на схеме появляются неко- торые артефакты, которые влияют на удобочитаемость схемы и складывается впечатление, что импорт прошел не успешно. На са- мом деле это не так, все артефакты связаны с некорректной реа- лизацией проекта в Р-CAD, и быстро решается в Altium Designer. Наиболее частыми являются следующие проблемы: 1. Местами утолщенные линии цепей и графики символов; 2. Появление точек у позиционных обозначений и других тек- стов (см. рис. 3.67); 3. В более старых версиях вместо меток цепей появлялись пор- ты и могли быть отсоединены порты питания, которые в Р-CAD создавались как компоненты. Проблема №1 связана с тем, что в редакторе схем программы Р-CAD можно было задавать толщину линии в абсолютных еди- ницах, а в Altium имеется возможность лишь выбрать из четырех вариантов толщины. Поэтому, если в Р-CAD использовались тол- щины линий 0,2 и 0,254, то для Altium такие линии будут отлича- ться по толщине в два раза. Эта проблема может быть связана с цепями, которые были нарисованы линией по умолчанию (0,254) или с компонентами, которые в библиотеках рисовались разными линиями (неумышленно). Проблема решается редактированием цепей в схеме и компонентов в библиотеке, с помощью глобаль- ного редактирования (см. гл. 2.4 и гл. 3.9) и последующим обнов- лением компонентов из библиотеки (см. гл. 3.6). Проблема №2 — это точка, задающая привязку текста. В тех надписях, которые создаются в самой программе, эта точка по умолчанию скрыта, а в импортируемых документах она отобража- ется. Чтобы скрыть эту точку, нужно с помощью Find Similar Ob- ject выбрать все позиционные обозначения (Designator) и в панели Inspector включить опцию Autoposition. Аналогичная процедура повторяется для других надписей, причем стоит помнить, что это действие можно проделать сразу применительно ко всем надписям во всех открытых документах! Проблемы, связанные с использованием ранних версий Altium Designer (ранее версии 6.6) решаются только путем ручного редак- тирования схемы. 17«
3.14. Дополнительные возможности реаактора схем Для сохранения схемы в формате P-CAD2006 (сохранение в ранних версиях Р-CAD нс возможно!) выполняется команда File>Save Project As, которая сохраняет в Р-CAD не только вы- бранную схему, а все схемы проекта, с действующей иерархией. 3,14. Дополнительные возможности редактора схем В программе Altium Designer много вспомогательных возмож- ностей, которые не относятся к тому или иному из вышеописан- ных разделов, но могут быть полезны пользователям. В данной главе будут описаны те команды, которые, по мнению автора, мо- гут быть востребованы при работе со схемным редактором. Создание библиотеки по схеме Создание библиотеки компонентов или интегрированной биб- лиотеки по схеме. Для этого используются команды Dcsign>Sche- matic Library и Design>Integrated Library. Интеллектуальная вставка и вставка массивом (Smart Paste) Часто при работе с различными редакторами программы Altium Designer возникает необходимость в копировании одинаковых участков. При этом скопированный участок может быть вставлен в документ N-ное количество раз. Кроме этого можно воспользо- ваться интеллектуальной вставкой. Интеллектуальная вставка по- зволяет копировать в буфер обмена объекты одного типа, а при вставке из буфера поменять тип объектов на отличный от базово- го. Например, при реализации многолистовых проектов в буфер копируются выходы из листа верхнего уровня, а на лист нижнего уровня из буфера вставляются цепи с портами и метками! Для использования интеллектуальной вставки, после копирова- ния объекта в буфер обмена, выполняется команда Edit>Smart Paste, после чего на экране появляется одноименное окно (см. рис. 3.68). В центральном списке можно указать в виде чего объект будет вставлен из буфера, при этом обладая свойствами базового объек- та. Если необходимо из буфера получить тот объект, который в него был скопирован, то выбирается первый вариант из списка Themselves. 177
Г.пава 3. Разработка электрических принципиальных схем Рис. 3.68. Интеллектуальная вставка При необходимости вставки в виде массива (Paste Array), испо- льзуется левая колонка настроек окна Smart Past. Здесь задаются настройки, одинаковые для всех редакторов Altium Designer: • Columns — Count — количество копий по горизонтали — Spacing — шаг по горизонтали • Rows — аналогичные параметры по вертикали • Text Increment — приращение к нумерации выводов (компо- нентов, цепей и т. д.). При использовании вставки массивом стоит обратить внимание на две особенности: I. Если была выполнена операция копирования в буфер (Ctrl+C), а затем неудачная вставка этого объекта, то необходимо перед последующей вставкой снова копировать объект в буфер об- мена. Если этого не сделать, то нумерация объектов будет продол- жена не с того номера, который был скопирован в буфер, а с уче- том тех копий, попытка вставить которые была проделана. Т.е. при повторной вставке объекта из буфера нумерация начинается с последнего вставленного объекта; 178
3.14. Дополнительные возможности редактора схем 2. При вставке массива в различных редакторах программы, по-разному учитывается копируемый объект. Так, в библиотеке символов при копировании вывода №1, и вставке восьми копий, будут добавлены выводы №2-9. В редакторе схем, при копирова- нии цепи А1, и вставки восьми копий, будут добавлены цепи А1-А8! При этом если наложить вставляемый массив таким обра- зом, что цепи А1 будут совмещены, старая цепь будет удалена. Обрезка проводника (Break Wire) Используйте команду Edit>Break Wire для разделения сегмента проводника на две части. Эта команда доступна также из выпада- ющего меню при нажатии ПК, когда курсор находится на провод- нике. 1..-J г...i На месте разрыва проводника появляется отображение прямо- угольника выреза. Вырезаемая секция маскируется как показано ниже. Длина выреза — это пространство между двумя сегментами. Нажмите клавишу пробела для циклического обхода трех режимов задания длины выреза (вырез сегмента, вырез нескольких элемен- тов сетки или вырез фиксированной длины). Нажмите TAB для установки фиксированной длины выреза или любую другую оп- цию выреза. Нажатие ЛК заканчивает разрыв проводника. Нажмите ПК или клавишу ESC для выхода из режима разрыва. Опции разрыва проводника также можно установить на странице Schematic>Break Wire диалога DXP>Preferences. Редактирование нескольких проводников (Multitrace) В редакторе схем поддерживается режим редактирования не- скольких проводников одновременно. Если несколько параллель- ных проводников имеют одинаковую координату окончаний, то можно, выделив все эти проводники, нажать ЛК и перетаскивать для перемещения конец одной вершины, а концы вершин всех Других выбранных проводников также будут перемещаться, сохра- няя выравнивание. Перетащите и отпустите одну вершину и все выровненные вер- шины будут сдвинуты для сохранения выравнивания. 179
Гпава 3. Разработка электрических принципиальных схем 3.15. Получение выходной документации Результатом разработки электрической принципиальной схемы, в российских условия, должны быть три пункта: I. Информация для разработки печатной платы; 2. Чертеж схемы (ЭЗ); 3. Перечень элементов (ПЭЗ) и спецификация*. Информация для платы уже заложена в самой схеме, и будет пе- редана в файл платы на одном из первых этапов проектирования платы. Два других документа можно, с некоторыми исключения- ми, получить в редакторе схем Altium Designer. Спецификация не относится к схеме, и создается для сборки платы, но в Altium De- signer этот документ формируется из редактора схем! Его недоста- ток состоит в том, что из Altium нельзя добавить в спецификацию механические детали, крепеж и другие подобные элементы. 3.15.1. Отчет Bill Of Materials — заготовка для перечня и спецификации Для получения информации об используемых компонентах (BOM — Bill of Materials) выполняется команда Reports>Bill of Materials, после чего появляется окно, показанное на рисунке 3.69. 180
3.15. Получение выходной документации Рис. 3.69. Создание отчета Bill of Materials Для использования данной возможности, требуется иметь пра- вильно оформленные библиотеки компонентов. У каждого компо- нента должна быть введена информация, описывающая все его свойства. На каждом предприятии свои рекомендации по оформ- лению параметров компонентов, но в целом есть довольно строгие рекомендации, которые не входят в рамки данной книги. В поя- вившемся окне нужно выбрать необходимые для отчета параметры в списки All Columns, и выбрать параметр для группировки, пере- местив его в список Grouped Columns. В отличие от программы Р-CAD, в которой данный отчет фор- мируется только в виде текстового файла, здесь имеется выбрать один из нескольких форматов (*.csv, *.xls, *.pdf, *.txt, *.html). При выборе сохранения отчета в виде таблицы Excel (*.xls) имеется возможность подключить шаблон. В программе Altium Designer имеется набор общеевропейских шаблонов, и по аналогии можно сформировать шаблон по отечественным стандартам. Для сохра- нения отчета необходимо нажать кнопку Export, и если была включена опция Open Exported, то после формирования файл от- чета будет открыт для просмотра. 181
Г.пава 3. Разработка электрических принципиальных схем 3.15.2. Печать схемы Для печати документации в Altium удобно создавать специаль- ный файл настроек печати, в котором хранится информация, о том какие документы должны выводиться на печать, выбор при- нтера, формата и т. д. Создадим настройки печати командой File>New>Output Job File. Созданный файл представляет собой таблицу (рис. 3.70), в которой строки представляют каждый отдельный выходной файл, для которого можно выбрать результат вывода (принтер, pdf и т. д. — справа). Рис. 3.70. Настройки вывода на печать По столбцам таблицы задается следующая информация: I. Name — название выходного документа; 2. Data Source — исходный файл, для которого выводится доку- мент; 3. Output Description — описание; 4. Variant — вариант документа (о вариантах см. документацию); 5. Enabled — вывод на печать. Для добавления нового чертежа, нужно нажать на строку «Add New Documentation Output», после чего из контекстного меню вы- брать нужный тип чертежа. Если проект содержит только схемы, то соответственно для выбора будет только печать чертежа схемы. Если необходимо не выводить на печать некоторые объекты схе- мы, то нужно задать дополнительные настройки, для чего нужно зайти в свойства настройки печати. 182
Глава 4 Разработка печатных плат Редактор печатных плат Altium Designer является самым важ- ным из редакторов, ведь вся работа в других редакторах ведется ради одной конечной цели — файлов для производства платы! Ес- тественно в российских условиях кроме технологических файлов необходимо получить полный комплект конструкторской доку- ментации. 4.1. Создание файла платы Начнем работу с редактором плат с формирования нового фай- ла платы. Предполагается, что на предыдущих этапах была создана и успешно откомпилирована принци- пиальная схема, и необходимо в теку- щий проект добавить новый файл пла- ты, для последующей передачи на него информации из схемы. Создания ново- го файла платы может быть выполнено двумя способами, во-первых, с помо- щью мастера, во-вторых, вручную. Рас- смотрим создание платы с помощью каждого из указанных способов. Для начала создадим плату для дан- ного проекта с помощью мастера пе- чатных плат, для чего выберем коман- ду PCB Board Wizard в панели File (рис. 4.1). Появившийся мастер PCB Board Wizard по этапам запрашивает информацию о печатной плате, которая потом выразится в виде конструктивных параметров и правил проектирования. Для про- должения в появившемся окне нажмем кнопку Next. В следующем окне будет предложено выбрать систему единиц измерения, в на- шем случае это метрическая система мер. Нажмем кнопку Next. Далее будет предложен список существующих шаблонов стандарт- ных промышленных печатных плат, но так как у нас нет шаблона, Рис. 4.1. Запуск мастера создания печатных плат 183
Гпава 4. Разработка печатных плат РСВ Board Wizard Choose Board Details Choose Board Detafe 0.3 mm dimension Layer [Mechanical Layer 1 В oundary I rack Width Outline Shape: 0 Rectangular О Circular О Custom Board Size: Width 127.0 mm Height 101.6 mm D imenston Line Width 0.3 mm Keep Out Distance 1.3 mm From В card Edge 0 Title Block and Scale 0 Legend String 0 Dimension Lines 0 Corner CUtolf Q Inner CutOff [ Cancel j [ <Вэск Ц tM> 1 Eiyh Puc. 4.2. Мастер создания печатных плат выбираем Custom (пустой бланк). При этом привилось окно, в ко- тором нужно задать форму и размеры будущей платы (рис. 4.2). В правой части данного окна задается толщина линий прорисовки границы платы (Boundary Track Width) и размеров (Dimension Line Width), а также отступ от края платы (Keepout Distance From Board Edge). Следующее окно предложит выбрать число сигнальных слоев, а также внутренних слоев питания и заземления. Наша плата будет иметь только два сигнальных слоя, поэтому в поле Signal Layers следует ввести число 2, а в поле Power Planes — число 0. Для про- должения нажмем кнопку Next. Далее следует определить тип пе- реходных отверстий. Так как мы проектируем простую двухсто- роннюю плату, то выберем тип Thru-hole Vias (сквозные переход- ные отверстия). Заметим, что система Altium Designer позволяет использовать на многослойных платах слепые и глухие переход- ные отверстия, в том числе и по технологии Microvia. Для исполь- зования слепых и глухих переходов в текущем окне следует вы- брать опцию Blind and Burier Vias Only. В следующем окне необходимо выбрать преобладающую техно- логию монтажа компонентов (поверхностный или монтаж в отвер- 184
4.1. Создание файла платы Рис. 4.3. Мастер создания печатных плат (русифицированный интерфейс) стия). При выборе опции Thru-hole components (преобладает мон- таж в отверстия) ниже указывается допустимое число проводников между смежными контактными площадками. Если была выбрана опция Surface-mount components (преобладает поверхностный монтаж), ниже указывается разрешено или нет двустороннее раз- мещение SMD-компонентов. На следующем шаге от нас требуется задать минимально допустимые размеры объектов на печатной плате, которые будут преобразованы мастером в правила проекти- рования (рис. 4.3). Здесь задаются минимально допустимые: ширина проводника (Track Size), диаметр площадки переходного отверстия (Via Width), Диаметр переходного отверстия (Via Hole Size) и зазор между про- водниками (Clearance). Для простоты, оставим эти значения за- данными по умолчанию. Нажмем кнопку Next. Последнее диалоговое окно сообщает, что создание заготовки платы завершено. Если необходимо внести какие-либо корректи- вы в заданные значения, то с помощью кнопки Back можно вер- нуться в нужное окно. В противном случае нажмем кнопку Finish. После описанных действий откроется файл платы созданного Шаблона с базовым количеством настроек. Описанный мастер соз- 185
Глава 4. Разработка печатных плат дания печатных плат удобен для быстрого создания плат имеющих не сложный контур, а также для стандартизованных плат, которые ранее были созданы в виде заготовок и сохранены в папку Templates. Наиболее часто создание нового файла выполняется вручную, для этого используется команда File>New>PCB. Новый файл до- бавляется структуру открытого проекта, и его следует сохранить, для чего нажимаем на названии вновь созданного файла в панели Projects и выполняем команду Save. Если при создании нового файла платы не был открыт ни один из ранее созданных проектов, то после сохранения файлы нужно открыть проект и перетащить плату в структуру проекта с помощью стандартного действия drag and drop. 4.2. Настройка редактора плат Если файл платы был создан вручную, то в строке состояния текущие единицы измерения будут показаны милы, т. е. прежде чем приступить к разработке платы, нужно задать пользователь- ские настройки. В редакторе печатных плат все настройки можно разделить на три части: 1. Локальные настройки текущего документа. 2. Глобальные настройки редактора. 3. Настройки отображения. Локальные настройки были описаны ранее в главе 2.3.1, при описании настроек редактора библиотек посадочных мест, поэто- му здесь будут описаны только оставшиеся настройки. 4.2.1. Глобальные настройки редактора плат Глобальные настройки задаются в окне DXP>Preferences>PCB Editor. Рассмотрим данные настройки по группам. General Группа настроек Editing Options. Online DRC — эта опция про- веряет правила проектирования в режиме реального времени и ав- томатически указывает появившиеся ошибки. Набор правил, про- веряемых в оперативном режиме, задастся на вкладке On-line диа- логового окна Design Rules Check, вызываемого командой Tools> Design Rules Check (см. гл. 4.6.). 186
4.2. Настройка редактора плат Snap То Center — при включении данной опции при выделении и перемещении по чертежу какого-либо объекта (например, кон- тактной площадки или переходного отверстия) указатель мыши захватывает центр площадки или переходного отверстия. При пе- ремещении сегмента проводника указатель мыши захватывает ближайший его конец. При выключенной опции объект будет удерживаться в текущем положении курсора. Double Click Run Inspector — данная опция позволяет запускать панель Inspector двойным щелчком левой кнопки на выбранном компоненте, в противном случае это действие открывает окно свойств объекта. Remove Duplicates — включение этой опции инициирует в мо- мент формирования выходных файлов выполнение дополнитель- ной специальной процедуры, проверяющей наличие дублирован- ных примитивов, после чего они будут удалены с чертежа. Confirm Global Edit — эта опция предписывает системе при вы- полнении операции глобального редактирования выводить диало- говое окно, сообщающее о количестве изменяемых объектов и дающее возможность отменить действие в случае возникновения ошибки. Protect Locked Objects — при включении этой опции заблоки- рованные объекты не могут быть перемещены. Данная опция иг- норируется при перемещении группы объектов, некоторые из ко- торых заблокированы. Click Clears Selektion — при включении данной опции нажатие левой кнопки на любом месте снимает выделение с выделенного объекта. Shift Click То Select — данная опция предлагает выделять объ- екты только с нажатием клавиши Shift, причем можно указать тип объектов, которые будут выделяться только при нажатой клавише Shift. Smart Track End. Данная опция включает привязку линии связи к концу проложенного проводника (при незавершенной трасси- ровке), в противном случае линия связи будет проложена по крат- чайшему пути. Группа Autopan Options (автоматическое панорамирование). Style — опция управляет перемещением просматриваемой об- ласти чертежа вслед за указателем мыши, когда он имеет вид пере- крестия, т. е. находится в режиме выполнения команды. В выпа- дающем списке имеется шесть опций: 187
Гпава 4. Разработка печатных плат Re Center — перемешает центр экрана в место касания курсо- ром края окна. При этом позиция курсора на плате сохраняется. Fixed Size Jump — перемещает просматриваемую область на расстояние, указанное в поле Step Size. При удержании клавиши SHIFT размер шага определяется полем Shift Step Size Shift Accelerate — перемещает просматриваемую область на рас- стояние, указанное в поле Step Size. При удержании клавиши SHIFT размер шага плавно изменяется до максимального значе- ния, определяемого полем Shift Step Size. Shift Decelerate — перемещает просматриваемую область на расстояние, указанное в поле Shift Step Size. При удержании кла- виши SHIFT размер шага плавно изменяется до значения, опреде- ляемого полем Step Size. Ballistic — скорость перемещения просматриваемой области оп- ределяется расстоянием курсора до границы окна редактора при его перемещении за этой границей. Adaptive — скорость перемещения просматриваемой области задается в мил/сек или в пиксел/сек. Step Size. Определяет расстояние, на которое должна перемес- титься просматриваемая область при касании курсором края окна редактора. Вводимое значение отражается в текущих единицах из- мерения. Shift Step Size. Определяет расстояние, на*которое должна пере- меститься просматриваемая область при касании курсором края окна и удержании клавиши SHIFT. Вводимое, значение отражается в текущих единицах измерения. Кроме рассмотренных групп настроек на вкладке General име- ется еще несколько полезных опций. Так в группе Other можно задать следующие параметры: • Undo/Redo — количество команд хранящихся в памяти, до- ступные для отката. При работе с полигонами не рекоменду- ется ставить большое значение; • Rotation Step — угол поворота при нажатии комбинации Shift+R; • Cursor Style — стиль курсора во время выполнения команд; • Comp Drag — режим перемещения компонента (Edit>Mo- ve>Component), с трассами или с обрывом цепей. Настройка Polygin Repour определяет параметры автоматиче- ской перезаливки полигонов; Metric Display Precision — точность отображения координат и размеров; 188
4.2. Настройка редактора плат Past from other application — определяет вид текста вставленно- го из внешних приложений, при выборе параметра Text, вставка напрямую из Microsoft Word будет создавать новое текстовое поле. Display На данной вкладке перечислены команды, позволяющие задать настройки отображения объектов в разных режимах (рис. 4.4). Рис. 4.4. Вкладка Display — настройки отображения и подсветки Группа DirectX Options задает параметры поддержки возможно- стей DirectX, что позволяет значительно увеличить скорость обра- ботки многослойных плат и делает доступным работу в трехмер- ном режиме. Группа Highlight Options задает параметры подсветки примити- вов в режиме фильтрации и трассировки. Здесь наиболее дейст- венными опциями, которые следует включить (по мнению авто- ра), являются следующие: 189
Гпава 4. Разработка печатных плат • Highlight in Full — при включении данной опции выделен- ные объекты целиком подсвечиваются установленным цве- том выделения. В противном случае у выделенного объекта подсвечиваются только контуры; • Apply Mask During Interactive Editing — применение маски в режиме редактирования и трассировки; • Apply Highlight During Interactive Editing — применение под- светки в режиме редактирования и трассировки; Параметры маски и подсветки задаются в отдельном окне, которое вы- зывается кнопкой Mask Level в левом нижнем углу редактора (рекомендации будут даны ниже). Кроме этого здесь задаются конфигурации просмотра платы и библиотеки посадочных мест в 2D- и ЗО-режимах (Default PCB (PCB Library) View Configurations). В группе 3D Bodies включается просмотр трехмерных моделей, Simple 3D Bodies — модель в виде вытянутого контура, Step Model — модель в формате STEP. Кнопка Layer Drawing Order — позволяет задать порядок про- рисовки слоев в обычном режиме работы с платой. Кнопка в виде гиперссылки Jump to Active View Configuration — позволяет более подробно задать настройки отображения, и отсы- лает к отдельному окну настроек, которое удобнее вызывать непо- средственно из редактора. Эти настройки ранее были отнесена к третьей группе настроек, они будут рассмотрены ниже. Board Insight Display Дополнительные настройки отображения, среди которых такие как опции подписи переходных отверстий и контактных площа- док, цвет этих надписей и т. д. Менять эти настройки не стоит, их значения по умолчание достаточны. Board Insight Modes Здесь задаются параметры информационного окна, которое по умолчанию пристыковано к левому верхнему углу редактора плат. Здесь может отображаться большой набор всевозможной информа- ции, который в настройках по умолчанию весьма избыточен. Ин- формационное окно делится на две части, некоторая информация отображается в окне постоянно, а некоторая только после останов- ки курсора над объектом (цепь, компонент, нарушение и т. д.). Оп- 190
4.2. Настройка редактора плат Рис. 4.5. Вкладка Board Insight Modes — настройки всплывающей информации ция Display Heads Up Information — включает информационное окно (в режиме работы это делается нажатием Shift+H). В таблице ниже перечислены наборы информационных строк, которые могут отображаться в информационном окне, а столбцы Heads Up и Hover, определяют какие строки будут постоянно отображаться на экране, а какие будут появляться при остановке курсора. Рекомен- дуется установить настройки, как показано на рисунке 4.5, в этом случае, при движении курсора в окне показаны: координата курсо- ра, приращение курсора и шаг сетки, а после остановки будут по- казаны дополнительно: описание о нарушении, цепи и компонен- те, на котором остановлен курсор. Дополнительные настройки ин- туитивно понятны. Board Insight Lens Настройки параметров линзы, которая привязана к верхнему левому углу редактора и показывает в увеличенном виде фрагмент платы под курсором. 191
Г.пава 4. Разработка печатных плат Interactive Routing Настройки интерактивной трассировки. Здесь группе Routine Conflict Resolution задастся режим огибания конфликтных препят- ствий при интерактивной трассировки, используются следующие технологии: 1. None (Ignore) — игнорировать конфликты, в этом случае не учитываются правила проектирования, 2. Push Conflicting Object — позволяющая расталкивать уже су- ществующие трассы и переходные отверстия, 3. Walkaround Conflicting Object — располагает трассы макси- мально близко к существующим, 4. Hug and Push — уплотняет существующую топологию вновь прокладываемой дорожкой. В группе Dragging определяется режим редактирования тополо- гии. Interactive Routing Options — дополнительные настройки трас- сировки. Resist to 90/45. Включение данной опции ограничивает возмож- ности прокладки проводников только под углом кратным 45 гра- дусов (т. е. исключаются режимы произвольного угла и режим ду- гообразного проводника). Automatically Remove Loops. При включении этойюпции систе- ма автоматически удаляет с чертежа платы замкнутые и продубли- рованные петли проводников, оставляя минимальный. В группе Interactive Routing Width/Via... (рис. 4.6) указывается, какое значение использовать для толщины проводника и диаметра переходного отверстия при трассировке. / Intetactive Routing Width / Via Size Sources - > 0 Pickup T tack Width From E xisting Routes Track Width Mode ! Via Size Mode | Rule Preferred i lie Preferred Favorite I nteractive R ouhng Widths j Favorite Interactive Routing Via Sizes Рис. 4.6. Параметры толщины трассы и переходного отверстия В обоих случаях имеется возможность указать значения: • User Choice — задается пользователем, т. е. каждый раз пе- ред трассировкой дорожки нужно контролировать эти пара- метры, что совсем не удобно; 192
4.2. Настройка редактора плат • Rule Minimum — минимальное значение из правил; • Rule Preferred — рекомендуемое значение из правил; • Rule Maximum — максимальное значение из правил. Здесь очевидно использование варианта Rule Preferred. Ниже имеются кнопки Favorite Interactive..., по которым можно задать ряд типономиналов толщин дорожек и список переходных отвер- стий, которые можно будет переключать во время трассировке внутри диапазона, указанного для каждой конкретной цепи. True Types Font Данная настройка позволяет заменять не распознанные объем- ные шрифты, на шрифт указанный в окне Substitution Font. Дан- ная опция удобна при работе с платами, импортированными из других систем проектирования или от других разработчиков. Reports Здесь указывается, в каком формате будут сформированы все- возможные файлы отчетов, а также указывается, какие отчеты нужно показывать на экране сразу после формирования. Рекомен- дуется в столбце Show снять все галки, а в столбце Generate поста- вить галки только напротив отчетов в формате *.txt. Не описанные группы опций Mouse Wheel Configuration и Defaults аналогичны таким же опциям в редакторе схем, Layers Colors — предлагает выбрать одну из стандартных цветовых гамм, a Models — указать папки с моделями STEP. 4.2.2. Настройки отображения Настройки отображения задаются в окне View Configuration (рис. 4.7), которое вызывается нажатием клавиши L в редакторе плат. Здесь, слева представлены доступны конфигурации отобра- жения для 2D и 3D режимов, для каждого из которых можно уста- новить свои настройки (Использование режима определяется на- стройкой DXP>Pref>Display, см. рис. 4.4). При выборе настроек обычного (двухмерного) режима, на- стройки разбиты на три вкладки. На вкладке Board Layers and Colors, которая открывается по умолчанию, можно изменять цвета для слоев, а так же включать и выключать видимость слоев, для чего используются кнопки в виде гиперссылок (см. рис. 4.7). Поля, расположенные на вкладке Show/Hide (просмотр объек- тов) устанавливают режим отображения для различных типов объ- 7 зак. 32 193
Гпава 4. Разработка печатных плат View Configurations Рис. 4.7. Настройки отображения слоев 194
4.2. Настройка редактора плат ектов на чертеже печатной платы. Пользователь может установить один из трех режимов: Final, в котором любой объект отображает- ся непрозрачным; Draft, в котором отображаются только контуры объектов; и Hidden, когда объект не прорисовывается вообще. Ре- жим отображения можно установить для каждого типа объекта в отдельности или для всех объектов сразу одновременно с помо- щью набора кнопок АН. Опции, расположенные на вкладке View Options управляют ре- жимом отображения номеров и имен цепей контактных площа- док, имен цепей переходных отверстий, контрольных точек, мар- кера начала координат и строки состояния. Здесь же включается параметр Convert Special String — конвертация специальных тек- стовых строк, выбирается вид однослойного режима и включается отображение слоев защитной маски. При выборе настроек отображения просмотра 3D режима, име- ется возможность указать цвет различных элементов платы, и дру- гих, менее значимых объектов. 4.2.3. Управление слоями Как и во всех аналогичных САПР в редакторе плат Altium Designer работа. ведется по слоям. Для управления отображения слоев используется окно, показанное на рисунке 4.7, которое вы- зывается командой главного меню Design>Board Layers&Colors. Все слое в данном окне разбиты на группы по функционально- му назначению: • Signal Layers — сигнальные слои, предназначены для форми- рования рисунка топологии печатной платы. Всего проект многослойной печатной платы может содержать до 32-х сиг- нальных слоев; • Internal Layers — экранные слои, предназначены для выпол- нения проводников в виде металлизированных полигонов (земли и питания). Отображение информации на экранных слоях инверсное. Всего на плате может быть задействовано до 16 слоев питания и земли. • Mechanical Layers — механические слои общего назначения для размещения на них элементов сборки, обозначений раз- меров, контура печатной платы, форматки чертежа и др (все- го 16 слоев). Состав и свойства механических слоев, исполь- зуемых в проекте, настраиваются в диалоге. Перед тем, как 7* 195
Гпава 4. Разработка печатных плат использовать механический слой, его нужно активировать. Для этого следует выполнить действия: 1. Отключить активность опции Only show enabled mechanical layers (Показывать только активные механические слои); 2. В поле Enable установить активность необходимого числа ме- ханических слоев; 3. Активировать опцию Only show enabled mechanical layers: при этом в диалоговом окне, остается видимым список только активи- зированных слоев. Видимость механического слоя (а так же и всех других слоев) назначается/отключается в поле Show. При активированной оп- ции Linked to Sheet объекты, размещенные на механическом слое, подключаются к листу проекта и могут делаться видимыми или невидимыми при управлении видимостью листа проекта. Кроме указанных слоев, которые в программе Р-CAD именова- лись Signal, Plane и Non-Signal соответственно, в отдельные груп- пы выделены следующие слои: • Mask Layers — слои паяльных паст и защитных масок. Тор Solder и Bottom Solder —• слои защитных масок на верхней и нижней сторонах платы; Top Paste и Bottom Paste слои тра- фаретов для нанесения припойной пасты на верхнюю и нижнюю сторону платы; • Silkscreen Layers — слои шелкографии. Top Overlay и Bottom Overlay верхний и нижний слои шелкографии (маркировки и обозначения контуров компонентов); • Other Layers — дополнительные слои, информация с которых может быть использована при производстве и требует особых ограничений, что требует отличения этих слоев от других групп: Drill Guide — слой центров отверстий; Keep-Out Layer — слой для размещения ограничительных кон- туров трассировки (зоны запрета); Drill Drawing — слой сверловки; Multi-Layer — слой для размещения контактных площадок и переходных отверстий многослойных печатных плат. Кроме управления свойствами слоев, в диалоговом окне, рис. 4.7, представлены функции управления цветом и видимостью целого ряда объектов, не принадлежащих определенным слоям, но являющихся принадлежностью проекта: сеток (Visible Grid 1 и 196
4.2. Настройка редактора плат Visible Grid 2), линий электрической связи (Connections), марке- ров ошибок проверки (DRC Error Marker), отверстий контактных плошалок (Pad Holes), отверстий переходных отверстий (Via Holes) и др. Под каждой группой слоев имеются кнопки управления види- мостью слоями, в виде гиперссылок: • All On — включить все слои группы; • АП Off — выключить все слои группы; • Used On — включить только те слои группы на которых со- держится информация. В нижней части окна редактора печатных плат можно наблю- дать несколько вкладок, соответствующих определенным в проек- те слоям (рис. 4.8). Рис. 4.8. Вкладки переключения слоев Чтобы включить активность слоя нужно выбрать его нажатием левой клавишей мыши из закладок. Болес удобное переключение выполняется нажатием комбинации Ctrl+Shift+Scroll, где при ка- ждом прокручивании колеса мыши (Scroll) будет переключаться один слой. При нажатии правой клавиши на вкладке слоя появляется диа- логовое окно (см. рис. 4.8.), в котором представлены все доступ- ные команды работы со слоями: • Hide — скрыть активный слой; • Highlight — подсветить активный слой; 197
Гпава 4. Разработка печатных плат Рис. 4.9. Создание группы слоев • Hide Layers — список всех отображенных слоев платы, из ко- торого можно выбрать слой, который необходимо скрыть; • Show Layers — список всех скрытых слоев платы, из которо- го можно выбрать слой, который необходимо отобразить; • Layer Sets — группы слоев; • Layer Stack Manager — добавление, удаление и управление порядком расположения слоев; • Configure Drill Pairs — настройки пар слоев для сверловки; • Configure Mechanical Pairs — настройки пар механических слоев; • Layer Colors — управление отображением слоев; • Layer Drawing Order — порядок прорисовки слоев; • Layer Display Options — режим отображения слоев Transpa- rent (полупрозрачный) или Single Layer Mode (режим одного слоя); • Layer Tabs Options — настройки вкладок переключения слоев; • Use Short (Medium, Long) Layer Names — настройки назва- ния слоя на закладке; • Flipped — поворот платы на 180 градусов. Позволяет коррек- тировать надписи на нижнем слое платы. Во время трассировки платы возникает необходимость вклю- чить отображения одного или нескольких слоев. Для быстрого пе- реключения в однослойный режим используется комбинация Shift+S, после чего на экране будет отображен только активный слой, а остальные, в зависимости от настроек будут либо полупро- зрачные, либо показаны серым цветом. Переключение между слоями в однослойном режиме можно выполнить только комби- нацией Ctrl+Shift+Scroll. Иногда удобно включить два, три или более слоев, функцио- нально связаны между собой. Например, для доработки платы удобно видеть только слои, относящиеся к верхней части или только к нижней по отдельно- сти. Для этого слои можно группировать друг с другом и включать видимость группы слоев. Чтобы создать группу слоев, исполь- зуется кнопка, расположенная слева от вкладок (см. рис. 4.9), на которой напи- сано «LS». В выпадающем списке пред- 198
4.3. Разработка конструктивных параметров печатной платы Рис. 4.10. Добавление слоев в группу лагается выбрать одну из созданных групп слоев или создать новую группу (Board Layer Sets). При создании новой группы, появляется окно, показанное на рисунке 4.10, работа с которым интуитив- но-понятна. Здесь нужно добавить новую группу в левом списке, и в правом указать слои, которые относятся к данной группе. Теперь в списке на рисунке 4.9, появится новая группа, выбрав которую на экране будут показаны только слои этой группы. 4.3. Разработка конструктивных параметров печатной платы Итак, после того, как рабочая область редактора плат имеет конкретные настройки пользователя, и метрическую систему ко- ординат, можно приступить к разработке печатной платы. После создания схемы была выполнена компиляция, и теперь проект го- тов к разработке печатной платы, которую условно можно разде- лить на несколько самостоятельных этапов: разработка конструк- тива платы, создание правил проектирования, размещение компо- нентов, трассировка, проверка правил проектирования (DRC), отладка и подготовка технологических файлов для производства. В данной главе будут рассмотрены все вопросы, касающиеся пер- вого этапа разработки платы, а именно, оформления конструктив- ных параметров. 199
Гпава 4. Разработка печатных плат Под разработкой конструктивных параметров подразумевается этап разработки печатной платы от формирования файла платы до размещения компонентов, состоящий из четырех шагов: форми- рования контура печатной платы, описания стека слоев, установ- ки крепежных отверстий и определения запрещенных зон для трассировки. Предполагается, что файл платы уже создан — при- ступим к формированию контура платы. 4.3.1. Импорт контура платы в форматах DWG и STEP Создание платы начинается с формирования ее контура, и дан- ная процедура может быть выполнена несколькими действиями. Для формирования контура платы используется группа команд меню Design>Board Shape, из которых наиболее востребованными являются: 1. Redefine Board Shape — Рисование контура платы вручную 2. Define from Selected Objects — Формирование контура платы из выделенных объектов 3. Define from 3D Body — Формирование контура из трехмер- ной модели (работает только в трехмерном режиме) 4. Define Board Cutout — Формирование выреза в плате. Рассмотрим подробно каждый из этих инструментов на приме- ре. Итак, для создания контура платы вручную/выполним коман- ду Design>Board Shape>Redefine Board Shape, после чего курсор мыши переходит в режим рисования, сходный с инструментом Place Line. Теперь фиксируя левой кнопкой мыши (ЛК) углы кон- тура платы можно нарисовать граничный рис., при этом комбина- цией клавиш Shift+Space можно переключать режимы ортогональ- ности для использования дуг и острых углов. При использовании дуги ее радиус меняется комбинациями клавиш Shift+».»(TO4Ka) и 5ЫЛ+»,»(запятая). Стоит отметить, что данный инструмент позво- ляет создавать только примитивные по форме контура. В режиме рисования бывает сложно позиционировать курсор в необходимую точку, поэтому координаты углов во время рисования контура можно вводить с клавиатуры. При активной команде следует на- жать клавишу J, затем клавишу L, после чего на экране появится окно Jump То Location, в котором предлагается ввести координа- ты. Описанная команда перемещает курсор в указанную точку, а для фиксации точки контура нужно после каждого ввода коорди- нат нажимать клавишу Enter. Например, чтобы создать контур платы в виде прямоугольника 32,5 х 46 мм, следует выполнить ко- 200
4.3. Разработка конструктивных параметров печатной платы манду Design>Board Shape>Redefine Board Shape, а затем, не тро- гая мышку, вводить последовательно координаты следующим об- разом: J > L > {100,100} > Enter > J > L > {100,132,5} > Enter > J > L > {146,132,5} > > Enter > J > L > {140,100} > Enter > и закончить формирование контура нажатием ПК. Сразу бросается в глаза излишняя усложненность данного ме- тода, но стоит отметить, что данный инструмент практически не используется. В большинстве случаев контур платы изначально создается в механической САПР, а потом импортируется в форма- те DXF или STEP. Рассмотрим оба эти варианта. Для создания контура платы воспользуемся заготовкой, заранее созданной в программе AutoCAD и сохраненной в формате DWG(DXF). Для использования файла в формате DWG(DXF), находясь в редакторе печатных плат, выполним команду File>Import. В строке Тип файлов следует указать AutoCAD, после чего выбрать исходный файл с будущим контуром платы. На экране появится окно, показанное на рисунке 4.11, в кото- ром нужно обязательно задать единицы измерения в группе Scale Import from AutoCAD [Т|[Х| Рис. 4.11. Импорт формата AutoCAD (DXF, DWU) 201
Гпава 4. Разработка печатных плат (по умолчанию установлены mil — в этом случае контур будет уменьшен в 2,54 раза). Остальные настройки не столь обязатель- ны, но рекомендуется указать расположение начала координат вставляемого рисунка в окне Locate AutoCAD и выбрать слои для импорта. При выборе слоев следует указывать на какой слой Altium Designer будет передана импортируемая информация. Для контура платы обычно используется графический слой Mechani- cal 1, поэтому именно он был выбран напротив исходного слоя Layer, при этом для слоя 0 — выбрана настройка Not Imported (Не импортировать). После установки всех опций в соответствии с рисунком 4.11, нажимаем кнопку ОК, и в рабочей области редак- тора появляется импортированный контур. Теперь программе нужно указать, что этот контур является границами платы. Для этого выделяем весь импортированный контур и выполняем ко- манду Design>Board Shape>Deflne from Selected Objects, после чего область внутри контура становится черной, а снаружи серой, что свидетельствует о корректном создании платы. Создание контура платы посредством импорта сложного конту- ра из механических САПР в формате DXF (DWG) является наи- более удобным, но не обладает возможностью взаимообратного редактирования. Поясним, о чем идет речь. В последней версии программы имеется возможность в качестве платы использовать внешнюю модель в формате STEP, при этом если в исходной про- грамме меняется контур платы или изменяются отверстия, то из- менения автоматически сохраняются в начальную модель STEP и переносятся в Altium Designer. Кроме этого у такого приема есть еще один недостаток, можно сформировать лишь контур платы без выреза, т. е. если имеется вырез сложной формы, то его созда- ние придется выполнять средствами Altium Designer, что не со- всем удобно. Рассмотрим процедуру создания ссылки на модель STEP в ка- честве контура платы на конкретном примере. В качестве заготов- ки воспользуемся моделью плата.STEP, из папки Example. Прежде чем приступить к подключению данной модели, вы- полним некоторые подготовительные действия. Во-первых, следу- ет сделать «откат» (CTRL+Z) последних действий, чтобы убрать ранее созданный контур платы. Во-вторых, для использования возможности задавать ссылки на модели STEP надо в настройках заранее указать расположение папки с моделями, для чего откро- ем окно DXP>Preferences>PCB Editor>Models. В появившемся 202
4.3. Разработка конструктивных параметров печатной платы Рис. 4.12. Подключение папки с моделями STEP окне нужно нажать кнопку и указать путь к расположению моделей, в нашем случае: .../example (в которой находится файл плата, step), после чего нажать кнопку Add. В списке подключен- ных папок с моделями появится новая ссылка, после чего нажи- маем кнопку ОК (см. рис. 4.12). Сначала, чтобы использовать STEP модель платы ранее создан- ной в механической САПР, нужно переключиться в трехмерный режим работы. Переключения между двумерным и трехмерным режимами работы выполняются нажатием клавиш 2 и 3, при этом стоит помнить, что не все видеокарты поддерживают режим рабо- ты с трехмерной платой (для этой задачи нужна видеокарта с под- держкой DirectX9.0C и Shared Mode 3.0). Итак, после нажатия клавиши 3 программа переходит в трехмерный режим работы и 203
Гпава 4. Разработка печатных плат Рис. 4.13. Новый режим работы плата отображается синим цветом. Теперь нужно включить ото- бражение STEP моделей, которое выполняется через панель Project (рис. 4.13). Далее можно разместить модель STEP в рабочей области, для чего выполним команду Placc>3D Body и на экране появится диа- лог 3D Body. В появившемся окне выбираем тип модели Generic STEP Model и в нижней части нажимаем кнопку7 Link to Step Model. После предложения создать ссылку на модель откроется окно, в котором показана ранее заданная папка и все хранящиеся в ней модели. В списке выбираем модель плата-STEP и нажимаем кнопку ОК. Теперь в диалоге 3D Body нажимаем кнопку ОК и размещаем модель в рабочей области нажатием левой кнопки 204
4.3. Разработка конструктивных параметров печатной платы мыши. После размещения модели программа предлагает устано- вить следующую модель, в нашем случае следует отказаться от этого нажатием кнопки Cancel. Последним шагом нужно указать, что добавленная модель в формате STEP является платой, для чего выполним команду Design>Board Shape>Define from 3D Body и выполним последовательно два щелчка мыши на добавленной мо- дели. В результате будет выдано сообщение, в котором предлагает- ся задать контур платы из выбранной модели, с чем следует согла- ситься. Теперь мы имеем плату в трехмерном виде со ссылкой на мо- дель STEP, причем эту плату можно вращать во всех плоскостях. Рис. 4.14. Кнопки поворота платы в трехмерном формате Для вращения платы нажмите клавишу Shift, после чего на эк- ране появится «шар со стрелками» (рис. 4.14), на котором имеют- ся кнопки управления поворотом: 1. При наведении курсора на стрелки и движение мышки с на- жатой правой клавишей — будет осуществляться поворот в ука- занном стрелкой направлении 2. При наведении курсора на дуги и движение мышки с нажа- той правой клавишей — будет осуществляться поворот в плоско- сти рабочей области 3. При наведении курсора на точку и движение мышки с нажа- той правой клавишей — будет осуществляться свободное враще- ние. 4. После описанных выше действий мы имеем плату в Altium Designer со ссылкой на модель STEP, причем стоит обратить вни- мание, что круглые отверстия, которые были созданы в механиче- 205
Г.пава 4. Разработка печатных плат ской САПР, конвертировались в контактные площадки со свойст- вами обычных крепежных отверстий. Если на последующем этапе проектирования модель платы будет изменена в той программе, в которой она была создано, то в Altium Designer при обращении к этой модели будет выдано сообщение, показанное на рисунке 4.15. В сообщении предлагается обновить модель платы в соответ- ствии с исходной моделью в формате STEP. Рис. 4.15. Сообщение об обновлении модели Кроме инструментов по созданию контура печатной платы в выпадающем меню Design>Board Shape имеются команда для формирования вырезов в плате и несколько команд по редактиро- ванию ранее созданного контура платы, которые очень не удобны, поэтому крайне нс рекомендуется их использовать для создания платы. 4.3.2. Управление порядком расположения слоев После создания контура платы перейдем ко второму шагу разра- ботки конструктивных параметров платы, на котором определяется порядок расположения слоев печатной платы. Как известно, при разработке платы работа ведется с несколькими слоями, так топо- логия проводников разрабатывается на одних слоях, пасты и маски наносятся в других и т. д. В программе Р-CAD все слои делились на 3 вида: Signal (сигнальные), Plane (экранные), NonSignal (не сиг- нальные). В Altium Designer похожее деление, за исключением того, что не сигнальные слои делятся на группы по функциональному назначению. Просмотр всех существующих слоев проекта и управ- ление их отображением выполняется в окне View Configurations, ко- 206
4.3. Разработка конструктивных параметров печатной платы торое вызывается командой Design>Board Layer&Colors или нажа- тием клавиши L. Если эта клавиша была нажата во время работы в двумерном режиме, то окно на экране будет выглядеть согласно по- казанному на рисунке 4.7. Здесь в правой части показаны группы слоев редактора плат: 1. Signal Layers (Сигнальные слои) — предназначены для созда- ния топологии проводящего рисунка, 2. Internal Layers (Экранные слои) — предназначены для распо- ложения внутренних полигонов земли и питании. Эти слои не предназначены для прокладки проводников и информация в них отображается инверсно, 3. Mechanical Layers (Графические слои) — используются для вспомогательной графической информации, например, контур платы, и т. д., 4. Mask Layers — слои паяльной пасты и защитной маски, 5. Other Layers — дополнительные слои, к которым относятся слой зоны запрета и слои отображающие отверстия в плате, 6. Silkscreen Layers — слои шелкографии, в которых располага- ется информация для маркировки на плате, 7. System Layers (Системные слои) — правильно было бы на- звать системные цвета, к которым относится цвет фона, сетки, со- единения и др. В данном окне нельзя добавлять и удалять слои, здесь выпол- няется управление видимостью слоев, для чего используются кнопки в виде гиперссылок под каждой группой слоев. Например, для сигнальных слоев, кнопки All On, АП Off, Used On (Включить все, Выключить все и Включить только используемые сигнальные слои). Кроме страницы отображения слоев в окне View Confi- gurations имеются вкладки Show/Hide и View Options. На первой задается режим отображения примитивов (рис. 4.16), который мо- жет быть Final — полное отображение, Draft — отображение в виде контура, Hidden — скрыть примитивы. Рис. 4.16. Переключение режимов отображения примитивов 207
Г.пава 4. Разработка печатных плат На второй вкладке (View Options) устанавливаются дополни- тельные настройки отображения, из которых на данном этапе сто- ит обратить внимание на опцию Origin Marker, которая включает отображение начала координат. Закроем окно View Configuration нажатием кнопки ОК. Добавление новых слоев и управление их положением в стеке- печатной платы производится в окне, которое вызывается коман- дой Design> Layer Stack Manager (рис. 4.17). нис. ТТГ. Управление стеком слоёв В данном окне показана структура печатной платы, на которой видно расположение сигнальных и внутренних экранных слоев. В правой части окна имеется набор команд для управления поряд- ком расположения слоев. Кнопки Add Layer и Add Plane добавляют сигнальный и экранный слой соответственно, причем в Altium Designer может быть создано 32 сигнальных и 16 экранных слоев. Использование экранных слоев (Plane Layer) изначально не вос- требовано в практике большинства отечественных предприятий, так как вызывает некоторые трудности в использовании. Во-пер- вых, на таких слоях нельзя создавать проводники, а во-вторых, не всем удобно работать с инверсно отображенными слоями. Для рас- положения внутренних полигонов питания и земли используются обычные сигнальные слои, которые обладают большей гибкостью при работе. Кнопки Move Up и Move Down (рис. 4.17) перемещают выбранный слой вверх и вниз по списку. Для изменения свойств 208
4.3. Разработка конструктивных параметров печатной платы слоя можно выполнить двойное нажатие ЛК на его названии или использовать кнопку Properties. При намерении разработчика про- вести анализ целостности сигнала (команда меню Tools>Signal Integrity) необходимо корректно указать следующую информацию. Для сигнальных слоев: Name — задаваемое пользователем имя слоя; Copper thickness — толщина слоя металлизации, необходимая для анализа целостности сигналов. Для внутренних слоев питания и заземления: Name — задаваемое пользователем имя слоя; Copper thickness — толщина слоя металлизации, необходимая для анализа целостности сигналов. Для подложек и изолирующих слоев: Material — тип используемого материала; Thickness — толщина ди- электрического слоя, необходимая для анализа целостности сигна- лов; Dielectric constant — относительная диэлектрическая прони- цаемость материала, необходимая для анализа целостности сигна- лов. При формировании стека слоев конструктору необходимо оп- ределить пары слоев для сверления отверстий (drill-pairs). Термин drill-pairs относится к двум слоям, участвующих в сверлении (на- чальный и конечный слой). Если на плате не применяются глухие и скрытые переходные отверстия, то в проекте присутствует толь- ко одна пара слоев сверления, состоящая из верхнего и нижнего слоя. Эта пара слоев устанавливается по умолчанию и не подле- жит ни удалению, ни модификации. Пары слоев сверления задаются в диалоговом окне Drill-Pair Manager, которое вызывается нажатием кнопки Drill-Pair в диало- говом окне Layer Stack Manager. При использовании в проекте глухих и скрытых переходных отверстий, пары слоев сверления должны быть определены с учетом используемого стиля стека сло- ев в строгом соответствии с требованиями представителей произ- водства. 4.3.3. Крепежные отверстия и зоны запрета для трассировки Последние действия на подготовительном этапе разработки пе- чатной платы — это установка крепежных отверстий и формиро- вание зон запрета для металлизации. Крепежные отверстия уста- навливаются командой Place>Pad, как обычные контактные пло- щадки. После запуска команды размещения отверстий следует нажать клавишу Tab и в свойствах указать нулевые значения в па- раметрах формы контактной площадки (Size and Shape) и при не- 209
Гпава 4. Разработка печатных плат обходимости отключить металлизацию внутри отверстия (Plated). Стоит отметить, что при формировании контура платы посредст- вом импорта модели платы в формате STEP, были автоматически созданы отверстия, в свойствах которых включена металлизация и сформирован поясок металлизации, равный диаметру отверстия. Для расположения зон запрета для металлизации используется группа команд Place>Keepout, в которой имеются инструменты по формированию линий запрета и полигонов запрета. Историче- ски сложилось, что зоны запрета в виде линий (а так же дуг) не воспринимаются автотрассировщиками Spccctra и Situs, поэтому рекомендуется их выполнять только с помощью команды Р1асе> Keepout>Solid Region. Кроме того, что необходимо использовать только зоны запрета в виде полигона, нужно следить за слоем, на котором выполнена графика, относящаяся к Keepout (зоне запре- та). При размещении зоны запрета, действующей на все сигналь- ные слои платы, необходимо в окне Layer выбрать слой Keep-Out layer, в противном случае в этом окне нужно выбрать конкретный слой, на который оказывает действие данная зона запрета. Так же зону запрета можно создать для определенной цепи, которая ука- зывается в окне Net свойств полигона (рис. 4.18). Итак, мы рассмотрели весь комплекс мероприятий по форми- рованию конструктивных особенностей печатной платы. Все опи- Рис. 4.18. Свойства зоны запрета 210
4.4. Синхронизация схемы и платы санные выше действия можно выполнить, используя мастер созда- ния печатных плат, в котором параметры, платы, создаваемые вручную, будут сгенерированы автоматически, путем пошагового ввода информации о плате. 4.4. Синхронизация схемы и платы Процедура переноса информации из схемы в плату, как и по- следующее внесение изменений, являются одной из наиболее про- стых задач, решаемых в программе Altium Designer. Для этого из редактора схем выполняется команда Design>Update PCB Docu- ment ..., и если в структуре проекта находится несколько файлов плат, то будет предложено выбрать файл, куда вносить изменения. При запуске этой команды появляется окно, показанное на ри- сунке 4.19, в котором представлен список отличий между схемой и платой. Перечень объектов, наличие которых сверяется в схеме и плате задается в окне Project>Project Options на вкладке Compa- rator. В перечне изменения разбиты по группам, например, на ри- сунке 4.19 в группе Add Components перечислены компоненты, ко- торые будут добавлены из схемы на плату, Add Nets — цепи и т. д. Находясь в окне Engineering Change Order (которое кстати яв- ляется аналогом файла ECO в Р-CAD, название которого и пред- ставляет аббревиатуру), выполняются всего два действия, показан- ные на рисунке цифрами. Вначале командой Validate проверяется возможность внесения изменений, где главной проблемой может быть отсутствие поса- дочного места у того или иного компонента (как в случае на рис. 4.19). При фиксации ошибок в столбце Status окна Engine- ering Change Order, следует нажать кнопку Close и выполнить от- ладку платы. После того, как команда Validate подтверждает возможность внесения всех изменений, выполняется команда Execute, результа- том которой будет загрузка всех данных из схемы в плату. При последующих изменениях на схеме необходимо будет по- стоянно вносить изменения в плату командой Design>Update PCB Document, при этом изменения не будут касаться размещения компонентов, топологии, металлизации и других элементов, от- сутствующих на схеме. 211
Гпава 4. Разработка печатных плат ixi! I « I t £ 8 И 8 8 8 8 i -I -11 -1 i 3 ко г г s 8 8 8 8 8 8 8 8 8 8 8 3 5 ? S 5 <.<<<< ?? XlSjSE ESSEEI 1т S 2 5 в е у <? s ll 'll 'll 'll 'll 1 3 5 г § 5, 3 О 111 13 € € ъ 1 5 Рис. 4.19. Перенос информации из схемы в плату 212
4.5. Панель PCB 4.5. Панель PCB Панель PCB является основной для работы с редактором плат Altium Designer, она позволяет вести работу с объектами заданной категории и выполнять такие действия, маскировка и выделение объектов на плате через список. Работа в панели PC В может вес- тись со следующими объектами: • Nets — работа с цепями; • Components — работа с компонентами; • Rules and Violations — работа с правилами и нарушениями; • From-To — работа с маршрутами; • Split Plane Editor — работа с экранами; • Differential Pair Editor — работа с дифференциальными па- рами; • Polygons — работа с поли- гонами; • Hole Size Editor — редакти- рование размеров отвер- стий; • 3D Models — работа с трех- мерными моделями. Рассмотрим возможности па- нели PC В при работе с объекта- ми разных типов. При работе с цепями, данная панель имеет вид, показанный на рисунке 4.20. Выбор объектов, с которыми ведется работа, произ- водится в списке в верхней части панели (см. 1 на рис. 4.20). После этого панель делится на 4 окна, в первом перечислены классы це- пей, во втором цепи выбранного класса, в третьем примитивы вы- бранной цепи и в последнем окне общий вид платы (см. 3—6 на рис. 4.20). Кроме этого, име- ется группа кнопок и опций, по- зволяющих применить заданные Рис. 4.20. Работа с цепями 213
Гпава 4. Разработка печатных плат действия к выбранным объектам (см. 2 на рис. 4.20). Здесь задают- ся стандартные действия: • Mask (Dim) — вариант фильтрации маска или затенение; • Select — выделение; • Zoom — масштабирование; • Clear Existing — очистка предыдущего выделения; Кнопка Apply — применяет выбранное действие, Clear — отме- няет выбранное действие и Zoom Level — задает коэффициент масштабирования. Рекомендуется по кнопке Zoom Level — выбрать среднее значе- ние, а вариант фильтрации выбрать Dim. При этом параметры фильтрации задаются отдельно по кнопке Mask Level в нижнем левом углу редактора, рекомендуемые параметры показаны на рис. 4.21. Рис. 4.21. Параметры маски и затенения Если все настройки указаны, как показано на рисунках 4.20 и 4.21, то при выборе шины А[16..О], все цепи этой шины будут выделены и отмасштабированы таким образом, что на экране кро- ме них будет видна область платы вокруг них. Все остальные объ- екты будут затенены и при нажатии клавиши F1I, в панели Inspector будут показаны свойства всех цепей шины, доступные для редактирования. Если для цепей шины уже выполнялась трассировка, то в окне Nets (см. 4 на рис. 4.20), для всех цепей шины будут показаны: • Name — название цепи; • Node —- количество узлов в цепи; • Routed — общая длина топологии; • Un-Routed — не разведенная часть цепи. Если в списке нужно выбрать несколько цепей, предварительно не объединенных в класс, то надо в окне Net Class (см. 3 на 214
4.5. Панель PCB Рис. 4.22. Работа с компонентами рис. 4.20) выбрать All Nets, а затем выбрать в списке Nets нужные цепи, используя стандартные кла- виши мультивыбора Shift и Ctrl. В панели РСВ можно выполнять переход к свойствам тех объектов, которые перечислены в окнах 3, 4 и 5. Так двойной щелчок на цепи А1 выполняет переход к окну свойств указанной цепи. Также на- жатие правой клавиши мыши на названии цепи А1 предлагает целый набор дополнительных действий. При работе с компонентами, па- нель РСВ имеет вид, показанный на рисунке 4.22. Основная часть панели при этом не изменилась, но теперь в центре содержится инфор- мация о компонентах. В окне Com- ponents (см. 1 на рис. 4.22) пере- числены классы компонентов и группы: • All components — все компо- ненты; • Bottom Side components — компоненты на нижней сто- роне платы; • Inside Board components — компоненты внутри контура пла- ты; • Outside Board components — компоненты за пределами кон- тура платы; • Top Side components — компоненты на верхнем слое платы. В окнах Components и Components Primitives (см. 2 и 3 на рис. 4.22) перечислены компоненты выбранного класса или груп- пы и примитивы выбранного компонента, соответственно. Все Действия при работе с компонентами, аналогичны работе с цепя- ми, и в общем-то, представляют собой слегка видоизмененную панель Design Manager в программе P-CAD. Расширение возможностей панели РСВ по отношению к Design Manager в P-CAD начинается при выборе режима работы Rules 215
Гпава 4. Разработка печатных плат and Violations (см. 1 на рис. 4.23). В этом режиме панель РСВ ото- бражает следующую информацию: • Rule Classes — классы правил; • Rule — правила выбранного класса; • Violation — нарушения выбранного правила. Так для поиска неразведенных цепей в окне Rule Classes выбира- ется класс Un-Route Net Constrain, который содержит единствен- ное правило, а в окне Violation перечислены все неразведенные цепи. Стоит отметить, что некоторые нарушения появляются в окне Violation только после запуска принудительной проверки пра- вил (DRC), о чем будет сказано позднее. Если выбрать конкретное нарушение из списка, то программа выполнит автоматическое масштабирование вокруг нарушения и отфильтрует остальные объекты с помощью затенения. Иногда даже масштабирование и фильтрация не дают полную информацию об ошибке, в этом случае нужно выполнить двойной щелчок на названии ошибки в окне Violation (см. 4 на рис. 4.23). В ре- зультате на экране отобразится окно с описанием выбранной ошибки. Стоит заметить, что при выборе объектов через панель РСВ, они от- фильтровываются от остальной мас- сы объектов и в этом случае даль- нейшая работа с объектами, кото- рые не попали в выбор, не возможна до тех пор, пока не будет снята мас- ка. Маску можно снять комбинаци- ей Ctrl+C или кнопкой Clear в пане- ли РСВ, или в правом нижнем углу редактора. Работа с остальными объектами в панели РСВ аналогична двум, опи- санным выше, и так как, в основном используются только показанные действия, другие режимы подробно не описаны. В исходной документа- ции работа с панелью РСВ подроб- но описана в документе TR0104 Рис. 4.23. Работа с правилами (стр. 84—101). 216
4.6. Установка правил проектирования 4.6. Установка правил проектирования При разработке печатной платы наиболее важным этапом явля- ется установка правил проектирования или, говоря на языке инже- нера, конструктивных и технологических ограничений проектиро- вания платы. От установки правил зависит вся последующая работа над разработкой, т. е. размещение компонентов, трассировка пе- чатных проводников и последующая верификация проекта. В дан- ной главе будут рассмотрены все правила проектирования и сфера их действия, описана процедура создания правила и разобраны конкретные примеры создания сложных правил с помощью языка запросов (Query). Предполагается, в наличии имеется файл платы с загруженны- ми на нее компонентами. Установка и редактирование правил проектирования может производится вручную или с помощью мастера (Rule Wizard). Сначала рассмотрим назначения и классификацию правит про- граммы Altium Designer и порядок их описания в ручном виде че- рез диалоговое окно Design Rules, которое вызывается с помощью команды меню Design> Rules. Все доступные в редакторе печатных плат правила проектирова- ния делятся по функциональным назначениям на десять групп, ка- ждой из которых в диалоговом окне Design Rules выделена отдель- ная вкладка (см. рис. 4.24). В рамках данной статьи будем рассмат- ривать только правила, относящиеся непосредственно к разработке платы. Все правила имеют свою сферу применения: некоторые из них используются при трассировке, некоторые при размещении компонентов, а некоторые только при проверке DRC. Назначение N РСВ Rules and Constraints Editor [mm] S PR Design Rules $ Electrical Routing Я-ШчЗМТ 3 • Mask S flj Plane 3 T estpoint Manufacturing + S High Speed +> = J Placement Signal Integrity Puc. 4.24. Группы правил 217
Гпава 4. Разработка печатных плат всех правил показано в таблице 4.1. При разработке плат, наиболее используемые те правила, которые оказывают влияние на интерак- тивную трассировку. 4.6.1. Описание правил проектирования правила, таблице 4.1. учитывающие (зазоры) допустимый зазор ме- — определяет Рассмотрим назначение правил, указанных в В первой группе (Electrical) расположены электрическое соединение компонентов. Clearance минимально жду любыми двумя металлизирова- ными объектами на сигнальном слое. Это правило используется для задания расстояния между провод- никами на плате. •Short Circuit (короткозамкнутые цепи) — проверяется наличие ко- роткого замыкания между примити- вами различных цепей. Наличие ко- роткого замыкания констатируется, когда два объекта, принадле- жащие цепям с различными именами, касаются друг друга. Un-Routed Nets (неразведанные цепи) — проверяет статус за- вершения трассировки всех цепей, попавших в указанную область. Если трассировка некоторой цепи выполнена не до конца, то каж- дая незаконченная часть цепи (sub-net) заносится в список, где также указывается коэффициент завершения, рассчитываемый как отношение выполненного числа соединений к полному числу в шей степени в группе Routing. Prefened Width 0 3 Min Width 0.3 Max Width 0.5| v --- процентах. Un-Connected Pin (неподключенные выводы) — данное прави- ло служит для выявления выводов, не соединенных с проводника- ми на плате. Правила, учитываемые при трассировке, располагаются в боль- Width (ширина проводника) — данное правило определяет мини- мальную, максимальную и реко- мендуемую ширину проводников и дуг на медном слое. Стоит учесть, что если не задан разброс от минимальной до мак- 218
4.6. Установка правил проектирования Таблица 4.1 Применение правил в Altium Designer I Область применения правила 1 № Правило Автотрассировка DRC* | Формирование выходных файлов Другое , Electrical 1 Clearance * • I Интерактивная трассировка, : размещение полигонов I 2 Short-Circuit • i 3 Unrouted Net • - 4 Unconnected Pin* • : Routing 5 Width • • Интерактивная трассировка ' 6 Routing Topology • ;l 7 Routing Proirity • i I 8 Routing Layers • Для внешних трассировщиков (например, Specctra) 9 Routing Corners : Ю Routing Via Style • Интерактивная трассировка 11 Fanout Control • Интерактивная трассировка I 12 I* Differential Pairs Routing • Интерактивная > трассировка ! SMT i 13 SMD to Corner • ' 14 SMD to Plane • ' 15 SMD Neck-Down • I 219
Гпава 4. Разработка печатных плат Продолжение табл. 1 Область применения правила । № Правило Автотрассировка DRC* Формирование выходных файлов Другое Mask I 16 Solder Mask Expansion • I J 17 Paste Mask Expansion • Plane 18 Power Plane Connect Style • Внутренние экраны ; 19 Power Plane Clearance • li Внутренние экраны i 20 Polygon Connect Style Размещение полигонов j Manufacturing 21 Minimum Anular Ring • 22 Acute Angle • | 23 Hole Size • 24 Layer Pairs • Интерактивная трасси- ровка I High Speed 25 Parallel Segment • 26 Length i • 27 Matched Net Length • Выравнивание по длине 28 Daisy Chain Stub Length • I 29 Vias Under SMD • 30 Maximum Via Count • 220
4.6. Установка правил проектирования Окончание табл. 1 Область применения правила 1 № Правило Автотрассировка DRC* Формирование • выходных файлов Другое Placement 31 Room Definition • Авторазмещение в ком- нате (команда Within Room) 32 Component Clearance • Авторазмещение (Cluster Placer) 33 Component Orientations Авторазмещение (Cluster Placer) 34 Permitted Layers l i Авторазмещение (Cluster Placer) 35 Net to Ignore Авторазмещение (Cluster Placer) 36 Height • Авторазмещение в режиме 3D ; симальной ширины проводника, то программа не только не будет сужать дорожки при автоматической трассировке, но и не позво- лит уменьшить ширину трассы в интерактивном режиме (по ко- манде Shift+W). Также, стоит заметить, что параметры печатного проводника могут быть заданы не в абсолютных единицах (милли- метрах), а в относительных, т. е. имеется возможность указать вол- новое сопротивление проводника, по которому программа автома- тически высчитает ширину трассы по заданным ранее параметрам платы. Routing Topology Rule (топология трассировки)- — определяет порядок или образец соединения выводов проводниками. По умолчанию редактор печатных плат рас- полагает соединения между выводами та- Topology .... ’V) ким образом, чтобы полная длина всех соединений была минимальной. <2. Специфические требования могут на- Т кладываться на отдельные цепи по не- @ скольким причинам: для высокоскорост- 221
Гпава 4. Разработка печатных плат ных схем, где отражения сигналов должны быть минимизированы применяется последовательная топология — «цепочка»; для цепей заземления может быть использована топология типа «звезда», чТо гарантирует наличие общей точки для всех проводников. В опи- сываемом правиле могут быть применены следующие виды топо- логий: Shortest (минимальная длина). Эта топология соединяет все узлы так, чтобы полная длина всех соединений была минималь- ной. Horizontal (горизонтальная). Эта топология соединяет все узлы таким образом, чтобы длина горизонтальных связей относилась к длине вертикальных как 5:1. Vertical (вертикальная). Эта топология соединяет все узлы та- ким образом, чтобы длина вертикальных связей относилась к дли- не горизонтальных как 5:1. Daisy-Simple (простая цепочка). Эта топология соединяет все узлы в цепочку один за другим. Порядок следования узлов в це- почке рассчитывается так, чтобы минимизировать общую длину. Если заданы начальная и конечная контактные площадки (source и terminator), то остальные располагаются по принципу миними- зации общей длины. Для назначения начальных или конечных контактных площадок необходимо выполнитыих редактирование. Daisy-Mid Driven (цепочка с началом в центре). При этой топо- логии начальный узел (или узлы) размещается в центре цепочки, остальные узлы делятся на две группы и размещаются по разные стороны от начального узла. При этом необходимо задать два ко- нечных узла, которые помещаются на концах цепочки. Если не определены точно два конечных узла, то используется топология простой цепочки Daisy-Simple. Daisy-Balanced (сбалансированные цепочки). При такой топо- логии все множество узлов делится на одинаковые по числу узлов цепочки, общее количество которых равно числу конечных узлов. Затем эти цепочки соединяются с начальным узлом по схеме «звезда». Несколько начальных узлов соединяются вместе. Star («звезда»). При топологии такого типа каждый узел подсое- диняется непосредственно к начальному узлу. Если заданы конеч- ные узлы, они подсоединяются после каждого узла. При наличии нескольких начальных узлов они соединяются вместе, как в топо- логии типа Daisy-Balanced. 222
4.6. Установка правил проектирования Правила выполняются в следующем порядке: Star, Daisy- Balanced, Daisy-Mid Driven, Daisy-Simple, Horizontal, Vertical, Shortest. Routing Priority Rule (приоритет трассировки) — присваивает цепи приоритет трассировки. Самый высокий приоритет равен 100, самый низкий — 0. Приоритет трассировки представляет со- бой относительное значение, используемое для задания порядка автоматической трассировки цепей. Routing Layers Rule (слои трассировки) — определяет слои, ко- торые будут использоваться при автоматической трассировке. Routing Corners Rule (углы изгиба проводников) — определяет стиль излома проводников, используемый при автотрассировке. Изломы могут быть выполненными под углом 45° или скруглен- ными. Устанавливаемые значения определяют минимальное и максимальное размеры скоса или дуги. Routing Via Style Rule (стиль переходных отверстий) — опреде- ляет диаметр КП и диаметр круглого переходного отверстия. Via Diameter Minimum 1mm Maximum 1mm Preferred 1mm Via Hole Size Minimum 0.5mm - Maximum 0.5mm Preferred 0.5mm Fanout Control — стиль отвода проводника от контактной пло- щадки для поверхностного монтажа. Можно задать варианты вы- вода, так называемых «фаноутов», для различных типов корпусов 7RISUNOK Differential Pairs Routing (трассировка дифференциальных пар) — настройки интерактивной трассировки дифференциальных пар. В данном правиле задается зазор между двумя проводниками Max Uncoupled Length 12.7mm Min Gap 0.2 Max Gap 0 2 Preferred Gap 0 2 0 Layers m layerstack only 223
Гпава 4. Разработка печатных плат пары и величину препятствия, которое проводники пары могут огибать нс параллельно. Правила для контактных площадок под поверхностный мон- таж — группа SMD. Согласно таблице 4.1, все правила этой груп- пы используются только при проверке правил проектирования, то есть программы в автоматическом и интерактивном режиме не выполняет требования этих правил. SMD to Plane (минимальное расстояние до переходного отвер- стия) — определяет максимальное расстояние от центра контакт- ной площадки компонента для поверхностного монтажа до бли- жайшего переходного отверстия на внутренний слой питания или заземления. SMD to Corner (минимальное расстояние до изгиба) — опреде- ляет минимальное расстояние от центра контактной площадки компонента для поверхностного монтажа до ближайшего изгиба подключенного к ней проводника. SMD Neck-Down (сужение ширины проводника) — определяет максимальное отношение ширины проводника к ширине контакт- ной площадки компонента для поверхностного монтажа, выра- женное в процентах. Neck-Down 50% Правила для нанесения паяльной пасты и защитной маски — группа Mask. Эти правила немного выделяются из основной мас- сы, так как они не используются при проверке и при работе, но они имеют очень большой вес, так как они оказывают влияние на формирование выходной документации для производства (gerber). Solder-Mask Expansion Rule (размер окна в трафарете для за- щитной маски) — задается величина, на которую расширяется или сжимается рисунок контактной площадки на слое Solder Mask, из которого формируются окна в трафарете для пайки волной. При- оритет имеет правило, которое определяет наибольшее значение. Paste-Mask Expansion Rule (размер окна в трафарете для нанесе- ния паяльной пасты) — задается величина, на которую расширя- 224
4.6. Установка правил проектирования ется или сжимается рисунок контактной площадки на слое Paste Mask, из которого формируются окна в трафарете для нанесения паяльной пасты. Сжатие рисунка осуществляется при задании от- рицательного значения. Приоритет имеет правило, которое опре- деляет наименьшее расширение. Правила для подсоединения полигонов и экранных слоев (Plane). Power Plane Connect Style (стиль соединения выводов со слоем питания) — определяет стиль соединения выводов компонента со слоем питания. Аналогично стилю подключения полигона. Заме- тим, что слои питания, отображаются в негативе, а значит, нари- сованный на нем примитив будет вытравлен на слое меди. Power Plane Clearance (зазоры на слоях питания) — определяет радиальный зазор, создаваемый вокруг переходных отверстий и контактных площадок, которые проходят сквозь слои питания, но не соединяются с ними. Приоритет имеет правило, которое опре- деляет наибольший зазор. Polygon Connect Style (стиль соединения выводов с полиго- ном) — определяет стиль соединения выводов компонента с ме- таллизированным полигоном. Система допускает два типа соеди- нения: непосредственное (сплошное) соединение и соединение с тепловым барьером, а также отсутствие соединения. В случае вы- бора соединения с тепловым барьером необходимо задать количе- ство и ширину проводников, а также угол их расположения. 8 зак. 32 225
Гпава 4. Разработка печатных плат Connect Style 90 Angle Relief Connect vj < Conductors-----> 02 ©4 В группе Manufacturing расположены правила, учитываемые при производстве. Здесь задаются технологические ограничения производства. Данные правила в наименьшей степени относятся к работе конструктора и не оказывают существенного влияния на разработку. Minimum Annular Ring (минимальный размер контактной пло- щадки) — определяет минимально допустимый размер кольца контактной площадки, который измеряется радиально от края от- верстия контактной площадки до ее кромки. Выполняется прави- ло с наибольшим установленным размером кольца. Acute Angle (ограничение на размер острых углов) — определя- ет минимально допустимый угол излома проводников. Наличие острых углов может стать проблемой при производстве платы, так как при травлении в точке излома может возникнуть разрыв. Вы- полняются правила с максимально заданными размерами углов. Hole Size (диаметр отверстий) — определяет минимально и мак- симально допустимые значения диаметра присутствующих на пла- те отверстий. Может быть задано как абсолютное, так и относи- тельное значение диаметра от размера контактной площадки или кольца переходного отверстия. Приоритет имеет правило с наи- меньшим минимальным значением и наименьшей разностью мак- симального и минимального значений. Layer Pairs (пары слоев) — проверяет соответствие используе- мых пар слоев парам слоев для сверления, которые определяются из присутствующих на плате контактных площадок и переходных отверстий. Для каждой пары слоев один выбирается Start Layer, другой — End Layer. Minimum Solder Mask Silver — определяет минимальную ширину участка в защитной маске, позволяет определить узкие места, кото- рые создают проблемы при изготовлении. Hole to Hole Clearance — определяет совпадение и пересечение отверстий до использования инструментария САМ. Это правило 226
4.6. Установка правил проектирования также необходимо для использования глухих и слепых переходных отверстий. Silk over Exposed Copper — задает зазор между маркировкой и вскрытыми от маски металлизированными контактными площад- ками, для избежание ситуации «налезания» маркировки на метал- лизированные площадки для припайки компонентов. Minimum copper width — анализ всех участков металлизации, которые будут сформированы при производстве платы, результа- том которого является поиск участков металлизации, которые тех- нологически не могут быть изготовлены. Silk to Silk Clearance — проверяет зазоры на слое шелкографии, гарантирует отступ текста от маркировки корпуса компонента и удобочитаемость текстов. Net Antennae — Определяет неподключенные участки трасс и луг на сигнальных слоях. (Незавершенные дорожки, отходящие от переходных отверстий или контактных площадок). 8* 227
Глава 4. Разработка печатных плат Правила, задаваемые для высокоскоростных схем (High Speed) Parallel Segment Constraint (ограничение на длину параллельных сегментов) — определяет длину параллельных сегментов двух про- водников в зависимости от заданного расстояния между этими сегментами. Заметим, что данное правило тестирует только два сегмента проводников и не тестирует наборы сегментов. Для оценки уровня перекрестных искажений, которые являют- ся функцией от длины и размера зазора, необходимо использовать несколько таких правил для множества параллельно проложенных сегментов цепи. Установка данного правила не создает конфликта дублированных правил. Данное правило проверяется программой проверки (DRC) в интерактивном и пакетном режимах. Length (ограничение длины проводника) — определяет мини- мальную и максимальную длину проводника. Приоритет имеет правило, которое определяет наименьшую разницу между уста- новленными значениями. Matched Net Lengths (допуск согласования длин цепей) — опреде- ляет разницу длин цепей, которые должны бать выровнены по дли- не. Редактор печатных плат определяет самую длинную цепь (в ука- занной группе) и сравнивает ее с другими цепями в этой группе. Daisy Chain Stub Length (ограничение на длину шлейфа) — оп- ределяет максимально допустимую длину шлейфа для цепей с то- пологией в виде цепочки. Приоритет имеет правило, которое оп- ределяет наименьшую длину шлейфа. Via Under SMD (переходные отверстия под SMD элемента- ми) — устанавливает возможность размещения переходных отвер- стий во время автоматической трассировки под контактными пло- Attow Via* under SMD Pad» 0 228
4.6. Установка правил проектирования щадками для устройств, использующих технологию поверхностно- го монтажа. Maximum Via Count (максимальное число переходных отвер- стий) — определяет максимально допустимое количество переход- ных отверстий. В последней группе (Placement) перечислены правила проекти- рования, используемые при размещении компонентов. Room Definition (области размещения) — определяет область (Room), в которой либо разрешено, либо запрещено размещать не- который набор объектов. Области размещения определяются с по- мощью команды меню Place>Room. Редактирование областей про- изводится аналогично любым другим объектам на плате. Установ- ленные правила проверяются в режимах интерактивной, пакетной проверки правил проектирования (DRC), а также при автоматиче- ском размещении компонентов программой Cluster Placer. Component Clearance Constraint (расстояние между компонента- ми) — устанавливает минимально допустимое расстояние между компонентами. Vertical Clearance Mode —* О Infinite © Specified М inimum Vertical Clearance q В списке задается один из двух режимов проверки: либо выби- рается общий зазор между компонентами по горизонтали и верти- кали (Infinite), либо задаются отдельные значения (Specified). Component Orientation Rule (ориентация компонентов) — опре- деляет допустимую ориентацию компонентов. Разрешается назна- чать одновременно несколько видов ориентации, что позволяет программе автоматического размещения выбирать любую из них. Permitted Layers Rule (разрешенные слои) — определяет, на ка- ких слоях могут быть размещены компоненты программой Cluster Placer. Программа Cluster Placer не может изменить слой, где бу- дут размещаться компоненты, поэтому нужно устанавливать его до запуска программы размещения. 229
Глава 4. Разработка печатных плат Nets to Ignore (игнорирование цепей) — определяет, какие цепи не должны быть оптимизированы при выполнении процедурой Cluster Placer операции автоматического размещения. Отключение оптимизации цепей питания и земли может оказать содействие в быстром и качественном размещении компонентов. Height (высота) — определяет ограничение по высоте компо- нентов, которые могут располагаться в указанной области. 4.6.2. Алгоритм создания правил Прежде чем зайти в окно Design Rules и приступить к описанию правил проектирования, убедитесь, что работа ведется в метриче- ской системе координат, в противном случае значения правил бу- дут отображаться в милсах. Для включения метрической системы координат используется строка Units диалогового окна Design> Board Options. Далее опишем порядок создания нового правила по шагам: РСВ Rules and Constraints Editor [mm] Рис. 4.25. Создание нового правила 1 шаг — Создадим новое правило. Для этого в нужной категории нажи- маем правой кнопкой и выбираем команду New Rule (рис. 4.25). В списке правил появилось новое правило, которое по умолчанию на- звано С1еагапсе_1* 2 шаг — Присвоим правилу уни- кальное имя. Перейдем к редактиро- ванию правила, выбрав его из спи- ска. Название правила вводится в поле Name (рис. 4.26), причем все правила должны иметь уникальные имена, иначе программа не сохранит созданные правила. 3 шаг — Указание области действия правила. Для выбранного нами правила (Clearance), необходимо указать между какими це- пями будет действовать указанное правило. Область действия мо- жет быть указана вручную и с помощью помощников Query Helper и Query Builder. При ручном выборе области действия правила мо- гут быть выбраны следующие варианты: • All — все проводники платы; • Net — одна выбранная цепь; • Net Class — класс цепей; 230
4.6. Установка правил проектирования Рис. 4.26. Описание нового правила (2.3,4 шаг) • Layer — все цепи расположенные на данном слое; • Net and layer — определенная цепь на данном слое. В нашем случае зададим правило, которое указывает зазор меж- ду цепью GND, которая будет выполнена в основном полигоном на внешних и одном из внутренних слоев платы. Название прави- ла пока оставили без изменения, а в области действия следует ука- зать Цепь «GND». Случаи, когда область действия задается с по- мощью языка запросов (Query) будут рассмотрены ниже. 4 шаг — Установка значения правила. Для этого используется нижняя часть окна РСВ Rules (см. рис. 4.26), причем для боль- шинства правил имеется картинка, отображающая сущность пра- вила. В нашем случае нужно написать значение зазора между цепь «GND» и остальными проводниками платы. 5 шаг — Установка приоритетов правил. Области действия раз- личных правил могут пересекаться между собой. Так, например, цепь земли, которую мы описали ранее входит в наше правило, но 231
Г.пава 4. Разработка печатных плат также, она участвует в базовом правиле, которое задает зазоры на все электрические примитивы на плате. Встает вопрос, какое пра- вило будет использовать Altium Designer. Если при создании пра- вил в Р-CAD приоритеты были заданы по умолчанию и правила выполнялись от меньшего объекта к большему, т. с. сначала вы- полнялось правило на цепь (Net), затем на класс цепей (Net Class) и в последнюю очередь на всю плат}'. Здесь для установки при- оритетов используется специальное диалоговое окно Edit Rule Priorities (рис. 4.27.), которое вызывается по кнопке Priorities в ле- вом нижнем углу окна РСВ Rules. Рис. 4.27. Приоритеты выполнения правил Правила выполняются в том порядке, в котором они перечис- лены в списке окна Edit Rule Priorities, причем, если цепь «GND» уже указана в первом по порядку правиле, то она автоматически исключается из всех последующих правил данной группы. Заканчивается процедура создания правил нажатием кнопки Apply в окне создания правил. Теперь рассмотрим процесс форми- рования более сложных правил. Когда нужно в области действия правила указать несколько однотипных объектов не связанных ме- жду собой, то можно использовать конструктор запросов Query Builder, для чего используется одноименная кнопка (см. рис. 4.26.) При выборе Query Builder появляется окно Builder Query From Board (рис. 4.28), в котором работа ведется следующим образом: в списке Condition Type/Operation выбираем тип объекта Belong to Net, а в списке Condition Value значение параметра (здесь имя цепи) CFGDIN. Аналогично добавляем вторую цепь CFG_INIT. В результате в область действия будут попадать две выбранные цепи. Конструктор запросов предлагает выбрать из списка крите- рии, по которым будут выбираться объекты применения данного 232
4.6. Установка правил проектирования Рис. 4.28. Конструктор запросов (Query Builder) правила. Здесь можно указать определенные цепи или классы на заданных слоях, принадлежность к компонентам или посадочным местам и т. д. 4.6.3. Использование мастера запросов для создания правил Болес тонкая настройка правил проектирования выполняется с помощью окна Query Helper. Давайте рассмотрим использование этой возможности на конкретных примерах. Примеры создания сложных правил с помощью языка запросов Query Helper. Пример 1. Шаг выводов микросхемы U1 — 0,2 мм, а мини- мальный зазор между объектами печатного монтажа 0,25 мм, т. е. программа выдает ошибку по зазорам между выводами этого ком- понента и, кроме всего прочего, не позволяет прокладывать про- водники от ножек данной микросхемы. Стоит задача в правилах указать, что для контактных площадок компонента «ЧЛ» разрешен зазор 1,7 мм. Для решения этой задачи создадим новое правило в группе Clearance. Укажем название данного правила Clearance_FPGA_Pins. Кстати ничего не было сказано по названиям правил, рекоменду- ется оставлять заголовок правила (В нашем случае С1еагапсе_) и далее в названии, кратко, описать область действия. В этом случае правило становится интуитивно понято любому разработчику. Далее, для указания области действия правила выбираем опцию Advanced (Query) после чего становится активной кнопка Query Helper, которую и следует выбрать. В появившемся окне (рис. 4.29) указаны критерии запроса и операции, которые к ним можно при- менить. 233
Гпаеа 4. Разработка печатных плат Например, выберем группу Membership Checks и в ней команду InComponent (рис. 4.29). Эта команда позволяет указать принад- лежность каких-либо объектов к указанному компоненту. Чтобы узнать синтаксис использования данной команды следует после выбора команды в списке нажать клавишу F1, которая показывает контекстно-привязанную справку и в данном случае будет отобра- жен синтаксис описания данной команды: Examples In Component'U 1) InComponent(’U 1) = True Returns any component that has a Name property of *UГ, along with all of the child objects of each such component, InComponentfUr, 'U2\ 'JI') lnComponent('Ur,'U2',Jl) = True Returns any component that has a Name property of’UГ or 'U2' or 'JI', along with all of the child objects of each such 234
4.6. Установка правил проектирования component. In ComponentfU*') InComponent('U*j = True Returns any component that has a Name property whose associated string starts with 'll’, along with all of the child objects of each such component. В справке есть несколько примеров описание данной команды, например, как задать один компонент, 3 компонента и все компо- ненты, относящиеся к одному типу. Нас интересует именно пер- вый вариант. Полный запрос должен выглядеть, как показано на рисунке 4.29, и по его результатам будут определены все контакт- ные площадки (IsPad) относящиеся к компоненту «U1». После описания запроса его необходимо проверить нажатием кнопки Check Syntax. Стоит отметить, что проверяются только формаль- ности составления запроса, а не логическая правильность. Пример 2. Общие зазоры на плате в нашем примере 0,25 мм, а для полигонов земли и питания должен быть выдержан зазор 0,5 мм. Создаем новое правило под названием ClearanceJPolygon, и за- даем ему область действия как показано на рисунке 4.30. Теперь все полигоны будут отделены от остальных объектов на металли- зированном слое на 0,5 мм. Рис. 4.30. Правило зазоров для полигона 235
Гпава 4. Разработка печатных плат Пример 3. Частая ситуация, к сожалению не представленная в рассматриваемом примере, когда на плате имеются корпуса BGa В этом случае под такими корпусами невозможно использовать переходные отверстия, заданные по умолчания для всех цепей. Особенно это проявляется с цепями питания, для которых могли быть указаны специфические переходные отверстия, обычно боль- шего отверстия, чем остальные. Таким образом, стоит задача, ука- зать программе, что под BGA корпусом можно устанавливать только определенные переходные отверстия. В нашем случае создадим правило, которое задает тип переход- ных отверстий под корпусом микросхемы «U1». Небольшое уточ- нение: данное правило будет привязано не к корпусу микросхемы, а к участку платы, на котором установлена данная микросхема. То есть компонент, к которому создается данное правило должен быть установлен заранее. Итак, создадим новое правило RoutingVias U 1, и для выбора области действия выберем опцию Query Helper. Далее в появив- шемся окне (рис. 4.31) выбираем команду InRegion из группы Membership Check. Чтобы узнать, как описывается данная коман- да с точки зрения синтаксиса запросов, нажимаем клавишу F1 и в появившемся окне будет отображена информация: InRegion Membership Check Description Returns objects that reside within the region specified by the Query, Note: The parent objects of group objects (Components, Coordinates, Dimensi- ons, Nets, and Polygons) are not also returned. All coordinates are referenced to the absolute origin (and not to the user origin or component insertion point), and at present, all coordinates always use units of mils. (1 mil = 0,001 inch) Note: This is an alias for In Region Absolute (Membership Check). Syntax InRegionfXl: Distance_String , Y1: Distance__String, X2 : Distance-String , Y2: Distance-String) : Boolean Each instance of Distance-String is a numerical string that specifies a coordi- nate in the PC В (Library) file. The left and right boundaries of the region are specified by the XI and X2 parameters, while the lower and upper boundaries of the region are specified by the Yl and Y2 parameters. Example InRegionfl2700,4700,13300,5000) 236
4.6. Установка правил проектирования lnRegion(12700,4700,13300,5000) = True Returns objects residing within the rectangular region bounded by X = 12700 and X = 13300, and by Y = 4700 and Y = 5000; those coordinates are referenced to the absolute origin. Отсюда видим, что нам надо задать левую нижнюю координату области платы и правую верхнюю, причем значения координат за- даются только в милсах (mil) и относительно точки привязки. Убедившись, что выбрана дюймовая система координат, и начало отсчета расположено в левом нижнем углу платы, определяем ко- ординаты области под компонентом. зазоров Оля переходных отверстий в заданной области платы В нашем случае запрос выглядит, как показано на рисунке 4.31. После описания области действия надо задать значение правила, которое хотелось бы указывать и просматривать в миллиметрах. Можно не закрывая окна с правилами переключить единицы из- мерений на мм, нажав пиктограмму 1_?Ч в левом верхнем углу окна (рис. 4.31) или нажать комбинацию клавиш CTRL+Q. Количество правил, которые можно задать с помощью языка запросов ограничивается только человеческой фантазией, и рас- смотреть их все в рамках данной главы не предоставляется воз- можным. 237
Гпава 4. Разработка печатных плат Мы рассмотрели способы задания правил проектирования по- средством команды Design>Rules, но в программе Altium Designer есть альтернативный способ задания правил — помощник Rule Wizard, который запускается посредством выполнения: Design> Rule Wizard. В появившемся окне нажимаем Next и программа предлагает выбрать тип правила из всевозможного списка. Выбира- ем правило Clearance, в поле Name пишем имя Clearance_GND и нажимаем Next. Далее программа предлагает выбрать область дей- ствия правила, что описывалось выше, выбираем 1 Net и нажимаем Next. В следующем окне предлагается указать конкретную цепь, выбираем GND. Следующий этап — установка приоритета дейст- вия правила, после чего программа спрашивает о необходимости занесения правила в список включенных правил и предлагает за- вершение: нажимаем Finish. После чего открывается окно РСВ Rules, в котором необходимо ввести числовое значение для данного правила. Таким образом, мы рассмотрели 2 варианта установки правил проектирования: первый вариант применим для создания полного списка правил для всего проекта, а второй — позволяет за- дать правила поэтапно, что исключает ошибку. Создание правил с помощь мастера подробно не описывается, т. к. выполняется суще- ственно проще ручного варианта и, обычно, нс вызывает трудно- стей у начинающих пользователей. После описания правил проектирования рекомендуется настро- ить список правил, проверяемых online, и список, проверяемый принудительной проверкой (DRC), об этом см. гл. 4.11. Более подробно ознакомиться с правилами проектирования можно в файле справки TR0116, а с особенностями языка запро- сов — в файле TR0110. 4.6.4. Сохранение и загрузка правил Созданные однажды правила могут быть записаны в отдельный файл и впоследствии загружены к другим проектам. Базовая часть правил, в которой прописаны толщины основной массы провод- ников, толщины класса цепей питания и земли, переходные от- верстия, настройки полигонов, общие зазоры и многое другое. Для записи правил в отдельный файл, нужно в окне РСВ Rules and Constraints нажать кнопку Apply, чтобы все правила вступили в силу. Затем в списке правил нажать правую клавишу мыши и в контекстном меню (см. рис. 4.25) выбрать Export Rules. На экран 238
4.6. Установка правил проектирования Рис. 4.32. Сохранение правил будет выведен список всех доступных правил (рис. 4.32), из кото- рого нужно выбрать необходимые правила для импорта. Проще всего выбрать все правила, выбрав первое и с зажатой клавишей Shift — последнее. При необходимости указать конкрет- ный набор правил, следует их выбирать с нажатой клавишей Ctrl. После нажатия кнопки ОК, программа предложит сохранить файл с расширением *.RUL. В последствии файл *.RUL может быть загружен к любому про- екту, при этом последовательность действий для импорта такая же как при экспорте. 239
Гпава 4. Разработка печатных плат 4.7. Размещение компонентов Основным этапом разработки платы, который, в общем-то, и определяет, какой будет эта плата, является процедура расположе- ния компонентов на плате с учетом технического задания и фор- мирование топологии печатных проводников. В данной главе бу- дут рассмотрены все ручные и автоматические средства по разме- щению компонентов. Указанная работа должна проводиться с учетом схемотехнических особенностей разработки, поэтому здесь же будут рассмотрены все вопросы, касающиеся параллельной ра- боты схема-плата. Для описания всех инструментов размещения компонентов и, в дальнейшем, трассировки проводников, а также приемов одновре- менной работы со схемой и платой, воспользуемся проектом Port Switcher (Altium Designcr\Examples\References Design\...). Описан- ный проект содержит несколько документов схем и один файл платы, в котором имеются загруженные компоненты и установле- ны простейшие правила проектирования. Прежде чем использо- вать данный проект — удалим из него существующий файл платы и создадим новый, который пока будет пустым. Несмотря на то, что в программе Altium Designer имеются авто- матические и полуавтоматические инструменты размещения ком- понентов на плате, любой более-менее опытный конструктор зна- ет, что ни одна программа не разместит компоненты на плате луч- ше человека. Учитывая малую эффективность автоматического размещения, здесь будут рассмотрены только ручные и полуавто- матические средства для размещения, к которым относят инстру- менты группы Tools>Component Placement. Большую роль здесь играют, так называемые, «комнаты» (Room) — область платы за которой закреплена некоторая группа компонентов. Прежде чем приступить к описанию инструментов полуавтоматического раз- мещения, посмотрим, как сформировать комнаты на плате. При передаче информации из схемы в плату Altium Designer всегда автоматически формирует комнаты на плате, если это не отключено в настройках. Комнаты формируются согласно листам схемы, и наиболее целесообразно применять комнаты в тех случа- ях, когда имеются одинаковые фрагменты схемы, которые на пла- те должны быть реализованы тоже одинаково. В нашем случае проект содержит несколько блоков PortIO с индексами А, В, С и D в правой части главной схемы (см. рис. 4.33). 240
4.7. Размещение компонентов Рис. 4.33. Структура проекта 241
Гпава 4. Разработка печатных плат Предполагается, что открыт проект Port Switcher и в нм создан новый файл платы в виде квадрата с размерами 150X150 мм, атак- же информация из схем передана на плату командой Design> Update (см. гл. 4.4). Ранее в P-CADe были две различные процеду- ры, во-первых загрузка списка соединений (NctList), а, во-вторых, загрузка списка изменений (ECO). В Altium Designer оба эти дей- ствия заменены одним, и это действие может выполняться в лю- бой момент работы с проектом, причем можно синхронизировать не только схему с платой, но и плату с ПЛИС, ПЛИС со схемой и т. д. Для синхронизации схемы с платой (или для первичной за- грузки элементов со схемы на плату') нужно, находясь в редакторе схем, выполнить команду Design>Update РСВ Document *.PcbDoc, после чего появится окно с надписью Engineering Change Order, знакомой всем разработчикам Р-CAD по аббревиатуре ECO. Здесь перечислены все отличия между двумя документами и предлагает- ся выбрать пункты для импорта изменений. В самом конце этого списка имеется группа Add Room, которая добавляет созданные комнаты на плату. Для внесения изменений в плату нужно после- довательно нажать кнопку Validate Changes, которая проверит воз- можность внесения указанных изменений, и затем Execute Changes и Close. В результате проделанных действий на плате поя- вятся комнаты, которые расположились автоматически в начале координат. Эти комнаты необходимо расположить на плате с учетом распо- ложения групп компонентов, которые привязаны к этим областям. Причем располагать области в площади платы следует аккуратно. Стоит помнить, что при перемещении комнаты перемешаются и компоненты, закрепленные за ней. Поэтому для позиционирова- ния комнаты нужно се выделить, а затем менять форму и положе- ние, перетаскивая метки, расположенные в вершинах комнаты. Для данного проекта следует расположить комнаты так, как пока- зано на рисунке 4.34. Теперь можно приступить к размещению компонентов. Снача- ла разместим компоненты, относящиеся к комнате PortO 1. При- чем, первым действием переместим все компоненты, относящиеся к комнате в соответствующую комнату, затем более корректно разместим компоненты внутри нужного участка. Выполним ко- манду Tools>Componcnt Pacement>Arrange Within Room, которая перемешает компоненты принадлежащие указанной комнате, и выберем на плате комнату PortO 1. Выполненная команда нс учи- 242
4.7. Размещение компонентов W example _nJesPctoOoc ’ Z2 PCS.PcrtSwfcherlD Sc№oc * Л РСВ.РоПЮ! D.SchDoc Ш PortSwtchedD Рис. 4.34. Размещение компонентов с помощью комнат (Room) 243
I лава 4. Разработка печатных плат тывает каких либо правил проектирования, а просто перемещает элементы в указанную комнату. Теперь разместим более правиль- но элементы вручную (рис. 4.35). Причем во время размещения можно пользоваться интуитивной подсказкой в виде линии связи цвет которой меняется от красного к зеленому. Зеленый цвет го- ворит о уменьшении суммарной длины цепей, отходящих от вы- бранного компонента (рис. 4.35). После размещения компонентов, принадлежащих к комнате PortO 1, можно автоматически разместить три комнаты, состав ко- торых совпадает с той, которая была размещена в ручную. Для этого выполним команду Design>Rooms>Copy Room Format, кото- рая позволяет копировать параметры комнат. Выбрав команду, Рис. 4.35. Работа с комнатами 244
4.7. Размещение компонентов Рис. 4.36. Копирование параметров комнаты курсор приобретает вид крестика, после чего нужно последова- тельно задать комнату-образец и конечную комнату. В нашем случае сначала выбираем комнату PortO 1, затем PortO4 и в появившемся окне предлагается скопировать следую- щие параметры (рис. 4.36): 1. Размещение компонентов в комнате. 2. Положение и форматирование позиционного обозначения. 3. Топологию внутри комнаты. 4. Форму и размеры комнаты. 5. Приметь вышеперечисленные команды не ко всем объектам комнаты, а только к выбранным.. 6. Выбираем параметры, отмеченные на рисунке 4.36 и кноп- кой ОК завершаем размещение компонентов второй комнаты. Стоит отметить, то после этого комната которую оформляли по образцу стала размешена вертикально и программа не вышла из инструмента копирования формата комнаты, поэтому выполняем ту же самую команду для остальных типовых комнат, после чего правой кнопкой выходим из этого инструмента. После этого мож- 245
Гпава 4. Разработка печатных плат но перемещать комнаты с расположенными в них объектами, по- этому позиционируем 4 комнаты, с которыми велась работа, так как они были размещены на предыдущем этапе (согласно рисун- ку 4.34). Описанная ситуация, когда на плате имеются несколько одина- ковых каналов, встречается не очень часто, но разные элементы описанной последовательности молено использовать и в других си- туациях. Рассмотрим теперь более востребованный инструмент, ко- торый позволяет размещать компоненты на плате кластерами, по- штучно. Выгляди это следующим образом: на схеме выделяются компоненты, которые по схемотехническим соображениям на плате должны быть размещены определенным образом и заранее извест- ным способом (наиболее часто это относится к микросхемам с эле- ментами их «обвязки»), и затем на плате специальный инструмент будет предлагать размещать эти компоненты поштучно в едином цикле. Посмотрим, как это делается на конкретном примере. Преж- де чем приступить к решению описанной задачи, убедимся, что на схеме и плате включена опция Tools>Cross Select Mode, которая обеспечивает одновременное выделение объектов в обоих редакто- рах. Теперь на схеме FPGA Sheet 1.SchDoc выделим компонент U1А, затем переключимся на схему FPGA Symbol I. SchDoc и рамкой вы- делим все конденсаторы в нижней правой-части схемы. Открыв по- сле этого файл платы, можно заметить, что компоненты указанные ранее на схеме, выделены на плате. Далее выполняется команда Tools>Component Placement Reposition Selected Components. В ре- зультате выполнения описанной команды, программа будет по- штучно предлагать устанавливать выбранные компоненты, причем начиная с микросхемы, которая на схеме была выделена первой. Разместим последовательно микросхему и относящиеся к ней кон- денсаторы, как показано на рисунке 4.37. При этом, наверняка, не у каждого разработчика получится ус- тановить конденсаторы ровными линейками (не потратив на это большого количества времени). Поэтому, после размещения эле- ментов их можно выровнять в указанном порядке или с заданным интервалом, для чего используется группа команд Align. В нашем случае, выделим рамкой группу конденсаторов слева от микросхе- мы и выполним команду из под правой кнопки мыши Align>Align Right (выровнять по правому краю). В результате будет получено более удачное размещение выделенного фрагмента (см. рис. 4.38). 246
4.7. Размещение компонентов Рис. 4.37. Последовательное размещение выбранных объектов 247
Г.пава 4. Разработка печатных плат Рис. 4.38. Выравнивание компонентов (Edit>Align) Группа команд Align довольно-таки широкая и нет смысла ос- танавливаться на каждой команде, т. к. большинство из них ин- туитивно-понятны многим разработчикам. Остальное размещение компонентов приведено в файле cxamplerulesFull.PcbDoc. 4.8. Создание классов цепей и компонентов Для объединения в классы объекты разного типа используется команда Dcsign>Classes. На рисунке 4.39 показано окно и описаны основные шаги по формированию класса цепей. В левой части окна Object Class Explorer перечислены все виды классов, которые могут быть созданы в Altium Designer: • Net Classes — классы цепей; • Component Classes — классы компонентов; • Layer Classes — классы слоев; • Pad Classes — классы контактных площадок; 248
4.8. Создание классов цепей и компонентов « From То Classes — классы маршрутов; • Differential Pair Classes — классы дифференциальных пар; • Design Channel Classes — классы каналов; • Polygon Classes — классы полигонов. Для создания нового класса надо нажать правой клавишей внутри нужной группы классов и в контекстном меню выбрать Add Class. В списке будет добавлен новый класс под условным на- званием New Class, который при необходимости можно переиме- новать, нажатием на нем правой клавиши мыши и выборе Rename Class. Рис. 4.39. Формирование класса цепей После этого для работы используются два окна: Non-Member и Member, в первом показаны все доступные цепи, а во втором — цепи текущего класса. Дчя добавления цепей в класс, их нужно выбрать в списке и нажать кнопку добавления цепей (см. рис. 4.39). Также имеется возможность добавлять в класс цепи, выбранные на плате (схеме), эти кнопки также показаны на ри- сунке 39. 249
Гпава 4. Разработка печатных плат 4.9. Трассировка проводников 4.9.1. Оптимизация цепей путем перестановки эквивалентных выводов и ячеек Быстро растущая интеграция электронных средств ведет к по- стоянному уплотнению монтажа радиоэлементов и соответственно увеличению соединений на печатной плате. Задача размещения элементов на плате порой бывает очень трудной, ведь необходимо не только учесть схемотехническое решение и конструктивные особенности, но и хотелось бы минимизировать длину соедине- ний. Первые два требования являются основной задачей разработ- чика печатных плат, а третья задача может быть значительно упро- щена при использовании логически заменяемых частей на плате (выводов, частей микросхем и т. д.) Сваппирование выводов элементов (логических частей микро- схем), это процедура замены расположения элементов, имеющих одинаковое логическое значение, для минимизации длины и избе- жания перекрещивания соединений. В программе Altium Designer имеется очень мощный инструментарий для решения данной за- дачи, позволяющий на любом этапе управлять элементами, при- годными для сваппирования. Для применения операции сваппирования .компоненты исполь- зуемые в схеме должны быть предварительно подготовлены, т. е. необходимо описать логически равные выводы и части микросхем. В Altium Designer данная процедура может быть выполнена на раз- личных этапах, но разумнее всего указать необходимые данные уже на этапе создания библиотечного компонента. Например, микро- схема 74НС32 показанная на рисунке 4.40, состоит из 4 логических частей, каждая из которых эквивалентна друг другу, причем внутри одной такой части входные выводы также эквивалентны. Для того чтобы описать условия эквивалентности выводов и частей микросхемы, необходимо в режиме редактирования биб- лиотеки выполнить Tools>Configure Pin Swapping. После выполнения данной команды открывается окно, пока- занное на рисунке 4.41, в котором представлены все компоненты данной библиотеки. Последние два столбца показанной таблицы указывают об уже описанных эквивалентных частях (Pin Swap и Port Swap). В данном окне необходимо выбрать компонент для описания и нажать кнопку Configure Component, после чего поя- вится окно показанное на рисунке 4.42. 250
4.9. Трассировка проводников Рис. 4.40. Микросхема, состоящая из четырех логических частей Рис. 4.41. Окно выбора элемента для ввода информации об эквивалентных частях В данной таблице слева в группе Pin Status показана начальная информация о выводах компонента, которая не подлежит редак- тированию. Справа в группе Swap Control Fields поля для указания эквивалентности. В данном случае для микросхемы показанной на рисунке 40, задается следующая информация: во-первых в графу Part Group ставится единица, что говорит о том, что все 4 части микросхемы могут меняться местами, во-вторых в графу Pin Group напротив эквивалентных выводов ставятся одинаковые цифры. Стоит отметить что информация обэквивалентности мо- жет быть любым текстовым символом, как в графе Pin Group, так 251
Г.пава 4. Разработка печатных плат Рис. 4.42. Таблица описания эквивалентности выводов и частей компонента и в Part Group. В нашем случае внутри каждой части микросхемы 74НС32 входные выводы эквивалентны, поэтому у выводов 1 и 2 в графе Pin Group стоят единицы, у выводов 4 и 5 двойки и т. д. По- сле внесения информации об эквивалентности частей закрываем все окна нажатием кнопки ОК. Рис. 4.43. Обновление компонента из библиотеки Чтобы описанные данные всту- пили в силу на схеме необходимо внести эти изменения из библиоте- ки в схему, для чего выбираем необ- ходимый компонент в панели SCH Library, и после нажатия правой кнопки мыши выбираем Update Schematic Sheet. Следующим действием обновля- ем плату в соответствии с измене- ниями на схеме, для чего в режиме редактирования платы выполняем Design>Update Schematics in *.prjpcb. В редакторе печатных плат для управления сваппированием выво- 252
4.9. Трассировка проводников I Signal Integrt^... Update From PCB Libraries... FPGA Signal Manager... Pin/Part Swappkig ► / Cross Probe ч> Cross Select Mode Convert ► Automatic Net/Pin Optimizer... Interactive Part Swapping Inter Cjff etertial-P ar Swapping Configure... Teardrops... Puc. 4.44. Гpynna команд для оптимизации цепей дов предназначена группа команд, вызываемая по Tools>Pin/Part Swapping (см. рис. 4.44) Здесь имеются следующие команды: Automatic Net/Pin Optimizer — автоматическая оптимизация цепей и выводов, Interactive Pin/Net Swapping — сваппирование выводов, Interactive Part Swapping — сваппирование частей микросхем, Interactive Differential-Pair Swapping — сваппирование проводников диффе- ренциальных пар. Последняя команда в данной группе — Configure, предназначена для описания эквивалентности, эту зада- чу мы выполняли на этапы формирования библиотек. При выпол- нении команды Tools>Pin/Part Swapping>Configure, появляется окно, показанное на рисунке 4.45. Рис. 4.45. Конфигурация компонентов для оптимизации цепей 253
Гпава 4. Разработка печатных плат Рис. 4.46. Разрешение и запрет перестановки выводов и ячеек Показанное окно похоже на од- ноименное окно в редакторе биб- лиотечных компонентов, за исклю- чением последней графы Enable in РСВ, в которой производится вклю- чение или выключение данного элемента при сваппировании. Опи- санное действие более удобно вы- полнять через панель Inspector (см. рис. 4.46), которая вызывается на- жатием клавиши F11 при выделен- ном компоненте. После описания эквивалентно- сти выводов и частей микросхем, и включении этих опций для соответ- ствующих компонентов на плате можно перейти к самой процедуре сваппирования, которая как уже было описано может быть выпол- нена различными способами. Самый простой вариант — выпол- нить автоматическое сваппированис, с помощью команды Tools>Pin/Part Swapping>Automatic Net/Pin Optimizer. В этом слу- чае программа будет оптимизировать расположение выводов и частей микросхем таким образом, чтобы максимально уменьшить суммарную длину связей и избежать перекрещивания. При завер- шении команды Automatic Net/Pin Optimizer программа выдаст сообщение о проделанной работе, в виде изменения суммарной длины испей (это сообщение и результат работы показан на ри- сунке 4.47). Автоматическое сваппированис переставляет местами и выводы и части микросхем, разрешенные для сваппирования. С помощью команды Tools>Pin/Part Swapping> Interactive Pin/Net Swapping можно выполнить сваппированис выводов одной указанной мик- росхемы. Порядок операций при использовании данной команды такой: после выбора команды все выводы, разрешенные для свап- пирования подсвечены (рис. 4.48а) и необходимо выбрать вывод для замены; после выбора вывода будут подсвечены тс выводы микросхемы, с которыми разрешено поменять местами выбранный вывод (рис. 4.486); и наконец, после выбора второго вывода они меняются местами, и линии связи перерисовываются (рис. 4.48в) По похожему алгоритму происходит операция сваппирования частей микросхемы. После выбора команды TooIs>Pin/Part 254
4.9. Трассировка проводников Рис. 4.47. Результат выполнения команды Automatic Net/Pin Optimizer Рис. 4.48. Результат выполнения команды Interactive Pin/Net Swapping Рис. 4.49. Результат выполнения команды Interactive Part Swapping 255
Глава 4. Разработка печатных плат Swapping> Interactive Part Swapping на плате будут подсвечены все микросхемы, состоящие из логических эквивалентных частей (рис. 4.49а). Далее выбирается вывод одной из логических частей после чего все выводы принадлежацие данной части будут затене- ны (рис. 4.496) и наконец, выбирается вывод другой логической части, с которой необходимо поменять выбранную, после чего происходит смена частей и перерисовка связей (рис. 4.49в) Используя операцию сваппирования можно не меняя располо- жения компонентов добиться значительного упрощения тополо- гии трассировки проводников на печатной плате. 4.9.2. Интерактивная трассировка В редакторе Р-CAD данная задача могла решаться с помощью команд Manual Route, Advanced Route или с помощью одного из двух автотрассировщиков (Spccctra или Situs, для P-CAD 2006). Здесь имеется примерно схожий инструментарий, который в отли- чие от Р-CAD обладает большей гибкостью в настройках. Начнем и интерактивной трассировки, которая в Altium Designer оконча- тельно вытеснила ручной режим. Прежде чем приступить к трас- сировке, следует ознакомиться с некоторыми настройками, кото- рые будут полезны в режиме прокладки проводников. Сначала об- ратимся к настройке DXP>Prcferenccs>PCB Editor>General. Здесь, большинству пользователей имеющих опыт р’аботы с Р-CAD будет нс удобна опция автоматического панорамирования (Autopan Options), которую рекомендуется выключить (Disable). На этой же вкладке стоит обратить внимание на количество команд, которые можно отменить (Undo/Redo). При большом количестве команд отмены и малом количестве оперативной памяти, программа будет завершать работу всякий раз при нехватки памяти, с сообщением содержащим текст: «Access violation at address XXXXXXXX in module 'ADVPCB.DLL'. Read of address XXXXXXXX at XXXXXXXX». Следующая страница настроек, которая играет наи- большую роль с точки зрения трассировки, это DXP>Preferences> РСВ Editor>Interactive Routing. Здесь в группе Routing Conflict Resolution перечислены режимы трассировки, которые определяют действие, применяемое к прокладываемой трассе и близлежащей топологии, при конфликтной ситуации. Во время трассировки эти режимы переключаются комбинацией клавиш Shift+R, рассмот- рим их немного позднее на конкретном примере. В группе Interactive Routing Connections перечислены дополнительные оп- 256
4.9. Трассировка проводников Рис. 4.50. Создание списка используемых переходных отверстий ции трассировки, из которых можно отметить Automatically Remove Loops — удалять старую дорожку, при прокладке новой (в отличии от Р-CAD эта команда удаляет не только сегменты трассы в одном слое, но и повторяющиеся петли с переходными отвер- стиями), Auto Complete — автоматически завершать прокладку трассы при доведении дорожки до центра. Последнее, что здесь стоит отметить — группа Interactive Routing Width/Via Sources. Здесь задается значение для толщины дорожки и переходного от- верстия, которое будет использоваться по умолчанию — рекомен- дуется выбрать значение Rule Preferred (Предпочтительное по пра- вилам). В этой же группе кнопки Favorite задают типоразмер тол- щин дорожек и параметров контактных площадок, которые могут быть использованы при трассировке. Кстати возможность созда- вать перечень стандартизованных переходных отверстий с указа- нием толщины площадки по слоям, появилась, только, в Altium Designer Winter 09 (см. рис. 4.50). Толщина во время трассировки меняется комбинацией клавиш Shift+W, переходное отверстие Shift+V. Если устанавливаемое зна- чение выходит за заданные рамки, то оно автоматически ограни- чивается верхним или нижним значением. Режим размещения проводника на плату включается командой меню Place>Interactive Routing или соответствующей пиктограм- мой из инструментов меню . Рассмотрим режимы интерактив- 9 зак. 32 257
Г.пава 4. Разработка печатных плат ной трассировки, для чего после выбора инструмента Place> Interactive Routing выберите начальную контактную площдд. ку и нажимайте Shift+R, до появления режима Ignore. Название режима отображается в строке состояния, под вкладками слоев, или во всплывающей информации в левом верхнем углу рабочей области. Там должна быть видна надпись Track45:Ignore Obstacle. Теперь по порядку: 1. Ignore Obstacle — игнорирование препятствий. Практически ручной режим, в котором не соблюдаются ранее созданные прави- ла проектирования. 2. Walkaround Obstacle — огибание препятствий. Программа огибает конфликтный объект с учетом минимальных зазоров и по оптимальной траектории. 3. Push Obstacle — расталкивание препятствий. В этом случае приоритет отдается прокладываемой дорожке и все встречающие- ся элементы топологии (трассы, переходные отверстия) расталки- ваются с учетом правил. 4. Hug and Push Obstacle — огибание и расталкивание препятст- вий. В отличии от описанного режима Walkaround, программа огибает препятствия только в заданном направлении, а не предла- гает оптимальный вариант. Причем, в узких участках, при невоз- можности обогнуть конфликтный объект, дорожка отталкивает его в сторону. Например, имея два проложенных параллельно идущих проводника, начинаем прокладывать третью дорожку (рис. 4.51а). Обратите внимание, что новая трасса идет сверху от имеющей- ся топологии, а курсор расположен снизу — этим обеспечивается создание параллельной топологии. Около конденсатора С204 для Рис. 4.51. Режим Hug and Push Obstacle 258
4.9. Трассировка проводников новой трассы не хватает место, но, проводя курсор левее и ниже имеющихся проводников, новая дорожка «отталкивает» сущест- вующие вниз. Во время интерактивной трассировки используются горячие клавиши, показанные в таблице 4.2. Таблица 4.2 Клавиша Описание выполняемого действия Tab Отображает окно свойств текущего проводника Enter Завершает трассировку прокладываемой дорожки 1 Backspace Удаляет последний излом проводника 'Esc Отменяет текущую трассировку Правая кнопка мыши Приостанавливает трассировку Spacebar Переключает режим размещения трассы Start или End SShift+Spacebar Изменяет режим размещения проводников ishift+R Изменяет режим преодоления препятствий !Shift+W(V) ij Изменяет толщину проводника (размер переходного отверстия) r Переключает слои трассировки в процессе размещения проводников II у Устанавливает переходное отверстие и завершает прокладку трассы г Показывает все, доступные в данный момент комбинации горячих клавиш. Интерактивная трассировка также может быть выполнена для нескольких параллельно идущих проводников (трассировка шин). Для этого используется специальная команда Place>Interactive Multi-Routing, которую можно также запустить, используя пикто- грамму . Прежде чем использовать команду трассировки шин, нужно выбрать контактные площадки, от которых отходят параллельные связи, а затем выполнить команду Interactive Multi-Routing. Выбрать несколько контактных площадок можно рамкой выделения, а также одиночным выделением с нажатой клавишей Shift. После того как указаны несколько площадок, за- пускается команда Interactive Multi-Routing и, затем, нужно ука- зать любую из выбранных площадок. Во время трассировки шины 259
Г.пава 4. Разработка печатных плат Рис. 4.52. Трассировка шин Рис. 4.53. Трассировка шин действуют те же горячие клавиши, что и в обычной трассировке (см. табл. 2), например, режим огибания препятствий (см. рис. 4.52а). Кроме того, можно менять зазор между проводниками шины, использую клавиши «.» (точка, увеличивает зазор между проводниками) и «,» (запятая, уменьшает зазор). Во время трассировки шин немного меняется режимы интерак- тивной трассировки. Так в режиме Walkaround огибание будет как показано на рисунке 53А, а в режиме Ignore — 53Б. Кроме этого добавляется новая команда, которая меняет режим установки переходных отверстий, при переходе шины со слоя на 260
4.9. Трассировка проводников А • Б Рис. 4.54. Трассировка шин слой. Для этого в режиме трассировки нажимается клавиша 5, ко- торая переключает два режима, показанные на рисунке 4.54. К интерактивной трассировке также относится еще один инст- румент, это трассировка дифференциальной пары, который прак- тически ничем не отличается от трассировки шин по выполнению. 4.9.3. Трассировка дифференциальных пар Дифференциальная передача сигналов, обеспечивает значи- тельно более низкий уровень излучения, сокращает количество выводов устройств и сигнальных шин и предоставляет возмож- ность передавать сигналы на относительно большие расстояния. Высокоскоростные тактовые сигналы компьютерных материнских плат и серверов передаются по дифференциальным линиям. Мно- гочисленные устройства, такие как, принтеры, коммутаторы, мар- шрутизаторы и сигнал-процессоры используют технологию низко- уровневой дифференциальной передачи сигналов LVDS (Low Voltage Differential Signaling). Дифференциальная передача сигналов подразумевает передачу одинаковой информации по двум проводникам. При этом исполь- зуются две шины, как минимум один передатчик (драйвер) с вы- водами позитивного и негативного сигналов и по одному прием- нику (ресиверу) на каждый сигнал. Драйвер передает сигналы ин- версно друг другу. В то время как позитивный выходной сигнал, совпадающий по фазе с входным сигналом драйвера, переходит из низкого уровня в высокий, негативный выходной сигнал, инверс- ный входному, переходит из высокого уровня в низкий. 261
Гпава 4. Разработка печатных плат Использование дифференциальной пары дает следующие пре- имущества: 1. Защищенность от шума. Так как на оба дифференциальных сигнала действует одинаковый шум, то в результате получения разности позитивного и негативного сигнала этот шум будет ниве- лирован. 2. Нечувствительность к опорному напряжению. В дифференци- альном сигнале всегда присутствует некоторый опорный уровень, позволяющий использовать его в случае, когда передатчик и при- емник имеют различные общие напряжения питания (различные земли). Это также позволяет решить проблемы, связанные с не- стабильностью напряжений общих выводов, и улучшить целост- ность сигналов. 3. Уменьшение излучаемых электромагнитных помех. Такие по- мехи возникают, в основном, во время переключения сигнала из одного состояния в другое. Поскольку оба дифференциальных сигнала переключаются одновременно, но противофазно, то воз- никающие излучения взаимно компенсируются. Кроме того, каж- дый из дифференциальных сигналов обычно имеет небольшую амплитуду, поэтому уровень излучения также небольшой. При трассировке дифференциальной пары рекомендуется руко- водствоваться следующими правилами: • Zod = ЮО Ом ±10 %. Дифференциальный импеданс, являю- щийся одним из основных факторов. Индивидуальный импе- данс каждого проводника также может быть специфицирован и обычно близок к 50 Ом. Расстояние между проводниками и/или ширина проводников тоже могут быть определены, но если не оговорен стек дифференциальных пар, то всегда по умолчанию используется значение дифференциального им- педанса. • Проводники пары должны быть подобраны по длине с точно- стью 0,635 мм. Более точное значение не играет особой роли, но может быть уменьшено при передаче сигналов с большой скоростью. • 'Расстояние между разными сигналами должно быть не менее 0,508 мм. Это расстояние между одним из проводников диф- ференциальной пары и проводником, по которому передает- ся другой сигнал. Необходимо увеличивать расстояние меж- ду двумя дифференциальными парами настолько, насколько возможно. 262
4.9. Трассировка проводников • Проводники тактового сигнала и группового сигнала данных должны быть подобраны по длине с точностью 6,35 мм. Более точное значение также не играет особой роли и зависит от скорости передачи. Поддержание постоянного опорного напряжения. Это означает удержание группового сигнала на одном слое с одним опорным на- пряжением. Дополнительные требования могут также предполагать ограничения в переходах на другие слои. (Под групповым сигналом здесь понимается несколько дифференциальных пар, объединен- ные одним тактовым сигналом и передающие схожую информа- цию). Кроме вышеперечисленных правил следует уделить внимание следующим особенностям трассировки дифференциальных пар: 1. Проводники позитивного и негативного сигнала должны быть не только согласованы по длине, но и должны быть располо- жены максимально симметрично. а) несимметричный вариант в Ь) предпочтительный вариант Ь) симметричный вариант 2. Симметрия разводки относится не только к проводникам, но и к переходным отверстиям. 3. Встречаются случаи, когда слой, отведенный под питание схемы, содержит несколько различных полигонов. Нежелательно, чтобы проводники высокоскоростных сигналов пересекали разры- вы между полигонами, поскольку это может разорвать путь воз- вратного тока синфазного сигнала, ухудшить качественные пока- затели сигнала и увеличить электромагнитные помехи и «дрожа- ние» потенциала питаний и земли. 4. Рекомендуется предотвращать создание отводов от основного проводника, когда это осуществимо, потому что они могут также ухудшать качество сигнала и создавать дополнительные электро- магнитные помехи. 263
Гпава 4. Разработка печатных плат 5. Рекомендуется преобладание диагональной трассировки, так как при вертикальной и горизонтальной трассировке проводники будут параллельны текстуре текстолита. При этом появляется воз- можность попадание негативного и позитивного проводника на разные слои текстолита, которые обладают различной диэлектри- ческой проницаемостью, что создаст различие в действии помех. Разводка дифференциальных пар накладывает новые, довольно таки сложные правила при проектировании печатных плат. Преж- де всего эти правила предъявляются к САПР печатных плат и тре- буют расширения их инструментария для реализации вышеопи- санных требований. В программе Altium Designer имеется инструментарий, позво- ляющий размешать дифференциальные пары с учетом всех выше- изложенных требований. Причем, прежде чем этот инструмента- рий использовать, необходимо создать дифференциальные пары и указать правила, согласно которым они должны быть выполнены. Ранее в главе 3.11 было сказано, как создать дифференциальную пару на схеме. Предположим, что в схеме эта задача не была сде- лана и ее необходимо осуществить в редакторе плат. plfleientiot Pau Positive Net LVDSJ3 Negative Net [LVDS.N Ц Properties------------------------------------------------* Name; |LVDS1 ~ j I OK I [ Cancel | rue. 4.зэ. созоание новой отрферёнциальнои пары Работа с дифференциальными парами в редакторе плат ведется через панель РСВ, которая была подробно описана в главе 4.5. Выбираем в панели РСВ режим работы с дифференциальными па- рами (аналогично выбору режима работы с цепями, компонента- ми и т. д.), и нажимаем кнопку Add (рис. 4.56), для создания но- вой пары. 264
4.9. Трассировка проводников В окне, появившемся на экране (рис. 4.55) требуется указать пози- тивный и негативный сигналы пары, а также задать уникальное название. Если после этого вы- брать в верхнем окне панели РСВ строку All Differential Pairs, то во втором окошке будет показана созданная пара (см. рис. 4.56) . В панели РСВ можно выпол- нять весь спектр работ с парами аналогично работе в этой панели с цепями и компонентами. Кроме этого, здесь же можно создать правила для дифференциальной пары с помощью мастера по кноп- ке Rule Wizard. На втором шаге мастера пред- лагается указать суффиксы, кото- рые будут добавлены к именам правил данной пары. Данные суф- фиксы можно нельзя оставлять по умолчанию, т. к. для следующей пары программа будет присваи- Рис. 4.56. Управление дифф, парами Рис. 4.57. Добавление правил для дифференциальной пары 265
Гпава 4. Разработка печатных плат вать такие же. Рекомендуется вместо предлагаемого суффикса пи- сать название пары (см. рис. 4.57). На следующем этапе будет предложено указать толщину про- водников пары. Причем, здесь можно указывать не абсолютное значение толщины, а значение импеданса (рис. 4.58), по которому толщина проводника будет рассчитана автоматически с учетом значений и формулы указанной ранее (см. гл. 4.3.2.). Напоминаем, что толщина проводников рассчитывается автоматически по зна- чению импеданса, только при наличии слоев типа Internal Layers! Diffqentjal Pair Rule Wj7^rd Choose Width Constraint Properties F4I r the properties of the width rule the* wi apply to the selected titferertial pairs LVDS1_Width ' Rule Properties------------*--- Preferred Impedance TOOOohms Min Impedance 70 OOohrns Max Impedance 70ODohms • Check I rack :/Arcs Min/Max Width IndtafaB? Check Мп/Мая Width 1м РЬумсьЗу Corrected Copper И Characteristic Impedance Driven Widthj У! Layers m layere'ad* only пары [ Caned ] | <£ack || ЫаО На следующих этапах будет предложено указать правила допус- ка на выравнивание проводников в паре (Matched Net Lengths), зазор в паре и величина огибания препятствия (Differential Pairs Routing). Теперь можно выполнить трассировку дифференциаль- ной пары, для которой используется инструмент Interactive Diff. Pair Routing. Все команды управления трассировкой пары анало- гичны командам трассировки одиночного проводника. При трас- сировке стоит обратить внимание на длину проводников, которая будет отображаться в панели РСВ, сразу после завершения про- кладывания дорожки. 266
4.9. Трассировка проводников 4.9.4. Автоматическая трассировка (Situs) Инструментарий автотрассировки расположен в меню Auto Route, в котором имеются следующие инструменты: АП — трассировать все проводники; Net — трассировать одну цепь; Net Class — трассировать класс цепей; Connection — трассировать все цепи подсоединенные к данно- му выводу; Area — трассировать все проводники соединяющие выводы в выделенной области; Room — трассировать все проводники, между компонентами в выбранной области размещения; Component — трассировать все проводники, подходящие к вы- бранному компоненту; Component Class — трассировать все проводники, подходящие к выбранному классу цепей; Connections on selected components — трассировать все провод- ники внутри выбранных компонентов; Connections between selected components — трассировать все проводники между выбранными компонентами; Fanout — трассировать Fanout для выбранного элемента (цепь, КП, компонент и т. д.). Автоматическая трассировка отдельных элементов дает не очень удовлетворительный результат, т. к. нет возможности на- стройки ее алгоритма, который может быть указан только для трассировки всей платы. Соответственно для того чтобы удалить один или несколько разведенных проводников, следует выполнить Tools>Un-Route>All (Net, Connection, Component, Room). Предположим, что у нас имеется расстановленная и частично разведенная плата и нам необходимо выполнить оставшуюся трас- сировку автоматически, для этого выполним Auto Route> АП, по- сле чего появится окно Situs Routing Strategies (это же окно появ- ляется при выполнении Auto Route> Setup, см. рис. 4.59). Данное окно служит для настройки стратегии трассировщика Situs. В верхней части окна перечислены все правила проектирования, заданные для данного проекта, здесь они доступны для редактиро- вания. Ниже на вкладке Routing Strategy присутствуют пять заранее за- данных эталонных стратегий трассировки: 267
Гпава 4. Разработка печатных плат Cleanup -- «чистка» топологии; Default 2 Layer Board — простая двухслойная плата: Default 2 Layer With Edge Connectors — двухслойная плата с торцевым разъемом; Default Multilayer Board — многослойная плата; General Orthogonal — преимущественно ортогональная трасси- ровка. Via Miser — трассировка с минимизацией числа переходных от- верстий. 268
4.9. Трассировка проводников Включение параметров: l .Lock All Pre-Routing — позволяет зафиксировать все ранее разведенные цепи. 2 . Rip-Up Violations After Routing — удаляет конфликтующие участки топологии после трассировки. При необходимости пользователь может задавать свои страте- гии трассировки, для чего следует нажать кнопку Add в окне Situs Routing Strategies после чего появится окно Situs Strategy Editor (см. рис. 4.60). Рис. 4.60. Описание стратегии трассировки В стратегию можно добавить следующие, наиболее значимые процедуры: Adjacent Memory — соединяет выводы U-образными проводни- ками. Clean Pad Entries — чистит подходы к контактным площадкам. Completion — добивается завершенности трассировки, для чего использует методы разрыва и расталкивания препядствий. 269
Глава 4. Разработка печатных плат Memory (память) — процедура находит все цепи, связанные с устройствами памяти или похожие на таковые, и использует эври- стический алгоритм. Рекомендуется всегда включать эту процеду- ру, даже в случаях, когда на плате нет запоминающих устройств. Если на разрабатываемой вами плате присутствует настоящий банк памяти, и его местоположение, ориентация и привязка стро- го оговорены, для оценки его разводки рекомендуется включить только эту процедуру, а все остальные выключить. Fan Out Signal — прорисовывает стрингеры у КП поверхност- ного монтажа на сигнальных слоях. Fan Out to Plane — прорисовывает стрингеры у КП поверхност- ного монтажа с переходами на внутренние слои питания и зазем- ления. Hug — уплотнение проложенных дорожек. Layer Pattern (шаблон для слоя) — используются шаблоны трас- сировки с учетом преобладающего направления на слое. Main — главная процедура топологической трассировки с ис- пользованием методов разрыва и расталкивания препятствий. Rccomer — добавление сглаживания прямых углов. Spread — равномерно использует доступное для прокладки ме- сто. Straighten — выполняет общую чистку топологии. После выбора стратегии трассировки запускаем программу Situs, нажатием кнопки Route All в окне Situs Routing Strategies. В Altium Designer имеется интерфейс обмена данными с про- граммой Specctra. Для импорта платы в программу Specctra, она сохраняется с расширением *.dsn, для этого выполним команду меню File>Savc As. На экране появится диалоговое окно, предла- гающее сохранить файл в одном из поддерживаемых форматов экспорта. В выпадающем списке в нижней части окна выберем тип файла Export Specctra Design File (*.dsn). Предлагаемое по умолчанию имя файла автоматически получит расширение *.dsn. Далее надо указать папку, в которую будет сохранен наш проект. Следует помнить, что программа Specctra не поддерживает имена папок с пробелами, поэтому во избежание возможных затрудне- ний с последующей обработкой файла создадим в корне одного из дисков новую папку с именем Specctra. Оставим имя проекта без изменений и закроем окно нажатием кнопки «Сохранить». На эк- ране появится диалоговое окно Setup Specctra Router, которое со- держит настройки, призванные управлять передачей данных о 270
4.10. Редактирование объектов на плате правилах проектирования и стратегии в программу Specctra. На самом деле это окно никак не влияет на содержимое экспортируе- мого файла, все эти настройки можно выставить в самой програм- ме Specctra, поэтому просто закроем его. После трассировки платы в программе Specctra в папке будут созданы файлы отчета, главным из которых является файл *.rte, содержащий описание проложенных проводников. Именно его мы и будем импортировать в Altium Designer. Выполним команду File>Import и в появившемся окне выберем файл *.rte. Нажмем кнопку «Открыть». Программа загрузит нужный файл и одновре- менно с ним автоматически откроет файл *.sts, содержащий отчет о выполненной трассировке. Щелчком на вкладке с именем файла *.PcbDoc вернемся в редактор печатных плат. 4.10. Редактирование объектов на плате Во время работы не редко возникает необходимость исправлять уже выполненные размещение и топологию, для чего в Altium Designer используются специфические приемы, знание которых позволит значительно повысить эффективность работы с програм- мой. Ранее было сказано, что для исправления свойств объектов нужно использовать панель Inspector, хотя можно пользоваться стандартным окном свойств объекта. Разница заключается в том, что свойства вы можете редактировать только для одного объекта, а панель Inspector позволяет работать с группой! Прежде чем изменить свойства или расположение объекта, его необходимо выделить. Рассмотрим особенности одиночного и группового выделения объектов в редакторе плат. Для выделения одного объекта нужно выполнить нажатие ле- вой кнопки мыши на его графике, при этом может появиться всплывающее окно, показанное на рисунке 4.61. Данное окно появляется тогда, когда под курсором находятся несколько объектов на нескольких слоях. Здесь необходимо вы- брать из списка тот объект, который надо выделить. После выбора объекта, клавишей F11 запускаем панель Inspector, где для редак- тирования доступны все свойства объекта. При редактировании проводника (рис. 4.62), на его графике показаны метки (в центре и по краям). При перемещении меток, меняется форма сегмента, а 271
Г.пава 4. Разработка печатных плат Рис. 4.61. Выбор объекта на печатной плате при перемещении сегмента за свободную часть, меняется его поло- жение. Причем, во время редактирования топологии работают те же режимы огибания препятствий, что и при создании топологии. Например, на рисунке 4.62В выделенный сегмент перемещается в режиме Pus (расталкивание топологии), а на рисунке 4.62С — в ре- жиме Hug (огибание). 2ПП1 Рис. 4.62. Редактирование одиночного проводника Для редактирования нескольких проводников их нужно выде- лить, для чего можно использовать два режима выделения, во-первых, нажав клавишу Shift, можно поштучно выбрать необ- ходимые объекты (при этом есть недостаток, при плотной плате 272
4.10. Редактирование объектов на плате Inside Area CHJtsrde Area Toychng Rectangle Touching Цпе Al Ctrl+A Board Ctrt+8 Net Connected Copper Ctrl+H Physical Connection Component Connections Component Nets Room Connections AFi on Layer Free Objects AK Locked Off £rid Pads Toggle Selection Рис. 4.63. Меню Select часто будет появляться окно рис. 4.61), во-вторых, можно выделять с помощью ко- манды Select. Рассмотрим использование ко- манды Select на примере, когда необходимо передвинуть несколько параллельных сег- ментов (рис. 4.64). Так как, все указанные сегменты расположены на верхнем слое пла- ты, командой Shift+S, переключаемся в од- нослойный режим и активный делаем слой Тор. Нажимаем клавишу S, после чего на эк- ране отобразится контекстное меню Edit>Selcct (рис. 4.63), из которого можно выбрать режим выделения объектов. В первой группе здесь перечислены стан- дартные для многих САПР программы выде- ления объектов: • Inside Area — выделить объекты, по- павшие в рамку выбора; • Outside Area — выделить объекты не попавшие в рамку выбора; • Touching Rectangle — выделить объекты пересекающиеся рамкой; • Touching Line — выделить объекты, пересекающиеся линией. Выбираем вариант Touching Line и проводим линию по объек- там, обведенным зеленым контуром на рисунке 4.64, после чего те сегменты топологии, которые были пересечены линией, будут вы- делены. Теперь можно захватить левой кнопкой за свободное от маркеров место любого сегмента и передвинуть вверх все выбран- ные объекты (результат показан на рис. 4.64 справа). Если на плате имеется несколько одинаково размещенных фрагментов, которые обладают одинаковой топологией и ранее нс были реализованы в виде каналов на схеме, то можно копировать топологию с одного участка на другой. Рассмотрим на конкретном примере (рис. 4.65), где имеется четыре дифференциальных пары, у которых должна быть одинаковая топология. После выполнения трассировки одной пары, находясь в однослойном режиме (для удобства выделения), вызываем меню Select (клавишей S) и в поя- вившемся списке выбираем команду Inside Area, после чего рам- кой обводим готовую топологию дифференциальной пары. Эту 273
i лава 4. Разработка печатных плат операцию можно выполнить, просто выделив объекты рамкой, но при этом велика вероятность, что случайно будет захвачен и пере- двинут компонент или друг oil объект. После выделения пары, комбинацией Ctrl+C копируем выде- ленные объекты в буфер обмена (при этом, не забывая, что про- грамма при копировании требует указать точку привязки, которую удобнее всего указать в один из выводов, например, в правый верхний). Теперь, нажимая Cirl+V. вставляем из буфера выбранный ра- нее участок нужное количество раз. причем, если увеличить мас- штаб на участке, отмеченном зеленым на рис. 4.65, можно заме- 274
4.10. Редактирование объектов на плате тнть, что добавленная топология имеет свойства тех цепей, к кото- ром он подключена! Кроме описанных приемов, большую роль в редакторе плат, также как и ранее в других редакторах, играют инструменты гло- бального редактирования. Рассмотрим на наиболее востребован- ном примере: имеется цепь, толщина которой имеет 0,254 мм (на- пример, при трассировке конструктор забыл указать, что толщина берется из правил, и значение было установлено по умолчанию), а необходимо уменьшить толщину этой цепи до 0,2 мм. Для этого нажимаем правой клавишей на нужной цепи и выбираем команду Find Similar Objects. Рис. 4.66. Выделение дорожек заданной цепи В появившемся окне (рис. 4.66) помимо того, что в верхней строке указан тип объекта Track, указываем второй критерий вы- деления в строке Name. Убеждаемся, что в нижней части панели включены все опции, кроме Clear Expression, и нажимаем клавишу ОК. Напоминаем, что панель Find Similar Objects предназначена только для выбора критериев выделения объектов, в ней нельзя изменить свойства объектов! • 275
Г.пава 4. Разработка печатных плат После нажатия ОК на плате будет выбрана вся цепь, указанная в запросе. Теперь в панели Inspector (FI I) можно отредактировать толщину цепи. 4.11. Проверка правил проектирования. Верификация платы Программа Design Rule Checker (DRC) представляет собой высо- копроизводительный автоматизированный программный модуль, проверяющий как логическую, так и физическую целостность про- екта печатной платы. Использование этого модуля при трассировке для контроля соблюдения минимальных зазоров и отсутствия дру- гих нарушений обязательно. Так как редактор печатных плат позво- ляет в любое время вносить изменения в проект, рекомендуется все- гда проводить проверку правил проектирования перед окончатель- ным выводом чертежей. Функция проверки правил проектирования в режиме реального времени активизируется на вкладке PCB>General диалогового . 4.67. Список, правил постоянной и пакетной (Baih) проверок 276
4.11. Проверка правил проектирования. Верификация платы окна Preferences. Включение этой функции в режиме ручной трас- сировки позволяет незамедлительно обнаружить и выделить ошибки в работе. Правила, проверка которых будет производиться в режиме реального времени, настраиваются на вкладке Rule То Check диалогового окна Design Rule Checker (см. рис. 4.67), вызы- ваемого командой меню Tools>Design Rule Check. Для включения правила в постоянную (online) или ручную (batch) проверку, сле- дует установить флаг в соответствующем столбце напротив данно- го правила (рис. 4.67). Чтобы включить/выключить все правила следует нажать ПК на названии любого правила и в выпадающем списке выбрать соот- ветствующее значение. Рекомендуется для постоянной проверки выключить все правила (по правой кнопке —> Online DRC>All Off) и включить только проверку зазоров по металлизации и меж- ду компонентами (Clearance и Component Clearance). В ручную проверку стоит включить все правила, которые были созданы для данного проекта (по правой кнопке —> Batch DROUsed On) Перед запуском ручной проверки, можно указать дополнитель- ные опции на вкладке Report Options, которые позволяют: Create Report File — автоматически создается файл отчета про- граммы проверки правил проектирования с расширением .DRC, который затем открывается текстовым редактором. Create Violations — подсвечивание нарушений, при включении этой опции места нарушения правил (примитивы) будут выделять- ся специально заданным цветом. Sub-Net Details — опция работает совместно с правилом проек- тирования Unrouted Net Rule. Ее включают в случаях, когда требу- ется просмотреть все детали неразведенных цепей. Правило про- ектирования Unrouted Net Rule должно включаться только после трассировки всех соединений, так как виртуальная линия связи соединений воспринимается программой проверки как разомкну- тая цепь. Stop When XX Violations — редактор печатных плат автоматиче- ски остановит процесс проверки правил проектирования при на- хождении заданного числа нарушений. Запуск проверки осуществляется нажатием кнопки Run Design Rule Check, после чего на экране все объекты будут подсвечены цветом ошибки (котовый задается в окне View Configuration — клавиша L, в строке DRC Error Markers). Для поиска ошибок сле- дует использовать панель РСВ (см. гл. 4.5) 277
Гпава 4. Разработка печатных плат 4.12. Добавление механических деталей на плату Начиная с версии Summer 08, в программе на высоком уровне поставлено взаимодействие с данными MCAD-систем. Это позво- ляет импортировать, редактировать и проверять сопряженность с механическими деталями в проекте платы. Файлы данных MCAD могут иметь связь с реальными файлами, что позволяет обновлять информацию о деталях в Altium Designer. Ранее установка механических деталей в проекты печатных плат средствами ECAD, как и последующий импорт в MCAD, яв- лялись сложным многоэтапным процессом. В Altium имеется це- лая группа команд, позволяющая добавлять детали на плату и раз- мешать их согласно техническим требованиям. Данная возмож- ность позволяет не только установить на плату такие детали, как радиаторы, втулки, подставки и т. д., но также позволяет устано- вить плату в корпус и добавить другую плату с компонентами. В качестве формата взаимодействия механических САПР и Altium Designer используется формат STEP, который является универсальным стандартом обмена моделями среди механических САПР. Модель в формате STEP может быть сформирована в лю- бой из программ твердотельного моделирования (SolidWorks, РгоЕ, Компас и т. д.), и может иметь геометрию любой сложности. Также этот формат используется большинством разработчиков электронных компонентов, которые предоставляют модели корпу- сов производимой базы. Altium Designer поддерживает оба форма- та STEP АР203 и STEP АР214, однако стоит помнить, что в фор- мате STEP АР203 не сохраняется информация о цвете модели. Altium Designer позволяет вести работу с моделями в формате STEP в двух видах, во-первых, можно просто добавить деталь на плату, а, во-вторых, можно создать ссылку на файл модели. Ссыл- ка на файл предполагает наличие горячей связи между приложе- нием и исходным файлом модели. При создании такой ссылки, и последующем изменением исходной модели STEP в основной программе, Altium Designer автоматически определяет эти измене- ния и предлагает внести их в модель на плате. Это означает, что Altium Designer позволяет вести параллельную работу одновремен- но в механической и электронной САПР. Для использования ссы- лок на файлы моделей, должны быть указаны пути к хранению 278
4.12. Добавление механических деталей на плату этих моделей, т. е. некоторые директории, которые Altium посто- янно будет проверять на наличие обновлений. Рассмотрим инструментарий работы с механическими деталями в редакторе плат Altium Designer на конкретных примерах. В дан- ном примере будут созданы ссылки на две модели STEP, которые составляют сборку корпуса некоторого устройства, в которой бу- дет использоваться плата. Сопоставив детали корпуса с платой, выполним проверку сопряженности деталей и отредактируем раз- мещение компонентов, с учетом механических частей. В этом примере будет использован проект multivibrator_step.pcbdoc, кото- рый расположен в папке Altium Dsigner/Examples/Tutorials/ multivibrator_step. В этой папке также находятся необходимые для работы модели в формате STEP. Процесс создания моделей дета- лей корпуса, платы и компонентов в механической САПР здесь не рассматривается, предполагается, что все модели уже готовы. Первым действием перед использованием моделей в формате STEP необходимо указать ссылку на директорию, в которой хра- нятся нужные модели. Для этого выполним следующие действия: I. Открываем окно настроек DXP>Preferences>PCB Editor>Models; 2. Нажимаем кнопку в области Model Search Path, указы- ваем папку, содержащую модели (Altium Dsigner/Examples/ Tutorials/multivibrator_step) и нажимаем ОК. Если папка с моделя- ми находится в директории текущего проекта, то не обязательно указывать полный путь к расположению моделей, достаточно ука- зать только расположение относительно папки проекта; 3. После выбора папки с моделями, нажимаем кнопку Add и она добавляется в текущий список; 4. Нажимаем кнопку ОК в диалоговом окне Preferences. После определения места хранения моделей, можно приступить к размещению моделей STEP или ссылок на них в документе пла- ты. Для дальнейшей работы откроем документ платы, к которой будут добавлены детали корпуса: I. Откроем плату multivibrator_step.pcbdoc (File>Open), распо- ложенную в папке Altium Dsigner/Examples/Tutorials/ multivibrator_step; 2. Выполним команду Place>3D Body, при этом откроется диа- лог 3D Body. В этом диалоге задаются настройки для трехмерных моделей объектов. Для создания ссылки на ЗО-модель, нужно вы- 279
Г.пава 4. Разработка печатных плат брать Generic STEP Model в области 3D Model Туре, и затем на- жать кнопку Link to Step Model. В результате появляется окно, в котором представлен список всех моделей, находящихся в под- ключенных директориях. Примечание: 3D-модели можно разме- щать как в двухмерном, так и в трехмерном режиме (переключе- ние режимов осуществляется клавишами 2(2D) и 3(3D); 3. Выберите файл multivibrator_base.step и нажмите ОК в обоих окнах. Модель становится привязана к курсору, для размещения нужно нажать левой кнопкой мыши в любом месте рабочей облас- ти. Теперь на плате имеется модель с ссылкой на исходный файл, которая будет обновляться при изменении базовой модели; 4. После размещения первой модели на экране снова появляет- ся диалог 3D Body, где предлагается установить следующую мо- дель. Снова нажимаем кнопку Link to Step Model, в списке выби- раем модель multivibratorcover.stcp и размещаем ее аналогичным образом. 5. После размещения двух моделей нажимаем кнопку Cancel в диалоге 3D Body, для выхода из режима размещения. Если размещение происходило в обычном (двумерном) режи- ме, то на экране показаны прямоугольники, нарисованные на слое Mechanical 1. Чтобы просмотреть результат размещения дета- лей переключимся в трехмерный режим, нажатием клавиши 3. Если на экране не отображаются трехмерйые детали, значит, от- ключен их просмотр. Для включения отображение STEP-деталей и других трехмерных объектов, нужно (находясь в режиме 3D!) включить обе опции в разделе 3D Bodies (рис. 4.68) в настройках Design>Board Layers and Colors (клавиша L). / 3D Bodes----- Show Srnple 30 Bodes Show STEP Models 0 Show Snap Pori Makets ______ Puc. 4.68. Включение отображение 3D моделей В результате на экране кроме платы будут показаны две модели деталей корпуса. Теперь нужно разместить эти детали относитель- но платы, таким образом, как они будут выглядеть в сборке. Для выравнивания импортированных деталей в Altium Designer исполъ- 280
4.12. Добавление механических деталей на плату зуются грани модели или контрольные точки (заданные пользова- телем). Кроме этого имеется возможность врашать модель детали в любой плоскости и указывать высоту расположения относительно платы. В рассматриваемом примере модели располагаются в рабо- чей области случайным образом и далеко разнесены относительно платы, поэтому необходимо выполнить действия по выравнива- нию. Для решения этих задач могут быть использованы следующие операции: 1. Установка вертикального положения модели относительно плоскости платы; 2. Использовать одиночную контрольную точку для перемеще- ния всей модели; 3. Использовать три контрольных точки для перемещения, вы- равнивания и вращения модели; 4. Использовать поверхность платы для выравнивания модели относительно платы; 5. Использовать элементы управления моделью через диалого- вое окно свойств 3D модели. В рассматриваемом примере будут показаны все перечисленные методы позиционирования модели относительно платы. В тех случаях, когда модель детали имеет такую же ориента- цию, как плата (т. е. совпадает направление базовых осей модели и платы), для позиционирования может быть использована одна точка на модели. В рассматриваемом примере, модель имеет ту же ориентацию, что и плата. Смотря на данную плату и базовые дета- ли корпуса, видно, что на корпусе имеется прямоугольный вы- ступ, который должен проникать сквозь плату. При этом на плате пока нет соответствующего отверстия, для этого прямоугольно вы- ступа, место этого отверстия необходимо определить. Можно из- мерить в механической САПР положение этого паза и по нему оп- ределить положение отверстия в плате. Прежде всего, следует из- мерить внутренний размер корпуса, чтобы определить, поместится ли в него плата: 1. Переключаемся в 3D режим, нажатием клавиши 3; 2. Выполняем команду Tools>3D Body Placement>Measure Distances; 3. Нажатием левой кнопки мыши выделяем нижнюю часть кор- пуса, после чего курсор принимает вид трехмерного прицела (го- лубой, шестиконечный), который перемещается по привязкам на вершинах модели; 281
Гпава 4. Разработка печатных плат 4. Чтобы измерить размер внутренней части корпуса, куда будет устанавливаться плата, нужно выбрать две точки, как показано на рисунке 4.69, сначала измеряем ширину, затем длину; Примеча- ние, из-за базовой дюймовой системы координат, размеры могут быть отображены с погрешностью 0,00001 мм. Рис. 4.69. Измерение внутренних размеров базовой части корпуса 5. Аналогично можно измерить диагональ внутренней части, при этом будут показаны и внутренние размеры, после заверше- ния измерения следует нажать правую кнопку мыши. Теперь, чтобы определить поместится ли плата в данный кор- пус, измерим параметры самой платы, для чего выполним Reports>Board Information. Здесь можно определить многие пара- метры платы, среди которых линейные размеры (50,8X50,8). Следующим шагом необходимо определить базовую точку в центре прямоугольного выступа в корпусе, для сопряжения с пла- той. Расположение паза требует наличия отверстия в плате и неко- торой зоны запрета, вокруг этого отверстия: 1. Выполним команду Tools>3D Body Placement>Add Snap Points from Vertices (в терминологии Altium Designer Vertices — это точки привязки на вершинах детали, Snap Points — точки привяз- ки заданные пользователем); 282
4.12. Добавление механических деталей на плату Рис. 4.70. Установка базовых точек 2. Выберите, нажатием левой кнопки мыши, нижнюю деталь корпуса, после чего к ней будет привязан курсор прицела; 3. Перемешаем курсор по модели и выбираем точки привязки на каждом из углов двух прямоугольных выступов (т. е. создаем там пользовательские привязки). Красными стрелками на рисун- ке 4.70 показаны вершины большого паза, а синими стрелками вершины малого паза. После выбора точек нажмите правую кнопку мыши или Esc для завершения; 4. Кроме выбранных точек для работы понадобится еше одна — это центр паза. Для установки этой точки снова вызовем режим установки точек и выберем деталь; 5. Далее клавишей Space выбираем режим Mid-point, который создаст точку привязки между двумя указанными точками. Выби- 283
Гпава 4. Разработка печатных плат раем две точки по диагонали паза и клавишей Esc завершаем опе- рацию. На следующем этапе, поместим точку привязки в центре платы в виде контактной площадки. 1. Переключаемся в двумерный режим, и проверяем, чтобы на- чало координат было размещено в левом нижнем углу платы. Если это не так, то редактируем положение этой точки командой Edit>Origin; 2. Выполняем команду Place>Pad; 3. Выполним команду Edit>Jump>New Location, которая позво- ляет перейти в заданные координаты; 4. В появившемся окне вводим обе координаты 25,4 и последо- вательно два раза нажимаем клавишу Enter. В результате в центре платы будет размешено металлизированное отверстие. Теперь можно позиционировать нижнюю деталь корпуса отно- сительно платы, используя одну точку привязки. Для этой проце- дуры не обязательно наличие пользовательских точек привязки, здесь могут быть автоматические точки привязки, которые форми- руются на вершинах модели. 1. Переходим в трехмерный режим (клавиша 3); 2. Выполняем команду Tools>3D Body Placement>Position 3D Body; 3. Нажатием левой кнопки мыши выбираем модель нижней де- тали корпуса, после чего на ней появляется курсор прицела; 4. Нажимаем клавишу Tab, для выбора режима точек. Здесь можно использовать для сопоставления точки привязки (Vertices) и пользовательские точки привязки (Snap Point). В данном случае следует выключить опцию Include Vertices; 5. Перемещаем курсор в центр модели и левой кнопкой фикси- руем эту точку; 6. Перемешаем курсор в центр платы и левой кнопкой фикси- руем положение, когда курсор совпадет с центром контактной площадки. В результате Деталь корпуса будет совмещена с платой. Примечание: При выборе центра платы курсор должен принять зеленый цвет, что означает, что его положение попадает в элек- трическую сетку вокруг контактной площадки. При таком позиционировании платы, она получается подвеше- на над корпусом, поэтому теперь необходимо совместить плос- кость нижнего слоя платы с верхней гранью широкого паза. Для этого, находясь в трехмерном режиме, двойным щелчком заходим 284
4.12. Добавление механических деталей на плату в свойства модели нижней детали корпуса и в списке Body Side выбираем Bottom Side. При этом корпус стал расположен обрат- ной стороной к плате, чтобы повернуть его в нормальное положе- ние выполним команду. Теперь, совместим плоскость платы с гранью паза, для чего вы- полним следующие действия: I. Tools>3D Body Placement>Sct Body Height; 2. Левой кнопкой мыши выберем модель нижней детали платы, после чего появится курсор прицела; 3. Переместим курсор на один из углов широкого паза, для того чтобы задать грань для выравнивания; 4. В появившемся окне предлагается задать расстояние, на ко- торое будет отодвинута плата от выбранной грани паза. В нашем случае выбираем вариант Board Surface, в этом случае выравнива- ние будет происходить по плоскости платы. Итак, позиционирование нижней детали корпуса завершено, и в целом оно не содержало сложных действий, т. к. ориентация де- тали совпадала с ориентацией платы. Теперь разместим вторую де- таль, ориентация которой не совпадает с платой. Сначала выпол- ним выравнивание детали по плоскости платы: 1. Tools>3D Body Placement>Align Face with Board; 2. Выберите нижнюю деталь корпуса нажатием левой кнопки мыши; 3. Курсор мыши становится привязан к детали, и при переме- щении разные грани детали становятся подсвечены, а сама деталь показана прозрачной. Нужно выбрать ту грань, которая будет вы- ровнена с плоскостью платы (см. рис. 4.71). Результатом описанного действия будет совпадение плоскости платы и нижней грани детали. При этом направление детали и платы может не совпадать, поэтому совместим деталь с платой ис- пользуя три точки (в отличии от предыдущей детали, которая со- поставлялась по одной точке). Для данной задачи используется следующий подход: выбираются три точки на плоскости детали, и затем три точки на плоскости платы, направление которых долж- но совпадать: 1. Tools>3D Body Placement>Orient and Position 3D Body; 2. Выберите нижнюю деталь корпуса нажатием левой кнопки мыши, после чего курсор примет вид прицела в виде большого пе- рекрестия (голубого цвета) и укажите три точки на детали (для этой задачи можно было установить временные точки привязки, 285
Гпава 4. Разработка печатных плат Рис. 4.71. Выравнивание детали по плоскости платы или нажать клавишу Tab и указать работу с Vertices (с точками привязки детали); 3. После выбора трех точек, курсор примет вид курсора (синего цвета), после чего нужно указать углы платы, соответствующие направлению ранее выбранных точек детали (при наведении на угол платы прицел должен принимать зеленый .цвет, что говорит о попадании в зону вершины платы, если этого не происходит — рекомендуется увеличить шаг сетки Electrical Grid) 4. После выбора трех вершин платы происходит сопоставление направления выбранных точек, что обеспечивает положение необ- ходимое детали. После размещения всех деталей видно, что разъем Y1 не попа- дает в расположение окна, и нужно либо менять расположение окна в детали, либо перемещать разъем на плате. В данном случае необходимо переместить разъем, и это можно выполнить непосредственно в режиме 3D, для чего левой кнопкой захватываем разъем и перемещаем его. Однако более точно пози- ционировать разъем можно в 2D режиме, но для этого надо в 3D поставить пользовательские точки привязки, которые будут ото- бражаться в 2D (см. рис. 4.72) Особенности работы с механическими деталями также описано в главах 2.3.3. и 4.3.1, и в файле TU0132 исходной документации Altium. 286
4.12. Добавление механических деталей на плату Рис. 4.72. Сопряженность компонентов, с деталями сборки 28'
Гпава 4. Разработка печатных плат 4.13. Работа с полигонами 4.13.1. Металлизация на сигнальных слоях платы Для размещения полигона на текущем слое необходимо выпол- нить следующие действия: Р1асе>Polygon Pour, после чего появит- ся диалоговое окно Place Polygon Pour, которое позволяет устано- вить нужные параметры полигона. Описание параметров будет приведено позднее (см. рис. 4.73). Рис. 4.73. Настройки полигона Переместите указатель мыши в начальную точку полигона и выполните щелчок левой кнопкой мыши. Продолжайте последо- вательно вводить точки излома границы полигона, пока он не бу- дет задан полностью. Для изменения режима размещения границы полигона используется клавиша SPACEBAR. 288
4.13. Работа с полигонами Как только граница замкнется, будет произведена заливка по- чигона. Если в настоящий момент граница полигона не замкнута, то по нажатию клавиши ESC или щелчку правой кнопкой мыши произойдет автоматическое замыкание полигона линией, соеди- няющей начальную и последнюю вершины. В диалоговом окне Place Polygon Pour задаются следующие па- раметры. В первую очередь выбирается тип полигона в окне Fill Mode, из трех вариантов: Solid (сплошная заливка), Hatched (сетка) и None (контур, без заливки). Поле Net Options. Connect to net (соединить с цепью). Если для платы существует список соединений, то любая из цепей проекта может быть выбрана в выпадающем списке Connect То Net. В слу- чае соединения полигона с цепью могут быть применены две дру- гие расположенные здесь опции. Pour Over Same Net (объединение с проводниками этой же цепи). Если данная опция включена, все существующие внутри полигона проводники, которые являются частью этой же цепи, будут объединены с полигоном. Remove Dead Copper (удаление неиспользуемых участков ме- таллизации). При заливке полигона и обтекании существующих проводящих объектов неизбежно возникновение небольших уча- стков металлизации, не соединенных ни с одной контактной пло- щадкой, проводником или переходным отверстием назначенной цепи. Данная опция включает режим автоматического удаления таких участков. Для полигона в виде сетки задаются дополнительные парамет- ры. Grid Size (шаг сетки). Здесь задается шаг прорисовки линий, которыми осуществляется штриховка полигона. Для оптимального расположения этих линий желательно делать этот шаг кратным шагу- выводов компонентов. Track Width (ширина линий). Этот параметр определяет шири- ну линий, которыми осуществляется штриховка полигона. В слу- чае, если ширина линий меньше шага сетки, то поверхность поли- гона будет заштрихована. Если ширина линий больше или равна шагу сетки, то полигон будет сплошным. В общем случае для по- лучения сплошной заливки полигона необходимо установить ши- рину линий немного превышающей шаг сетки. Layer (слой). Здесь задается слой, на котором будет размещен полигон. Полигоны могут размещаться на сигнальных, механиче- ских или любых других слоях. 10 зак. 32 289
Гпава 4. Разработка печатных плат Поле Hatching Style (стиль штриховки) 90 Degree Hatch. Полигон будет заштрихован горизонтальными и вертикальными линиями. 45 Degree Hatch. Полигон будет заштрихован ортогональными линиями под углом в 45°. Vertical Hatch. Полигон будет заштрихован вертикальными ли- ниями. Horizontal Hatch. Полигон будет заштрихован горизонталь- ными линиями. No Hatching. В этом режиме прорисовываются только внешние границы полигона, штриховка внутри него не выполняется. Дан- ная опция полезна на начальных этапах работы, когда необходимо просто обозначить наличие полигона, чтобы не снижать произво- дительность системы, а заливку полигона можно будет сделать позднее. Поле Surround Pads With (способ обтекания контактных площа- док) Обтекание контактных площадок может быть выполнено дуга- ми или восьмиугольниками. При использовании восьмиугольни- ков выходной файл в формате Gerber получается меньшего разме- ра, а также увеличивается скорость вывода чертежа на фотоплот- тере. Поле Minimum Primitive Size (минимальный размер примитива) Length. В этом поле задается минимальный размер примитивов, используемых в данном полигоне. Так как полигоны могут содер- жать большое количество участков линий и окружностей, исполь- зуемых для реализации сглаженных кривых вокруг имеющихся объектов печатной платы, ограничение минимальной длины при- митивов позволяет ускорить прокладку полигонов, перерисовку экрана, а также генерацию выходных файлов за счет отказа от сглаживания границ полигона. Для выполнения повторной заливки полигона, а также для дру- гих действий над полигонами используется подменю Tools> Polygon Pours, в котором содержатся команды, которые также дос- тупны из подменю Polygon Actions, которое появляется при нажа- тии правой кнопкой на полигоне (при этом должен быть активен слой полигона). Обновление полигона — команда Repour (см. рис. 4.74) В настройках самого полигона задается по сути только режим его заливки и принадлежность к цепи, а остальные настройки, по зазорам и стилю подключения контактных площадок и переход- 290
4.13. Работа с полигонами Applicable Unary Rules... А *JkL-4 ф П« rt-Lj- Interactive Routing Move Vertices Interactive Differential Par Routing Interactive Muki-Routing Analyze Net Cut Ctrl+X Copy Ctrl+C Easte Ctrl+V Puc. 4.74. ^Перезаливка» полигона них отверстий задаются в правилах проектирования (Design Rules, см. гл.4.6.1.) 4.13.2. Разделение экранных слоев При использовании слоев типа Internal Planes, целиком весь слой становится подключен к цепи, указанной в свойствах такого слоя. Такие слои обычно используются для цепей питания и зем- ли, которых на плате может быть гораздо больше, чем слоев тако- го типа. Возникает необходимость на одном экранном слое раз- местить два питания или две и более земли. Для разделения выполним следующие действия: 1. Выбираем активным экранный слой, на который необходимо добавить дополнительную цепь; 2. В списке цепей панели РСВ выбираем цепь, которую нужно разместить на экранном слое, после чего ее выводы будут ярко подсвечены на плате (см. рис. 4.75); 3. Командой Place>Line обрисовываем контур будущей цепи, причем учитывая, что в контур не должны попадать выводы цепи основного экрана в этом слое (обведены голубым на рис. 4.75); контур должен быть замкнут; 10* 291
Г.пава 4. Разработка печатных плат Рис. 4.75. Разделение внутренних экранных слоев 4. Выполняем двойной щелчок на созданном контуре и в пред- лагаемом списке выбираем нужную цепь, после чего все отвер- стия, относящиеся к данной цепи будут иметь подключение к эк- рану. 4.14. Особенности импорта и экспорта плат Как было отмечено ранее (см. гл. 3.13) в программе Altium Designer имеются обширные возможности по импорту и экспорту проектов в другие системы проектирования. Для того чтобы со- хранить файл платы в одной из сторонних систем, следует выпол- нить команду File>Save As и в выпадающем списке «Тип файла» указать нужный формат (рис. 4.76). Для сохранения доступны следующие форматы: • РСВ Binary Files (*.PcbDoc) — бинарный формат платы по- следней версии Altium Designer; • РСВ 3.0 Binary (*.pcb) — бинарный формат платы версии Protel DXP. • РСВ 4.0 Binary (*.pcb) — бинарный формат платы версии Protel 99SE; 292
4.14. Особенности импорта и экспорта плат Рис. 4.76. Экспорт платы в формате сторонних САПР • РСВ 5.0 Binary (*.pcb) — бинарный формат платы версии Al- tium Designer 6; • РСВ ASCII Binary f.PcbDoc) — ASCII-формат платы по- следней версии; • Export Protel Nctlist (*.net) — список соединений в формате Protel; • Export AutoCAD (*.dwg, *.dxf) — формат AutoCAD 2004; • Export HyperLynx (*.hyp) — формат HyperLynx для проведе- ния анализа целостности сигналов; • Export P-CAD ASCII (*.pcb) — ASCII-формат платы версии P-CAD 2002; • Export Protel PCB 2.8 ASCII (*.pcb) — ASCII-формат платы версии Protel 99SE; • Export Specctra Design File (*.dsn) — формат проекта Specctra, для автоматической трассировки; • Export SDRC-IDF Brd Files (*.brd) — формат IDF, для пере- дачи трехмерных моделей платы и компонентов, для после- дующей разработки сборки узла в механической САПР (по- зволяет оформлять чертеж платы и спецификацию по ГОСТ в программе Компас V10 и выше); 293
Г.пава 4. Разработка печатных плат • Export STEP (*.step, *.stp) — формат STEP, для передачи сборки платы в механическую САПР (Solid Works, Prog ADEM и др.) Import Wizard Import Wizard This wizard will help you convert your files from other vendors to Altium Designer files Рис. 4.77. Помощник импорта проектов из сторонних САПР Для импорта платы, выполненной в другой программе, следует воспользоваться помощником импорта File>Import Wizard (рис. 4.77), в котором предлагается открыть проекты плат следую- щих форматов: • 99SE DDB Files — база данных проекта в формате Protel 99SE; • Allegro Design Files — проект платы в формате Allegro; • CADSTAR Design and Libraries — проект платы и библиотеки в формате CADSTAR; • OrCAD Design and Libraries — проект платы и библиотеки в формате CADSTAR; • PADS ASCII Design and Libraries Files — проект платы и биб- лиотеки в формате PADS ASCII; • P-CAD Design and Libraries Files — проект платы и библиоте- ки в формате P-CAD ASCII; 294
4.14. Особенности импорта и экспорта плат рассмотрим возможности импорта и экспорта в наиболее вос- требованные форматы в отечественной практике, в программу p-CAD и AutoCAD. 4.14.1. Импорт/Экспорт в формат AutoCAD В силу ограниченности графических возможностей редакторов схем и плат всех САПР электронных средств, большинство отече- ственных разработчиков оформляют конструкторскую документа- цию в других системах, и чаще всего для этого используется про- грамма AutoCAD. Чтобы открыть в редакторе плат Altium Designer файл, ранее созданный в AutoCAD, нужно выполнить File>lmport (подробно эта возможность описана в главе 4.3.1, на примере им- порта контура платы). Стоит лишь заметить, что не все версии файлов DXF и DWG поддерживаются в Altium Designer. Рекомен- дуется для импорта в Altium сохранять изображение в форматах DXF и DWG в версии AutoCAD2000, такую возможность имеют большинство механических САПР выпущенные позже 2000 года. Для того, чтобы сохранить плату в формате AutoCAD, выпол- няем File>Save As, и указываем тип файла Export AutoCAD (*.dwg, Export to AutoCAD |~'F|| X | Puc. 4.78. Экспорт в AutoCAD 295
Гпава 4. Разработка печатных плат *.dxf), после чего на экране появляется окно, показанное на рц_ сунке 4.78. Здесь задаются следующие параметры: • Options — версия AutoCAD, формат и единицы измерения; • Holes — включить в DWG(DXF) отверстия в контактных площадках и переходных отверстиях. В этом случае в файл AutoCAD будет добавлен отдельный слой содержащий свер- ловку отверстий; • Components — передавать компоненты в заблокированном виде (Export as block) или в виде несвязанных примитивов (Export as primitives); • Track and Arcs — настройка окончания трасс, в виде скругле- ния (rounded ends) или в виде прямоугольного окончания (square ends); • Primitives То Export With Zero Line Width — настройка экс- порта линий нулевой толщины. 4.14.2. Импорт/Экспорт платы в формате P-CAD200X Исторически сложилось, что большинство проектов россий- ских предприятий выполнены в программе Р-CAD различных вер- сий, и существует необходимость поддержки существующей базы проектов и библиотек. Altium Designer позволяет безболезненно вести доработку проектов, выполненных в. программе Р-CAD на- чиная с версии 2000. Для импорта платы из Р-CAD выполняется команда File>Import Wizard, где на втором шаге выбирается фор- мат импорта P-CAD Design and Libraries Files. На третьем шаге (Importing P-CAD Design) будет предложено указать файлы плат или схем для импорта, для чего используется кнопка Add. Т.к. в мастере импорта используется один пункт для импорта всех документов Р-CAD, на четвертом шаге будет предло- жено выбрать для импорта библиотеки. Это можно не делать, т. к. библиотеки могут быть получены на любом этапе работы из ак- тивной схемы или платы. На пятом шаге (РСВ Footprint Naming Format) предлагается указать формат для присвоения имен посадочным местам, у кото- рых совпадают названия. Если названия совпали случайно (на- пример, создано одно посадочное место и для разных компонен- тов используются разные варианты исполнения — primary, secondary), то настройки следует оставить по умолчанию. Если у двух компонентов общее посадочное место, а программ предлагает 296
4.14. Особенности импорта и экспорта плат р^дО Import Wizard рСВ Footprint Naming Format Specify the naming mask to transform your P-CAD component names to Protel footprint names. P-CAD data structure rs different to that of Allium Designer On the PCB side while Altium Designer supports the concept of patterns. a pattern can not be sub-classlied into its pattern graphics. Ako. pads in AltiumD ©signer have only one designator, unlike in P-CAD where pad designators can come from the component pin designators or the pattern pad numbers. Due to these differences. PCB footprint names can take many Afferent forms, the format of which car. be specified below They can ako be optimized. Footprint names wil be opt in .j zed to the format "«pattern name>_<pattern graphics name>" if the component pin designators are the same as the pad numbers They wil be further optimized to the format "<pattern name>" il the pattern has only one pattern graphics. For better сотраймйу, P-CAD designs and libraries should al be imported usng the same ranrng settings. PCB Footprint Naming Format: v , {Pattern Name) Extracted Sample Footprint Names: This is an example of a ful^1 optimized footprint name. This P-CAD pattern wd be Idly optimized because its component pin designators are the same as the pad numbers and * has only 1 pattern graphics Puc. 4.79. Формат присвоения имен для посадочных мест дать им разные имена, то рекомендуется выставить настройки, как показано на рисунке 4.79. На следующем шаге (Report Options) будет предложено указать перечень сообщений, которые необходимо добавить в отчет. Здесь можно оставить лишь одну опцию — Log All Errors, которая ото- бразит список ошибок импорта. На седьмом шаге (Current Layer Mappings) предлагается задать соответствие слоев в двух программах. Каждый слой платы в Р-CAD должен быть назначен на слой в Altium Designer, иначе дальнейший импорт будет невозможен. Если в Р-CAD имелись слои, которые не будут востребованы в Altium Designer, то их все можно объединить на какой-либо слой, который в дальнейшем будет удален (стоит обратить внимание, чтобы на этом слое слу- чайно не оказалась нужная информация и чтобы этот слой не от- носился к списку рекомендованных в гл.4.14.3). На следующем шаге (Outputs РСВ Projects) будет показана структура сформированного проекта, которую не рекомендуется изменять. Здесь же задается директория, в которую будет сохранен 297
Гпава 4. Разработка печатных плат новый проект платы. Теперь, после нажатия кнопки Next будет за- пущен процесс импорта, результатом которого будет появление окна с активной кнопкой Finish. При импорте платы из программы Р-CAD разработчика могут ожидать несколько неприятных «сюрпризов», хотя все они доволь- но быстро могут быть исправлены: 1. Меняются настройки надписей. Шрифты могут поменять как высоту букв и толщину линий, так и положение относительно компонентов. Для исправления потребуется ручная работа, а именно глобальное редактирование (см. выше), с помощью кото- рого можно одним действием выставить нужный шрифт всем над- писям. Положение некоторых надписей придется поменять вруч- ную. 2. Появляются «лишние» правила проектирования. Правила за- зоров (Clearance) будут добавлены в проект в большом количестве, т. к. в Р-CAD имелась вкладка Layer в окне Options>Design Ries, т. е. правила по зазорам создаются для всех групп объектов по всем слоям. При этом в Altium Designer имеется строгий порядок выполнения правил в соответствии с приоритетами, а добавлен- ные правила не поддаются никакому анализу. Рекомендуем в дан- ном случае иметь файл с готовыми правилами (*.rul — см. гл. 4.6.4). 3. При работе в Р-CAD в дюймовой системе координат и по- следующем импорте в Altium Designer в миллиметровую систему будет произведен пересчет на 1 мил. В результате чего значения всех правил будут некорректны. Решение проблемы, опять же, за- грузка файла с правилами (*.RUL). 4. Не подключаются полигоны, которые нужно перезалить (Tools>Polygon Pours>Repour All Polygon) или, в некоторых случа- ях, перерисовать. Если после импорта внешне никаких проблем не заметно, на- чать работу в редакторе плат Altium Designer, после загрузки платы и проверки правил, следует с запуска DRC! Если из Р-CAD был импортирован проект в виде схемы и платы, то обязательно нужно проверить их совместимость. Рекомендуется по кнопке Project>Component Links (в редакторе плат) проверить все ссылки между символами схемы и моделями посадочных мест на плате. При необходимости нужно выполнить обновление, для чего в схе- ме запустить Design>Update... 298
4.15. Получение выходной документации Для конвертации платы из Altium Designer в P-CAD, следует выполнить File>Save As и из списка доступных вариантов сохране- ния выбрать Export P-CAD ASCII (*.pcb). По конвертации платы в другие форматы стоит отметить сле- дующее. При сохранении в формате IDF программа создает два файла с расширениями *.brd и *.рго. В первом хранится информа- ция о геометрии платы, а во второй модели компонентов. Компа- нией АСКОН был разработан конвертер формата IDF Altium Designer, который позволяет импортировать сборку платы с ком- понентами в Компас старше Ю-ой версии. Многие программы ме- ханических САПР также содержат специальные конвертеры фор- мата IDF. Так, например, аналогичный конвертер содержится в программе РгоЕ, однако, внутренний формат IDF там имеет рас- ширения *.emn и *.етр. Для импорта в РгоЕ, надо полученные файлы *.brd и *.рго переименовать соответственно в *.emn и *.етр. При импорте в программу Specctra для автоматической трасси- ровки используется команда File>Save As. Причем, не стоит ука- зывать настройки для импортируемого файла, т. к. все это можно указать далее в Do-файле программы. Для обратной передачи в Altium Designer результатов трассировки, необходимо выполнить File>Import и указать тип файла Specctra Route File (*.RTE). Файл *.rte передает только топологию, поэтому выполнять корректиров- ку размещения компонентов в Specctra, с целью последующей об- ратной передачей в Altium Designer бессмысленно. 4.15. Получение выходной документации 4.15.1. Формирование Gerber-файлов Процесс генерации Gerber файлов в системе Altium Designer максимально прост и нагляден, при этом дальнейшая доработка полученных файлов может быть выполнена с помощью встроен- ного модуля CAMtastic. В редакторе печатных плат выполним ко- манду меню File>Fabrication Outputs>Gerber Files. На экране поя- вится диалоговое окно Gerber Setup (рис. 4.80). На вкладке General укажем формат численных данных для вы- вода в Gerber файлы: в поле Unit выберем опцию Millimeters, что соответствует метрической системе измерения, а в поле Format укажем формат численных данных для вывода в Gerber файлы. 299
Гпава 4. Разработка печатных плат Рис. 4.80. Создание Gerber файлов Выберем опцию 4:4, что соответствует записи координат объектов в формате 0000.0000. На вкладке Layers, в списке Plot/Mirror Layers необходимо вы- брать слои, которые будут выведены в Gerber файлы. Каждый слой выводится в отдельный файл с именем совпадающим с име- нем чертежа платы и уникальным расширением. Таблица соответ- ствия слоев будет приведена в итоговом отчете. В некоторых слу- чаях требуется добавить к каждому слою служебную графическую информацию, приведенную на механических слоях, например ре- перные символы. Для этого предназначен список Mechanical Layers to Add to All Plots (механические слои, добавляемые ко всем файлам). Нажмем кнопку Plot Layers и в появившемся меню выбе- рем команду Used On. В левом списке автоматически включатся все слои проекта, содержашис какую-либо информацию. Переходим на вкладку Drill Drawings и убеждаемся, что здесь выключены все опции, добавляющие в Gerber файл разметку для сверления отверстий. Далее перейдем на вкладку Apertures и убе- димся, что включена опция Embedded Apertures (RS-274X). В этом случае таблица апертур будет автоматически синтезирована по проекту печатной платы и включена в выходные файлы. Перейдем на вкладку Advanced и убедимся, что в поле Batch Mode включена опция Separate file per layer. Здесь также можно 300
4.15. Получение выходной документации настроить размеры пленки, режим сортировки кода, включение в него дополнительных команд, однако сейчас это не требуется. Нажатием кнопки ОК завершим процесс настройки и запустим процесс генерации Gerber файлов. Система автоматически создаст три набора файлов, размешен- ных в разные категории. Отчет о том, что представляет собой каж- дый из этих файлов, приведен в файле *.REP из категории тексто- вых файлов. В документе *.RUL представлен сокращенный список установленных в проекте правил, необходимый для дальнейшей обработки Gerber файлов. В категории Generated Documents будут созданы файлы в фор- мате Gerber с уникальными расширениями, каждый из которых соответствует своему слою. В некоторые из файлов добавлена ин- формация из слоя Multi-Layer, в котором содержатся изображения контактных площадок. Но главным будет файл CAMtastic I.САМ из категории Documents, представляющий собой проект встроенного в Altium Designer модуля подготовки плат к производству CAMtastic. Имен- но этот файл будет автоматически открыт на рабочем столе систе- мы. Производителю для изготовления платы можно передать на- бор одиночных gerber-файлов, которые расположены в папке Out внутри директории проекта или один файл САМ, который в себе имеет всю информацию о слоях. Выходные файлы в формате САМ не воспринимаются про- граммой технологической подготовки к производству печатных плат САМ350, поэтому производителю, который использует дан- ную программу следует передавать файлы в формате Gerber. 4.15.2. Формирование файла сверловки Другая важная операция, которую требуется выполнить в про- цессе подготовки проекта платы для производства — это генера- ция управляющих файлов для сверлильных станков с числовым программным управлением (NC Drill). Процесс генерации файлов сверления также предельно упро- щен, а дальнейшая их доработка выполняется в редакторе CAMtastic. В редакторе печатных плат системы Altium Designer выполним команду меню File> Fabrication Outputs>NC Drill Files. На экране появится диалоговое окно NC Drill Setup. Аналогично созданию Gerber-файлов в поле Unit выберем опцию Millimeters, что соответствует метрической системе измерения, а поле Format 301
Глава 4. Разработка печатных плат укажем формат численных данных 4:4. Остальные настройки оста- вим без изменения и нажмем кнопку ОК. Система автоматически создаст несколько файлов, которые бу- дут добавлены в папку Out к ранее созданным Gerber файлам В категорию Generated Documents добавится бинарный файл *.DRL, здесь же будет расположен файл *.LDP, содержащий ин- формацию о назначенных парах слоев сверления, что необходимо для изготовления многослойных печатных плат с глухими и сле- пыми переходными отверстиями. Файл *.ТХТ содержит собствен- но файл сверления в текстовом формате Excellon. Именно этот файл будет импортирован в новый проект CAMtastic2.CAM, авто- матически созданный системой. При импорте файла в САМ проект появится диалоговое окно Import Drill Data, в котором следует задать все те настройки, кото- рые были сделаны при экспорте файлов. Нажмем кнопку Units и в окне NC Drill Import Setting, укажем метрическую систему единиц и формат численных данных 4:4, по- сле чего нажатием кнопки ОК закроем окно. Нажатием кнопки ОК запустим процедуру импорта. На рабочем столе системы Altium Designer откроется редактор CAMtastic в режиме редакти- рования NC Drill файлов, о чем свидетельствует вид его панели управления. 4.15.3. Настройки печати сборочного.чертежа и чертежа платы В рамках российских предприятий наиболее ответственным этапом, порой даже более трудоемким, чем формирование произ- водственных файлов является процедура оформления конструк- торской документации (КД). Причем, по результатам проектиро- вания платы должны быть созданы три документа: сборочный чер- теж печатной платы (для многослойки), сборочный чертеж ячейки на базе платы и чертежи слоев платы. Задача по оформлению КД в чем-то похожа на процедуру фор- мирования гербер-файлов, т. е. необходимо указать в каком черте- же будут напечатаны какие слои платы, а также некоторые допол- нительные настройки. Для формирования чертежей используется специальный файл *.OutJob, который в целом может быть исполь- зован для формирования документации разного вида. Для созда- ния данного файла используется команда File>New>Output Job File, после чего на экране появляется таблица, как на рисунке 4.81. 302
4.15. Получение выходной документации g] И.6 ‘<50 <XJTJOej | w M.8S4,XPC800c|| g) Jotol OUJoc Nan* г iDateSojce Output De*at*on 7 | Variant | Enabfedl _jAuerrit^ Drawngj ML854_20.PCBDOC Assembly Drawings [NoVariabons] О 9 Generates рек and place Ям ML054_2O PCBDOC Generate* pick and place Мм [No Variations] Et [Add New As-.embfe Output] Composite Diawng ML854_20 PCBDOC Compost Drawing О Documentation 0</pu •. PCB 30 Print* ML854.20 PCBDOC rj PCS Prrts ML854_2Q PCBDOC E [Add New Documentation Outpu PCB 3D Prints PCB P,rt* О о STf? FatxcAon Output ; J Comporte Dni Drawng ML854_20.PCBDOC Compete DM Drawing О XJ Dri Diawng/GiMtes ML854.2Q.PCBD0C Dri D rawing/G tide* о ZjFrd Artwork Prrts ML854J2O PCBDOC Fral Artwork Plrts о V Gerber Ftes MLB54.20.PC8D0C Gerber Fite* VNC Dri Ftes ML8&4J0 PCBDOC NC Did Ftes W0DB++ Rtes ML8M.20 PCBDOC ODB++ Fifes Power-Plane Prints ML854.20 PCBDOC Power-Plane Part* • о XJ SotdeVPaste Mask Prints ML054.2O PCBDOC SoHer/Paste Mask Pints 1 О WTert Port Report ML854.20 PCBDOC Test Port Report E [Add New Fabrication Output] EWSNetfetOutertt i±l [Add New Hetkst Ortprtj | Report Output* J Design Rufes Check ML854_20 PCBDOC Deujxi Ride* Check [NoVariations] О Ltl [Add New Report OiApU] Puc. 4.81. Создание нового файла выходной документации В таблице все файлы сгруппированы по группам: • Assembly Outputs — выходные файлы для сборки; • Documentation Outputs — документация на плату; • Fabrication Outputs — файлы для производства платы; • Netlist Outputs — файлы списков соединений; • Report Outputs — файлы текстовых отчетов. Программа автоматически формирует набор необходимых фай- лов, но этот набор не адаптирован к реальностям отечественного производства, и большинство этих файлов либо не будут исполь- зованы, либо должны быть выполнены по другим стандартам. Рас- смотрим работу с файлом OutJob на примере формирования набо- ра настроек для выпуска КД. Прежде чем приступить к данной за- даче, нужно строго представлять, какие слои платы будут использоваться в чертежах. Кроме сигнальных слоев, здесь особую роль играют слои типа Mechanical, которые изначально предна- значены для оформления документации. Здесь предлагается всем механическим слоям задать специализированные названия, несу- щие информацию о применяемости данного слоя. В таблице 4.3 описано распределение механических слоев, а в таблице 4.4 — на- значение программных слоев. В таблице 4.5 представлен набор слоев для чертежа каждого вида. 303
Г.пава 4. Разработка печатных плат Создадим настройку печати для первого листа сборочного чер- тежа, который относится к группе Documentation Outputs. В этой группе по умолчанию находятся три чертежа, которые можно уда- лить, нажав на каждой из них правой кнопкой мыши и выбрав Delete. Теперь для создания чертежа, нажимаем на надпись Add New Documentation Output, после чего в контекстном меню выби- раем тип чертежа, и файл по которому он будет создан (рис. 4.82). Docuoehtjoon Outputs @||Add New pocumM Fabnc-sfonOutputs 1 Netto Outpute El [Add New Netkst Output] Composite Dri Dr r^Dril Drawng/fiuk Final Artwork Prim W Gerber Fies 9 NC Drill Files W0DB++Files Power-Plane Рггй £_JSolder/Paste Щ T est Pont Report E* [Add New Fabric j £omposrte Logic Analyser Prints РСВ ЗР Prints рсврт$ Schematic Prints SlmView Prints VAave Prints WaveSrm Prints BDOC Composite Drill Drawinfl EDOC Dril DrawingZGuides EDOC Final Artwork Prints ЕРПС— MLB54.20.PCBDOC J EDUt^UlJtf++Fifes ' T DO C Power-Plane Prints BDOC Solder/Paste Mask Prin EDOC Test Point Report нового чертежа OpenBus Print: В таблице появляется новая запись, которой присваиваем на- звание SB1 (сборочный, 1 лист) и выполняем на ней двойной щелчок мыши для установки свойств. В открывшемся окне РСВ Printout Properties перечислены слои, выводимые на печать в вы- бранном документе. Для данного документа необходимо оставить только слои TopAssy, TopDimention и Kontur (см. табл. 3), для ис- пользуются команды из под правой клавиши мыши (см. рис. 4.83). Здесь используются следующие команды: • Create... — добавление готовой группы слоев; • Insert Printout — добавление нового чертежа; • Insert Layer — добавление нового слоя • Delete — удаление слоя, или группы слоев; • Properties — свойства. Используя команды Delete и Insert Layer, добиваемся того, что- бы данный чертеж имел только необходимые слои, после чего при необходимости можно установить опции Holes (Отверстия), Mirror (Зеркальное отображение) и ТТ Fonts (Добавление объемных шрифтов). Аналогичным образом добавляются настройки для ос- тальных чертежей, согласно таблице 4.5. Для выбора формата лис- 304
4.15. Получение выходной документации Рис. 4.83. Добавление нового чертежа aJ ML*f4_20 OUT | *K8M^2GFCe(X>c] Рис. 4.84. Добавление нового чертежа та и настроек принтера, нужно нажать правой кнопкой на назва- нии чертежа и выбрать Page Setup (см. рис. 4.84.). Последний шаг — выбор конечного устройства вывода, кото- рые перечислены в списке справа от таблицы. Например, для вы- вода всей КД на плату через PDF, нужно выбрать в списке Publish to PDF и выбрать из списка чертежи для вывода в PDF., причем 305
Глава 4. Разработка печатных плат порядок выбора определяет порядок печати, или в данном случае порядок листов в PDF. Созданный файл в формате *.OutJob можно в дальнейшем ис- пользовать как шаблон, для других проектов, при этом необходи- мо будет лишь изменять название платы в столбце Data Source. Таблица I Назначение механических слоев Имя слоя Номер слоя Назначение Сборочный чертеж Чертеж платы & СО со X X Сборочный Послойки Отверстия Kontur Mechanical 1 Границы конструктива 4- 4- + 4- 4- । Plata Mechanical 2 Информация, идущая на чертёж пла- ты (сборочный). Форматка, таблица отверстий, технические требования, размеры, порядок сборки слоев. + 1‘ I1 ' - Mechanical 3 1 3D Body Mechanical 4 Проекция трехмерных деталей на плате Top Assy Mechanical 5 Информация, идущая на сборочный чертеж (верх). Графика корпусов и т. п. на верхней стороне, формат- ка, установка компонентов. 4- BotAssy Mechanical 6 Информация, идущая на сборочный чертеж (низ). Графика корпусов и т. п. на нижней стороне, форматка + b il || Grid Mechanical 7 Оцифровка для платы (прямая) + ь ii Grid Mirror Mechanical 8 Оцифровка для платы (зеркальная) + ! Top Dimension Mechanical 9 Размерные линии для сборочного чертежа (верхняя сторона) + Bot Dimension 'Mechanical 10 Размерные линии для сборочного чертежа (нижняя сторона) Template Mechanical 11 Форматка по ГОСТ для послойных чертежей платы । 4- 306
4.15. Получение выходной документации Продолжение табл. 1 1 1 Имя слоя Номер слоя Назначение Сборочный чертеж ! Чертеж платы i X Сборочный Послойки Отверстия Template ! Mirror Mechanical 12 Зеркальная форматка по ГОСТ для послойных чертежей платы + - Mechanical 13-16 Дополнительные слои * Таблица 2 Назначение основных слоев Имя слоя Назначение Таблица 2. Назначение основ- ных слоев Чертеж платы Послойки Отверстия Top Layer Проводники на верхней стороне платы + Mid-layer1,3,5,... Четный внутренний проводниковый слой + Mid-Layer2,4,6,... Нечетный внутренний проводниковый слой + Bottom Layer Проводники на нижней стороне платы 4- Top Overlay Маркировка краской на верхней стороне платы + Bottom Overlay Маркировка краской на нижней стороне платы + I Top Paste* Окна для нанесения паяльной пасты через трафарет на верхней стороне платы + I Bottom Paste* Окна для нанесения паяльной пасты через трафарет на нижней стороне платы + [Top Solder Окна в маске на верхней стороне платы + j Bottom Solder Окна в маске на нижней стороне платы + Drill Drawing Информация, идущая на чертёж располо- жения и условного обозначения отверстий [* используется по необходимости | 307
Гпава 4. Разработка печатных плат Таблица 3 Настройки печати Чертеж Набор слоев Сборочный чертеж, верхняя сторона Kontur, Top Assy, Top Dimension . Сборочный чертеж, нижняя сторона Kontur, Bottom Assy, Bottom Dimension Плата, сборочный чертеж Kontur, Plata : Отверстия Kontur, Drill Drawing Послойки i Четные слои Kontur, Grid, Template, Top Layer (Mid-Layer1,3,5) | Нечетные слои Kontur, Grid Mirror, Template Mirror, Bottom I; Layer (Mid-Layer2,4,6) f Защитная маска, верхняя сторона Kontur, Grid, Template, Top Solder ; Защитная маска, нижняя сторона Kontur, Grid Mirror, Template Mirror, Bottom Solder Маркировка, верхняя сторона Kontur, Grid, Template, Top Overlay Маркировка, нижняя сторона Kontur, Grid Mirror, Template Mirror, Bottom Ij Overlay J 308
Глава 5 Аналого-цифровое моделирование Система автоматизированного проектирования (САПР) Altium Designer позволяет моделировать электрические схемы аналоговых и аналого-цифровых устройств, разработанные на дискретных элементах. Моделирование обеспечивает: • расчет режима работы схемы по постоянному току (расчет «рабочей точки»); • анализ переходных процессов и спектральный анализ; • частотный анализ; • расчет режима по постоянному току при вариации одного или двух источников постоянного напряжения или тока; • расчет спектральной плотности внутреннего шума; • анализ передаточных функций; • анализ влияния изменения температуры на работу схемы; • анализ влияния изменения параметров элементов на работу схемы; • статистический анализ выходных электрических параметров схемы; • расчет допусков на выходные электрические параметры схемы. При моделировании аналоговых устройств используются алго- ритмы SPICE 3f5. При моделировании цифровых устройств ис- пользуется алгоритм XSPICE с описанием моделей цифровых эле- ментов на языке Digital SimCode. При проведении моделирования электрическая схема должна содержать только те библиотечные компоненты, которые имеют специальные атрибуты с необходимой для моделирования инфор- мацией (номинальные значения параметров, имена моделей и др.). Кроме того, все компоненты должны иметь математические модели, которые должны находиться в соответствующих каталогах (директориях). Ятя проведения моделирования необходимо также использо- вать специальные компоненты, описывающие источники напря- жений питания и источники внешних сигналов. Эти компоненты находятся в стандартных библиотеках Altium Designer. Altium Designer поставляется с готовыми тестовыми примерами по моделированию различных электрических схем, которые нахо- дятся в каталоге ...\ Altium Designer\Examples\Circuit Simulation. 309
Гпава 5. Аналого-цифровое моделирование Таблица / Множители, используемые при задании параметров компонентов 1 Буквенное обозначение Множитель Т 1012 G Мед 106 1 К 103 mil 25.4-6 m 10-3 u 10-6 " n 10'9 P io-12 f 10~15 j. В примерах, приведенных в данном руководстве, использованы электрические схемы тестовых примеров, включенных в состав Altium Designer, и даны ссылки на используемые файлы описания электрических схем. Используя эти файлы, ‘можно самостоятельно повторить процесс моделирования в соответствии с описанием примеров. Для моделирования должны быть использованы библиотеки математических моделей, которые включают наборы файлов с расширением: СКТ — файлы, содержащие описания макромоделей аналого- вых устройств (аналоговых микросхем, трансформаторов и др.); MDL — файлы, содержащие описания моделей полупроводни- ковых приборов (диодов, стабилитронов, транзисторов и др.) или ссылки на файлы описания моделей цифровых микросхем; SCB — файлы, содержащие модели цифровых микросхем. Подключение и согласование Spice моделей описано в разделе 2.5.2.2. Для задания численных значений параметров компонентов ис- пользуются буквенные множители, набираемые в латинском реги- стре, например «п» означает «нано», «К» — «кило», и т. д. Допус- 310
5.1. Источники сигналов тимые множители приведены в таблице 5.1. Любые другие симво- лы, следующие после множителя, игнорируются. Необходимо, чтобы множитель находился сразу после соответ- ствующей цифры, наличие пробелов между цифрой и множителем недопустимо. Пример: 10, 10V — представляют одно и то же число 10; 2т, 2mA, 2mS — представляют одно и то же число 2 -10~3; 1000, 1К — представляют одно и то же число 1000. 5.1. Источники сигналов 5.1.1. Задание сигналов стандартной формы Для задания в моделируемой схеме напряжений питания, токов и входных сигналов стандартной формы применяются специаль- ные компоненты, описывающие источники постоянных и пере- менных напряжений и токов. Эти компоненты находятся в стан- дартных библиотеках, поставляемых с Altium Designer: ...Altium Designer\Library\Simulation\Simulation Source.IntLib Основные источники напряжений и токов, используемые при моделировании: • источники постоянного напряжения VSRC и тока ISRC; • источники периодического импульсного напряжения VPUL- SE и тока IPULSE; • источники напряжения VSIN и тока ISIN синусоидальной формы; • источники напряжения VPWL и тока IPWL произвольной формы, задаваемые кусочно-линейной аппроксимацией. Рассмотрим базовые настройки основных источников питания. 5.1.1.1. Источники постоянного напряжения VSRC и тока ISRC Для этих источников задается только одна величина — напря- жение или ток. Для задания величины напряжения или тока, в свойствах компонента Component Properties ввести значение па- раметра Value в единицах напряжения или тока (см. пример на рис. 5.2). 311
Гпава 5. Аналого-цифровое моделирование Рис. 5.1. Источники постоянного напряжения и тока Рис. 5.2. Задание настроек для источника постоянного напряжения VSRC Дополнительные параметры источников задаются с помощью атрибутов, приведенных в таблице 5.2. Для задания значений атрибутов необходимо в свойствах источ- ника в списке моделей указать текущую модель и нажать кнопку Edit, после чего в появившемся окне выбрать вкладку Parameters и отредактировать необходимые значения (рис. 5.2). Аналогично за- дать все атрибуты в соответствии с таблицей 5.2 и выйти из окна Sim Model, нажав кнопку ОК. 312
5.1. Источники сигналов Таблица 5.2 Атрибуты моделирования источников VSRC и ISRC. Наименование атрибута Назначение ~ ’АС Magnitude । Амплитуда напряжения или тока (в единицах напряжения или тока). Этот параметр устанавливается в случае, если источник планируется использовать в качестве источника сигналов при проведении анализа по переменному току в режиме малых сиг- налов ’ACPhase » Начальная фаза сигнала (в градусах) при проведении анализа по ^переменному току в режиме малых сигналов । 5.1.1.2. Источники периодического импульсного напряже- ния VPULSE и тока IPULSE Параметры источников задаются с помощью атрибутов, приве- денных в таблице 5.3. Для задания атрибутов необходимо в свойствах источника Component Properties зайти в опции редактирования параметров модели Model Sim (рис. 5.2). На вкладке Parameters вести значение атрибута Value и нажать кнопку ОК. Аналогично задать все атри- буты в соответствии с таблицей 5.3 и выйти из режима Model Sim, нажав кнопку «ОК». Рис. 5.3. Источники периодического импульсного напряжения и тока Таблица 5.3 Атрибуты моделирования источников VPULSE и IPULSE г Наименование J атрибута Назначение Обозначение (рис. 5.4) г DC Magnitude L Амплитуда напряжения или тока в режиме расчета по постоянному току (в единицах напряжения или тока) 313
Гпава 5. Аналого-цифровое моделирование Окончание табл. 5.3 Наименование атрибута Назначение Обозначение (рис. 5.4) । II AC Magnitude Амплитуда напряжения или тока в режиме расчета параметров малых сигналов (в единицах напряжения или тока) AC Phase Фазовый сдвиг источника напряжения или тока в ре- жиме расчета параметров малых сигналов (в градусах) Initial Value Начальная величина напряжения или тока источника (в единицах напряжения или тока) у1 Pulsed Value Максимальная величина напряжения или тока импуль- са (в единицах напряжения или тока) У2 iTime Delay Начало переднего фронта импульса (в единицах времени) td Rise Time Длительность переднего фронта импульса (в единицах времени) tr Rill Time Длительность заднего фронта импульса (в единицах времени) tf | Pulse Width Длительность импульса (в единицах времени) tp I 1 Period Период повторения импульса (в единицах времени) T Phase Delay Фазовый сдвиг источника напряжения или тока в ре- жиме расчета переходных процессов (в градусах), Рис. 5.4. Форма сигнала, задаваемого источником VPULSE или IPULSE 314
5.1. Источники сигналов Пример: задать прямоугольный импульс напряжения (рис. 5.5) с параметрами: начальное значение напряжения -1В; максимальное значение напряжения 1В; начальная задержка появления импульса 100 мкс; длительность фронтов импульса 50 мкс; длительность импульса 300 мкс; период 1 мс. На рис. 5.5 приведены атрибуты моделирования импульса. Рис. 5.5. Прямоугольный импульс напряжения и его атрибуты моделирования 5.1.1.3. Источники напряжения VSIN и тока ISIN синусоидальной формы Параметры источников задаются с помощью атрибутов, приве- денных в таблице 5.4. Задание атрибутов производится аналогично п. 5.1.1.2. 315
Гпава 5. Аналого-цифровое моделирование Рис, 5.6. Источники напряжения и тока синусоидальной формы Таблица 5.4 Атрибуты моделирования источников VS1N и ISIN Наименование атрибута Назначение Обозначение I (рис. 5.7) [ DC Magnitude Амплитуда напряжения или тока в режиме расчета по постоянному току (в единицах напряжения или тока) AC Magnitude Амплитуда напряжения или тока в режиме расчета параметров малых сигналов (в единицах напряжения или тока) 1 AC Phase Фазовый сдвиг источника напряжения или тока в режиме расчета параметров малых сигналов (в градусах) Offset Постоянное смещение напряжения или тока источника (в единицах напряжения или тока) УО | Amplitude Амплитуда сигнала (в единицах напряже- ния или тока) Уа Frequncy Частота сигнала (в единицах изменения частоты) f | Delay Задержка начала сигнала (в единицах вре- мени) td J Damping Factor Коэффициент затухания сигнала (в 1/с) df | Phase Delay Фазовый сдвиг сигнала в момент времени t=0 (в градусах) ф 1 316
5.1. Источники сигналов y(t) Рис. 5.7. Форма сигнала, задаваемого источником VSIN или ISIN Форма сигнала соответствует выражению: y(t) = Уо при t < td ; y(t) = Уо + Уа ’ ехр[ (t - td ) • df ]* *Sin[ 2nf (t - td ) + 2лф / 360 ) ] при t > td Пример: задать источник синусоидального напряжения (рис. 5.8) с параметрами: смещение напряжения 0.5В; амплитуда напряжения 0.5В; частота 1 КГц; задержка начала сигнала 1 мс; коэффициент затухания 0; фазовый сдвиг 0. 5.1.1.4. Источники напряжения VPWL и тока 1PWL ироизвольной формы Данные источники используются для получения сигналов про- извольной формы в виде набора значений напряжения или тока в различные моменты времени. Параметры источников задаются с помощью атрибутов, приве- денных в таблице 5.5. Задание атрибутов производится аналогично п. 5.1.1.2. 317
Г.пава 5. Аналого-цифровое моделирование Рис. 5.8. Результаты моделирования источника синусоидального напряжения в соответствии с примером, при Damping Factored и Damping Factor:=500c', Рис. 5.9. Источники напряжения и тока произвольной формы Таблица 5.5 Атрибуты моделирования источников VPWL и IPWL. | Наименование 1 атрибута Назначение Обозначение (рис. 5.10) | DC Magnitude Амплитуда напряжения или тока в режиме расчета по постоянному току (в единицах напряжения или тока) |АС Magnitude Амплитуда напряжения или тока в режиме расчета па- раметров малых сигналов (в единицах напряжения или тока) 318
5.1. Источники сигналов Окончание табл. 5.5 Наименование атрибута Назначение Обозначение ; (рис. 5.10) AC Phase Фазовый сдвиг источника напряжения или тока в режи- ме расчета параметров малых сигналов (в градусах) Time/Voltage Pairs Значения напряжения в заданные моменты времени (в единицах напряжения и времени). Используется для ис- точника напряжения Ui 'Time/Current Pairs Значения тока в заданные моменты времени (в едини- цах тока и времени). Используется для источника тока. ifile Name i Задание имени файла описания источника сигнала (до- полнительная опция) Mi Рис. 5.10. Форма сигнала, задаваемого источником VPWL или IPWL. Описание кусочно-линейного сигнала может быть сделано сле- дующим способом: вводом набора из п точек, которые вводятся непосредственно в поле таблицы «Time/Value Pairs» в свойствах модели источника сигнала (рис. 5.2). Время, задаваемое для каж- дой последующей точки, должно быть больше, чем для предыду- щей. Если в какой-то точке это условие не будет выполнено, то никл будет закончен, и все последующие точки, начиная с этой, в него не войдут. 5.1.2. Задание сигналов сложной формы Для задания в моделируемой схеме сигналов сложной формы, таких, как пачки импульсов, синусоидальные сигналы переменной 319
Гпава 5. Аналого-цифровое моделирование частоты, последовательности прямоугольных импульсов с пере- менным периодом, сигналы треугольной и пилообразной формЬ( и т. п., используются специальные компоненты, и комбинации из этих компонентов и источников сигналов простой формы. 5.1.2.1. Компонент перемножения напряжений MULTV м? VI Q V2 MULTV Рис. 5.11. Компонент перемножения напряжений Данный компонент реализует функцию Q(t) = VI (t) • V2(t) и расположен в библиотеке: ...\AltiumDesigner\Library\Simulation\ Simulation Math Function.IntLib, как и большинство других компо- нентов, реализующих математические функции. Пример: для получения напряжения Uqut, форма которого приве- дена на рис. 5.12, используется комбинация источников VI (напря- жение Ujyu), V2 (напряжение 11 компонента V3 MULTV, приве- денная на рис. 5.13. Рис. 5.12. Форма напряжений источников VI и V2 для получения напряжения Uqut 320
5.1. Источники сигналов V3 Рис. 5.13. Схема формирования напряжения Uqut 5.1.2.2. Управляемый напряжением генератор синусоидального сигнала SINEVCO V? VCO-Sine Рис. 5.14. Компонент SINEVCO Данный компонент формирует напряжение синусоидальной формы, частота которого определяется поданным на входы «+» и «—» напряжением. Этот компонент (как и похожие на него компо- ненты SRQVCO и TRIVCO) расположен в библиотеке: ...\ Simulation Special Function. IntLib Параметры компонента задаются с помощью атрибутов, приве- денных в таблице 5.6. Задание атрибутов производится аналогично п. 5.1.1.2. 11 зак. 32 321
Глава 5. Аналого-цифровое моделирование Таблица 5.6 Атрибуты моделирования компонента SINEVCO Наименование атрибута Назначение Значение по умолчанию i LOW Минимальное значение выходного напряжения (в едини- цах напряжения) -1В HIGH Максимальное значение выходного напряжения (в едини- цах напряжения) : j 1Б ; С1 Точка U1 входного управляющего напряжения (в едини- цах напряжения) ов F1 Частота выходного сигнала, соответствующая управляю- щему напряжению U1 (в единицах частоты) ОКГц С2 Точка U2 входного управляющего напряжения (в едини- цах напряжения) 1В F2 Частота выходного сигнала, соответствующая управляю- щему напряжению U2 (в единицах частоты) 1КГц сз Точка U3 входного управляющего напряжения (в едини- цах напряжения) 2В F3 Частота выходного сигнала, соответствующая управляю- щему напряжению ИЗ (в единицах частоты) 2КГц 04 Точка U4 входного управляющего напряжения (в едини- цах напряжения) ЗВ F4 Частота выходного сигнала, соответствующая управляю- щему напряжению U4 (в единицах частоты) ЗКГц 05 Точка U5 входного управляющего напряжения (в едини- цах напряжения) 4В F5 Частота выходного сигнала, соответствующая управляю- щему напряжению U5 (в единицах частоты) 4КГц Управляющему напряжению U1...U5 будут соответствовать час- тоты выходного напряжения F1...F5. Для промежуточных значе- ний управляющего напряжения частота будет линейно интерполи- роваться. Задавать необходимо .все 5 точек управляющих напряже- ний и частот, в противном случае для незаданных точек буду! приняты значения по умолчанию в соответствии с таблицей 5.6 Следующий пример поясняет работу компонента STNEVCO. 322
5.1. Источники сигналов Пример: получить синусоидальное напряжение Uqut с минималь- ным значением напряжения ОВ, максимальным значением напряже- ния 10В и линейно изменяющейся частотой от 0 до ЮКГц в интер- вале времени от 0 до 10 мс (рис. 5.15). Рис. 5.15. Синусоидальный сигнал с меняющейся частотой Источник VI управляющего напряжения Ucontrol задает ли- нейно изменяющееся напряжение 0...10В на интервале времени 0...10 мс: Time/Voltage Pairs=0ms 0 10ms 10 Атрибуты компонента V2: LOW=0 HIGH=10 01=0 F1=1 02=2.5 F2=2.5k 03=5 F3=5k 04=7.5 F4=7.5k 05=10 F5=10k Puc. 5.16. Схема формирования напряжения Uout 11* 323
Гпава 5. Аналого-цифровое моделирование Аналогичным образом можно использовать компоненты SRQVCO и TRIVCO для установки управляемых напряжением ге- нераторов прямоугольного и пилообразного сигнала. Таким обра- зом, для формирования сигналов сложной формы можно исполь- зовать набор функций, компоненты которых сгруппированы в библиотеках Simulation Math Function.IntLib и Simulation Special Function. IntLib. 5.2. Подготовка электрической схемы к моделированию Моделирование электрической принципиальной схемы элек- тронного устройства, созданной в схемном редакторе, может быть проведено после ряда подготовительных операций: 1) Из схемы исключаются компоненты, не имеющие математи- ческих моделей (разъемы, элементы коммутации и т. п.). 2) Из схемы рекомендуется исключить функциональные узлы, непосредственно не влияющие на результаты моделирования, или такие функциональные узлы, которые можно заменить на источ- ники сигналов и постоянных напряжений и токов (например, ге- нераторы тактовых частот, источники и стабилизаторы напряже- ний питания и т. п.). Исключение таких функциональных узлов может существенно уменьшить время моделирования схемы. 3) При необходимости добавляются цепи внешней коммутации схемы (элементы, подключаемые к разъемам при проведении про- верок схемы и т. п.). 4) В схему необходимо добавить источники питания и источни- ки, формирующие входные сигналы, а также задать необходимые атрибуты этих источников (см. раздел 5.1). 5) Цепи «земля» должно быть присвоено стандартное имя GND. 6) Цепям питания микросхем должны быть присвоены стан- дартные имена (обычно VCC, VDD), которые должны соответст- вовать именам цепей, к которым подключены скрытые выводы микросхем. 7) Необходимо обеспечить наличие файлов математических мо- делей всех используемых в схеме компонентов (раздел 2.5.2.2.). 8) Цепям, которые входят в узлы, сигналы в которых необходи- мо визуально оценить после моделирования, рекомендуется при- своить уникальные имена, для удобства ссылки на них. 324
5.2. Подготовка электрической схемы к моделированию На рис. 5.17а приведен фрагмент электрической принципиаль- ной схемы, составленной для проведения конструкторского про- ектирования. На рис. 5.176 приведен фрагмент этой же схемы, подготовленной для проведения моделирования. На схеме рис. 5.176: • генератор тактовой частоты (BQ1, DD1.3, DD1.4, DD1.5) за- менен источником импульсного напряжения U3; • добавлен источник импульсного напряжения U2 для задания внешнего сигнала SBROS1; • исключен элемент коммутации S1 с заменой его на пере- мычку для обеспечения конкретного режима работы схемы; • исключен разъем XI; Рис. 5.17. Фрагмент электрической принципиальной схемы электронного устройства (а) и фрагмент этой же схемы, подготовленной для моделирования (б) (начало) 325
Гпава 5. Аналого-цифровое моделирование Рис. 5.17 (окончание) • стабилизатор напряжения питания на микросхеме DA1 заме- нен источником постоянного напряжения U1; • заданы имена необходимых цепей F, Al, ED, D12D0, D10D0, OUT1, D5P0, KOORD. 5.3. Моделирование электрических схем Для настроек и запуска процесса моделирования используется специальная панель Mixed Sim, которая «по умолчанию» скрыта. Чтобы вызвать эту панель необходимо нажать правой кнопкой мыши в любом месте меню и из возможного списка панелей вы- брать нужную панель (рис. 5.18.) 326
5.3. Моделирование ^липшрич^^пил Help 1^ Л Mixed Sim schdoc * Formatting Utilities Wiring 2 Navigation Customize... "i Puc. 5.18. Добавление панели Mixed Sim Появившаяся панель имеет три команды: • Run Mixed Signal Simulation — запуск процесса моделирова- ния (клавиша F9) • Setup Mixed-Signal Simulation — настройки моделирования • Generate XSpice Netlist — формирование общей модели схе- мы, при этом происходит проверка схемы. Перед установкой настроек и выполнения моделирования, ре- комендуется запустить процесс проверки, который устанавливает наличие моделей у компонентов и соблюдение остальных фор- мальностей, описанных в разделе 5.2. При запуске команды Generate XSpice Netlist появляется окно Messages (рис. 5.19), в котором перечислены сообщения об ошибках в данной схеме. В рассматриваемом случае, сообщения говорят об отсутствии модели у компонента VT1 (VT1 — No SIM implementation for part) и отсутствии подключения элемен- тов схемы к земле (GND Spice Reference Net is not in the schematic) 327
Г.пава 5. Аналого-цифровое моделирование 5.3.1. Составление задания на моделирование Начальным этап проектирования является процесс составления задания на моделирование, для чего на экран выводится диалого- вое окно Analyses Setup (рис. 5.20), с помощью нажатия кнопки Setup Mixed-Signal Simulation. В этом окне задаются необходимые виды анализа и выбираются схемные переменные, т. е. напряже- ния в узлах схемы, токи в цепях схемы, комплексные сопротивле- ния, рассеиваемые мощности на элементах схемы, для их сохране- ния в файле результатов и графического отображения. Рис. b.'2t). Задание вида анализа и выбор переменных схемы 5.3.1.1. Задание вида анализа Виды анализа, задаваемые при моделировании: Operating Point Analysis — расчет режима работы по постоянно- му току (расчет «рабочей точки») при линеаризации моделей не- линейных компонентов; Transient/Fourier Analysis — анализ переходных процессов и спектральный анализ; AC Small Signal Analysis — частотный анализ в режиме малых сигналов (для нелинейных схем выполняется в линеаризованном режиме в окрестности рабочей точки по постоянному току); 328
5.3. Моделирование электрических схем DC Sweep Analysis — расчет режима по постоянному току при вариации одного или двух источников постоянного напряжения или тока; Noise Analysis — расчет спектральной плотности внутреннего шума; Transfer Function Analysis — расчет передаточных функций в ре- жиме малых сигналов; Temperature Sweep — режим изменения температуры; Parameter Sweep — изменение параметров элементов; Monte Carlo Analysis — статистический анализ по методу Мон- те-Карло. В поле Analyses/Optiofis выбирается один или несколько видов анализа, отмечая необходимые опции в колонке Enabled (рис. 5.20). 5.3.1.2. Выбор схемных переменных Данная операция производится на вкладке General Setup в поле Analyses/Opt ions. Режим сохранения данных о схемных переменных при модели- ровании выбирается в поле Collect Data For (рис. 5.20). Возможные варианты выбора режима сохранения данных: Node Voltage and Supply Current — потенциалы всех узлов и токи всех источников; Node Voltage, Supply and Device Current — потенциалы всех уз- лов, токи всех источников и компонентов; Node Voltage, Supply Current, Device Current and Power — по- тенциалы всех узлов, токи всех источников и компонентов, рас- сеиваемая во всех компонентах мощность; Node Voltage, Supply Current and Subcircuit VARs — потенциалы всех узлов, токи всех источников в основной схеме и макромоде- лях; Active Signals — выбранные сигналы (только те, имена которых помещены на панели Active Signals). При моделировании достаточно больших схем рекомендуется выбирать режим сохранения данных Active Signals, т. к. только в этом случае можно обеспечить минимальный размер файла ре- зультатов моделирования. В поле SimView Setup необходимо выбрать Show active signals (рис. 5.20). В поле Available Signals приводится перечень всех сигналов, доступных в соответствии с выбранным режимом (при выборе ре- 329
Глава 5. Аналого-цифровое моделирование жима Active Signals, перечень содержит все типы схемных пере- менных). В поле Active Signals приводится перечень сигналов, гра- фики которых строятся по окончании моделирования. Из одного поля в другое все сигналы переносятся нажатием клавиш «»» и «<<». Выбранные правой кнопкой мыши сигналы переносятся на- жатием кнопок «>» и «<». Позже, при необходимости, состав гра- фиков можно изменить. Дополнительные обозначения в поле Available Signals: #branch — ток через источник напряжения; [i] — ток через двухполюсный компонент; [р] — рассеиваемая мощность; [z] — модуль комплексного сопротивления (равного отноше- нию ЭД С сигнала к току через источник сигнала); [ib] — ток базы транзистора; [ic] — ток коллектора транзистора; [ie] — ток эмиттера транзистора. После нажатия на панели Advanced... и Preferences... (рис. 5.20) открываются диалоговые окна настройки параметров алгоритмов моделирования и параметров системы. Изменять эти данные ре- комендуется только квалифицированным пользователям. 5.3.2. Задание параметров для конкретного вида анализа и моделирование Задание параметров режимов моделирования производится вы- бором соответствующей строки в поле Analyses/Options. Режим Operating Point Analysis параметров не имеет. Описание параметров для конкретных видов анализа и приме- ры их задания, а также примеры моделирования для конкретных видов анализа будут приведены ниже. 5.3.2.1. Запуск моделирования После выбора всех необходимых видов анализа и задания их режимов, необходимо закрыть окно выбора режимов Analyses Setup, нажав кнопку «ОК» (рис. 5.20) и запустить команду Run Mixed Signal Simulation (рис. 5.21). Прервать процесс моделирования, до его завершения, можно нажав на пиктограмму — Abort Simulation. Результаты моделирования (файл SDF) и список соединений схемы (файл NSX) будут сохранены в директории «Project Outputs 330
5.3. Моделирование электрических схем Рис. 5.21. Запуск моделирования for <имя файла схемы>» которая располагается в той же директо- рии, в которой находился файл описания схемы. Кроме того, в той же директории, в которой находился файл описания схемы, создается файл проекта «<имя файла схемы>.РцРсЬ». В открывшемся окне Projects отображается дерево выбранного проекта, в котором можно открыть раздел «Simulation Documents» щелчком левой кнопки мыши по символу »+» перед названием раздела (рис. 5.22). Рис. 5.22. Отображение дерева проекта в среде Altium Designer 331
Гпава 5. Аналого-цифровое моделирование При выборе файла SDF в разделе «Simulation Documents» мы попадаем в режим просмотра и обработки результатов моделиро- вания (рис. 5.22А). При выборе файла списка соединений схемы (NSX) в разделе «Source Documents» (рис. 5.22Б) обеспечивается возможность редактирования файла списка соединений, становят- ся доступными команды «Simulate>Run» и «Simulate>Setup...» 5.3.2.2. Расчет режима работы по постоянному току (Operating Point Analysis) Расчет режима работы по постоянному току (Operating Point Analysis) может проводиться как самостоятельный вид анализа. При выполнении анализа переходных процессов (Transient Analysis) и частотного анализа (AC Small Signal Analysis) этот рас- чет проводится автоматически. Во время этого вида расчета опре- деляется режим работы по постоянному току (DC) для всей схемы в целом, при этом эквивалентом для индуктивности является пе- ремычка, а эквивалентом емкости — разрыв цепи. Для всех нели- нейных элементов схемы определяются линеаризованные модели для малых сигналов, которые используются при проведении рас- четов частотных характеристик схемы. Все источники переменно- го напряжения при этом не учитываются. Для вывода результатов расчета Operating Point Analysis необходимо включить соответст- вующую опцию в соответствии с п. 5.3.1.1 И рис. 5.20, а затем вы- брать необходимые переменные схемы (в соответствии с п. 5.3.1.2) для их сохранения в файле результатов и отображения. Пример: расчет режима работы по постоянному току схемы (...\Altium Designer\Examples\Circuit Simulation\Common-Emitter Amplifier) для напряжений в узлах В, С и Е. Пример задания на рас- чет и результаты расчета приведены на рис. 5.23. 5.3.2.3. Анализ переходных процессов (Transient Analysis) Сигналы, получаемые в результате анализа переходных процес- сов (Transient Analysis) являются результатом вычисления схемных переменных (напряжений и токов), как функций времени на за- данном временном интервале. Вне зависимости от использования опции Use Initial Conditions (см. ниже), определяющей начальные условия, для определения постоянных составляющих в схеме пе- ред моделированием переходных процессов, автоматически произ- водится расчет рабочих точек Operating Point Analysis. 332
5.3. Моделирование электрических схем Рис. 5.23. Пример задания на расчет режима работы по постоянному току и результаты расчета электрической схемы Common-Emitter Amplifier Задание на расчет переходных процессов устанавливается на вкладке Transient/Fourier окна выбора режимов Analyses Setup (рис. 5.24). В поле Transient Analysis, опция которого должна быть включе- на, задаются общие параметры задания на расчет переходных про- цессов: Start Time — начальное время отображения результатов модели- рования (моделирование всегда начинается в момент времени t — О, однако до момента Start Time его результаты не отображаются на экране, не сохраняются и не участвуют в спектральном анализе); Stop Time — конечное время моделирования; Step Time — начальный шаг по времени (в процессе моделиро- вания величина текущего шага выбирается автоматически, но не более, чем Maximum Step); Maximum Step — максимальный шаг по времени. По умолча- нию он выбирается равным меньшей из величин Step Time и (Stop Time — Start Time)/50; 333
Г.пава 5. Аналого-цифровое моделирование А Б Рис. 5.24. Задание параметров режима Transient Analysis 334
5.3. Моделирование электрических схем Use Initial Conditions — использование начальных условий (при включении этой опции расчет режима по постоянному току про- пускается, а начальные условия устанавливаются на схеме для ка- ждого реактивного компонента или для схемы в целом с помощью специальных компонент IC). При необходимости автоматического выбора перечисленных выше параметров (кроме Use Initial Conditions), можно воспользо- ваться опцией Always set defaults — всегда назначать параметры по умолчанию (в поле Default Parameters), включив ее. При этом руч- ное редактирование этих параметров становится недоступным (рис. 5.246). В этом поле задаются параметры, устанавливаемые по умолчанию: Cycles Displayed — количество отображаемых периодов имею- щегося в схеме источника сигнала с наименьшей частотой Fmin (наибольшим периодом Ттах); Points per Cycle — минимальное количество расчетных точек на одном периоде имеющегося в схеме источника сигнала с наивыс- шей частотой Ттах (наименьшим периодом Tmin). После задания параметров Cycles Displayed и Points per Cycle необходимо нажать панель Set Defaults — установить в данный мо- мент значения параметров по умолчанию, для их автоматического вычисления и установки. Для установки по умолчанию автоматически вычисляются сле- дующие значения параметров: Start Time = 0; Stop Time = (1 / Fmin) • Cycles Displayed = Tmax • Cycles Displayed; Step Time - (1 / Fmax) I Points per Cycle = Tmin / Points per Cycle; Maximum Step = Step Time. Например, если в схеме имеются два источника импульсов с периодом 1 мс и 0.5 мс, то в этом случае при задании параметров Cycles Displayed = 5 и Points per Cycle — 50, будут установлены (рис. 5.246): Start Time = 0; Stop Time = Im • 5 = 5m; Step Time = 0.5m / 50 = 0.01m = lOu; Maximum Step = lOu. 335
Гпава 5. Аналого-цифровое моделирование В поле Spice Options режима Advanced Options, можно изменить заданный по умолчанию метод численного интегрирования (Integration method) дифференциальных уравнений схемы. По умолчанию задан метод трапеций (Trapezoidal). Имеется возмож- ность изменить его на метод Гира порядка 2...6 (Gear2...6), пред- назначенный для анализа широкополосных устройств, имеющих значительно отличающиеся граничные частоты, — чем выше по- рядок метода, тем выше точность расчетов и больше длительность моделирования и параметров системы. Все остальные параметры этой вкладки (режима) определяют стандартные настройки алгоритмов моделирования. Изменять их нельзя, кроме случаев, рассмотренных в разделе 5.4. Пример: расчет переходных процессов в аналоговой схеме пикового детектора (...\ Altium Designer\Examples\Circuit Simulation\Peak Detector) (рис. 5.25) с выводом графика напряжения на выходе (в узле PEAK). Пример задания на расчет и результаты расчета приведены на рис. 5.26. R2 R22 R8 22К Рис. 5.25. Электрическая схема пикового детектора *Peak Detector* Пример: расчет переходных процессов в цифро-аналоговой схеме 4-х разрядного генератора-счетчика импульсов (...\Altium Designer\ Examples\Circuit Simulation\Mixed-mode Binary Ripple 555) (puc. 5.27) с выводом графиков напряжений в узлах THDD, DCHG, OUT и на выходах 01, 02, ОЗ, 04. Пример задания на расчет и результаты расчета приведены на рис. 5.28. 336
5.3. Моделирование электрических схем Рис. 5.26. Пример задания на расчет переходных процессов и результаты расчета электрической схемы пикового детектора «Peak Detector* Рис. 5.27. Электрическая схема 4-х разрядного генератора-счетчика импульсов «Mixed-mode Binary Ripple 555* 337
Гпава 5. Аналого-цифровое моделирование результаты расчета схемы 4-х разрядного генератора-счетчика импульсов «Mixed-mode Binary Ripple 555* 5.3.2.4. Задание начальных условий при анализе переход- ных процессов В некоторых случаях, например при проектировании неустой- чивых и бистабильных схем, перед выполнением моделирования может потребоваться предварительная установка значений напря- жений в узлах схемы. IC? NS? Рис. 5.29. Метки начальных условий Программа моделирования содержит для этого несколько инст- рументов, включая модули установки параметров узла .NS (Nodeset) и начальных условий .IC (Initial Condition), а также оп- цию установки начальных условий Use Initial Condition. Модули установки параметров узла (.NS) и начальных условий (.IC) распо- ложены в библиотеке: ...\Library\Simulation\Simulation Sources.IntLib 338
5.3. Моделирование электрических схем Для этих модулей задается только одна величина — напряже- ние. Для задания этой величины, в свойствах компонента Component Properties нужно перейти в свойства модели и на вклад- ке Parameters задать значение напряжение (аналогично рис. 5.2). Модуль установки параметров узла .NS используется для зада- ния начального напряжения в узле схемы во время предваритель- ного прохода расчета рабочих точек. После предварительного про- хода ограничения снимаются и итерации продолжаются до полу- чения правильного значения смещения. При помещении модуля .NS необходимо задать в параметре Initial Voltage амплитуду на- пряжения в узле. Модуль задания начальных условий .IC используется для уста- новки временных начальных условий. Способ, которым програм- ма моделирования определяет начальные условия, зависит от ,1С модулей, и устанавливается опцией Use Initial Conditions, располо- женной на вкладке Transient/Fourier диалогового окна Analyses Setup. Если опция Use Initial Conditions не включена на этапе расчета рабочих точек, напряжение в узле определяется значением, зада- ваемым .IC модулем. Во время последующего анализа переходных процессов это ограничение снимается. Этот метод является пред- почтительным, так как позволяет программе моделирования полу- чить правильное решение по постоянному току. При помещении модуля .IC необходимо задать в параметре Value амплитуду напряжения в узле (например, 5В). Каждый модуль задания начальных условий должен иметь уни- кальное позиционное обозначение. В случае установки опции Use Initial Conditions на вкладке Transient/Fourier диалогового окна Analyses Setup, расчет рабочих точек не производится. Вместо этого напряжения в узлах опреде- ляются .IC модулями, которые используются при вычислении на- чальных условий для конденсаторов, диодов, биполярных, поле- вых и МОП транзисторов. Поскольку расчет рабочих точек не производится, необходимо установить соответствующие значения напряжения для всех узлов. При включении опции Use Initial Conditions моделирование пе- реходных процессов начинается с заданных начальных условий, минуя этап расчета рабочих точек. Эта опция позволяет начинать моделирование переходных процессов со значений, отличающихся от статических рабочих точек. 339
Гпава 5. Аналого-цифровое моделирование Для использования этой опции необходимо или определить на- чальные условия для каждого компонента схемы, или разместить на схеме специальные модули .IC, определяющие начальные усло- вия, заданные в атрибуте Value. Если у какого-либо компонента начальные условия не опреде- лены, они берутся равные нулю. Обычно лучшим способом задания начальных условий для ана- лиза переходных процессов, является использование модулей .IC. Следует отметить, что значения начальных условий, заданные для компонентов, имеют бодее низкий приоритет по сравнению со значениями, заданными в модулях .IC, подсоединенных к тем же цепям. При необходимости определения начальных условий непосред- ственно в компонентах схемы, например начальное значение на- пряжения на конденсаторе, необходимо задать начальные условия в атрибуте IC этих компонентов. Атрибуты IC, заданные в компонентах, действуют только при установленной опции Use Initial Conditions в диалоговом окне Analyses Setup. Назначение атрибутов задания начальных условий в компонен- тах приведено в таблице 5.7. • Таблица &.7 Назначение атрибутов задания начальных условий JC в компонентах Компонент Назначение атрибута IC i Конденсатор (Capacitor) Напряжение на конденсаторе в нулевой момент времени, i Катушка индуктивности (Inductor) Ток, протекающий через индуктивность в нулевой мо- мент времени. । Диод (Diode) Напряжение на диоде в нулевой момент времени. j j Биполярный транзистор (BJT) Напряжения база-эмиттер (Ube) и коллектор-эмиттер 1 (Uce) в нулевой момент времени (два значения, разде- ленные запятой). j I Полевой транзистор с управляющим | р-n переходом (JFET) Напряжения сток-исток (Uds) и затвор-исток (Ugs) в ну- левой момент времени (два значения, разделенные за- пятой). J МОП - транзистор (MOSFET) Напряжения сток-исток (Uds), затвор-исток(идз) и под- ложка-исток (Ubs) в нулевой момент времени (три зна- чения, разделенные запятой). 340
5.3. Моделирование электрических схем Окончание табл. 5.7 Компонент Назначение атрибута IC [ Полевой транзистор с барьером Шоттки (MESFET) Напряжения сток-исток (Uds) и затвор-исток (Ugs) в ну- левой момент времени (два значения, разделенные за- пятой). Линии передачи (Transmission Lines) Напряжение и ток для каждого порта линии передачи в нулевой момент времени (два значения, разделенные за- пятой). 1 Пример: расчет переходных процессов в схеме мультивибратора (...\Altium Designer\ Exampies\Circuit Simulation\Bistable Multivibrator) (рис. 5.30) с выводом графиков напряжений в узлах NETC3_2, Bl, В2, Cl и С2. Начальные условия заданы модулями: .NS1 (Ov) для цепи С1 и .NS2(10v) для цепи С2. Рис. 5.30. Электрическая схема мультивибратора «Bistable Multivibrator» Пример задания на расчет и результаты расчета приведены на рис. 5.31. 341
Г.пава 5. Аналого-цифровое моделирование Рис. 5.31. Пример задания на расчет переходных процессов и результаты расчета схемы мультивибратора «Bistable Multivibrator» 5.3.2.5. Спектральный анализ (Fourier Analysis) Спектральный анализ (Fourier Analysis) проводится совместно с анализом переходных процессов. Расчет производится для послед- него такта входных сигналов. Для проведения спектрального анализа необходимо включить опцию Fourier Analysis на вкладке Transient/Fourier диалогового Рис. 5.32. Задание параметров режима Fourier Analysis 342
5.3. Моделирование электрических схем окна Analyses Setup (рис. 5.32). Установка параметров спектраль- ного анализа производится на этой же вкладке: Fund. Frequency — частота первой гармоники; Harmonics — количество рассчитываемых гармоник, включая нулевую. Значение частоты первой гармоники (Fund. Frequency) выбира- ется исходя из основной частоты (периода) анализируемого сигна- ла. Так если период сигнала равен 2 мс, то частота первой гармо- ники выбирается равной 500 Гц. Если частота первой гармоники выбрана меньше, чем основная частота сигнала, то при попытке запустить моделирование нажатием на панель «Run Analyses» бу- дет выдано соответствующее сообщение об ошибке (рис. 5.33). Рис. 5.33. Ошибка при установке параметра Fund. Frequency в процентах (см. пример) Результаты спектрального анализа выводятся в виде спектра сигнала и в текстовом виде в файле *.SIM, где указываются номер (Harmonic), частота (Frequency), амплитуда (Magnitude) и фаза (Phase) для каждой отдельной гармоники, а также указывается значение коэффициента нелинейных искажений THD в Пример: спектральный анализ схемы генератора на триггере Шмитта (...\Altium Designer\Examples\Circuit Simulation Schmitt Trigger Oscillator) с выводом графика спектра сигнала в узле OUT. Частота основной гармоники 500 Гц (Fund. Frequency —500), выбира- ется исходя из периода сигнала в узле OUT, равного 2 мс; Количество рассчитываемых гармоник: Harmonics =10. 5.3.2.6. Частотный анализ в режиме малых сигналов (AC Small Signal Analysis) Задание на частотный анализ (АС-анализ) устанавливается на вкладке AC Small Signal окна выбора режимов Analyses Setup. В полях AC Small Signal Analysis Setup (рис. 5.34) задаются общие параметры для расчета частотных характеристик: 343
Гпава 5. Аналого-цифровое моделирование ед» Start И Scj™« >»*<:• 7.000 6 ДЮ 5.000 HainonlC Frequency <д» JjOOO 2flt» tftX) OjOOO flSdrtlfrp OxH^qrscrdoc'l1 jgSchrt fr Begin tude 2.000 Frewency (Hl) Circuits Schaitt Trigger Oscillator Date: Вт лек 23 18:14:41 2008 Fhas > Fourier analysis Cot odd: Ho. H«*ortics: 10, THL: 171.465 4, Gridsite: 200, Interpolation Degree: 1 O.CCOOOE+OOO 5.0О0Ю0Е+О02 1.0000ЮС+003 1.50СЮСЕ+003 2.С10ПССЕ*003 2.50С06К*ЮвЗ 1.2COOOT+DD1 2.825S4E-015 3.31005E-01S 2.921в9Е-О16 6.00441E-016 4.5И8ЕЕ-016 Phase Hoik. Rag Нои \ Ttant^ri A^>w У Foorw Arwfr*** f о.ооооад+ооо -E05288E+002 -1.35761Е+ОЭ2 1.14224E+0G2 4.76978E+001 -1.20094E+002 0. OOCOGE+O 30 l.OOOOOE+OW) 1.16718EHJ00 1.O3O31E-OCH 2.U7Z5E-001 1. 59272E-M1 O.OOOOCE+JOq D-OOODOE^O -3.O473«+£oi 2.195iSE+0p2 1.5298^+^2 -1.48067ЕЮ01 s Puc. 5.34. Результаты спектрального анализа для схемы генератора на триггере Шмитта в виде графика спектра сигнала в узле OUT и текстового файла Start Frequency — начальная частота построения частотных ха- рактеристик (значение частоты должно быть больше нуля); Stop Frequency — конечная частота построения частотных ха- рактеристик; Test Points — количество расчетных точек, определяющее шаг изменения частоты. В поле Sweep Туре выбирается тип измерения частоты: Linear — линейный, при этом количество расчетных точек, за- данное параметром Test Points, распространяется на весь диапазон изменения частоты; Decade — логарифмический декадами, при этом количество расчетных точек, заданное параметром Test Points, распространя- ется на декаду; Octave — логарифмический октавами, при этом количество расчетных точек, заданное параметром Test Points, распространя- ется на октаву. Тип изменения частоты выбирается из списка, который выпа- дает при щелчке мышью в поле Sweep Туре. Общее количество расчетных точек в диапазоне частот оцени- вается программой и указывается на строке Total Test Points. Перед выполнением АС-анализа автоматически производится расчет режима по постоянному току и линеаризация всех нели- нейных компонентов. Источниками гармонического сигнала слу- жат независимые источники напряжения или тока, в том числе и постоянного, символы которых должны иметь атрибуты амплиту- 344
5.3. Моделирование электрических схем Рис. 5.35. Задание параметров режима AC Small Signal Analysis ды AC Magnitude co значением в вольтах и фазы AC Phase со зна- чением в градусах. В схеме должен быть, по крайней мере, один такой источник. Во время моделирования этот источник заменя- ется генератором синусоидального сигнала с параметрами, задан- ными в атрибутах AC Magnitude и AC Phase. Частота этого сигнала в процессе расчета меняется в заданных пределах. Для получения амплитудно-частотных характеристик (АЧХ) относительно 0 дБ, т. е. коэффициентов передачи по напряжению, нужно установить параметр источника входного сигнала AC Magnitude = 1. Пример: частотный анализ схемы полосового фильтра (...\Altium Designer\Examples\ Circuit Simulation\Bandpass Filter) (рис. 5.36) с вы- водом амплитудно-частотной и фазово-частотной характеристик сигнала в узле OUT в диапазоне частот от 1 Гц до 5КГц с дискрет- ностью изменения частоты 100 точек на декаду. Пример задания на расчет приведен на рис. 5.35, результаты рас- чета приведены на рис. 5.36. После моделирования схемы в режиме АС-анализа результаты выводятся на экран только в виде амплитудно-частотных характе- ристик (АЧХ) для заданных схемных переменных. Чтобы отобра- зить на экране соответствующие им фазово-частотные характери- стики (ФЧХ), необходимо проделать ряд дополнительных опера- ций для каждой схемной переменной: 1) Создать новую область для размещения графика ФЧХ коман- дой «Plot>New Plot...» в главном меню Altium Designer (рис. 5.37). 345
Г.пава 5. Аналого-цифровое моделирование VCC VEE OUT Рис. 5.36. Электрическая схема полосового фильтра ^Bandpass Filter* Рис. 5.37. Добавление нового графика 2) Пройти все 4 шага создания новой области графика в окнах Plot Wizard (рис. 5.38), введя данные согласно рисунку и нажимая кнопку Next и Finish (на завершающем этапе Plot Wizard — Finish). 3) На третьем шаге нужно указать сигнал, который необходимо поместить на вновь создаваемый график. Для этого по кнопке 346
5.3. Моделирование электрических схем Рис. 5.39. Добавление графика ФЧХ Add — добавить график в область вывода (рис. 5.39), в поле Waveforms щелкнуть левой клавишей мыши на имени требуемой 347
Гпава 5. Аналого-цифровое моделирование переменной (Out) для появления этого имени в поле Expression. За- тем в поле Complex Functions выбрать опцию Phase (Deg) — фаза в градусах, и нажать панель Create — создать. В результате этих дей- ствий ниже основного графика АЧХ будет добавлен график ФЧХ. 5.3.2.7. Анализ схем при изменяющемся постоянном напряжении Режим анализа схем при изменяющемся постоянном напряже- нии (DC Sweep Analysis) во многом аналогичен режиму расчета рабочих точек схемы по постоянному току (Operating Point Analysis) при вариации одного или двух источников постоянного напряжения или тока. В процессе анализа производится последо- вательный расчет серии рабочих точек при изменении значения напряжения выбранного источника постоянного напряжения (Primary) с заранее заданным шагом, и строится соответствующая характеристика. Кроме того, имеется возможность определить второй (Secondary) изменяемый источник постоянного напряже- ния. В этом случае строится семейство характеристик. Режим DC Sweep Analysis включается соответствующей опцией в поле Analyses/Options окна Analyses Setup). Задание параметров для проведения анализа устанавливается в поле DC Sweep Analysis Setup окна Analyses Setup (рис. 5.40). Puc. 5.40. Задание параметров режима DC Sweep Analysis 348
5.3. Моделирование электрических схем Пример: для схемы усилителя с общей базой (...\Altium Designeг\ Examples\Circuit Simulation\Common-Base Amplifier) (рис. 5.41) рас- считать зависимость напряжения на коллекторе транзистора VT1 (узел С) от напряжений источников U1 и U2. Диапазон изменения напряжения источника U1 от О В до -10В с шагом 1В. Диапазон изменения напряжения источника U2 от 5В до 20В с шагом 5В. с выводом амплитудно-частотной и фазово-частотной характе- ристик сигнала в узле OUT в диапазоне частот от 1 Гц до 5КГц с дискретностью изменения частоты 100 точек на декаду. Пример задания на расчет приведен на рис. 5.40, результаты рас- чета приведены на рис. 5.41. Рис. 5.41. Результаты расчета зависимости напряжения на коллекторе транзистора VT1 (узел С) от напряжений источников U1 и U2. 5.3.2.8. Расчет спектральной плотности внутреннего шума (Noise Analysis) Расчет спектральной плотности внутреннего шума (Noise Analysis) позволяет получать шумовые характеристики схемы пу- тем определения внутренних шумов резисторов и полупроводни- ковых устройств. Программа моделирования строит график спек- тральной плотности внутреннего шума, на котором шум измеряет- ся в В2/Гц. Конденсаторы и катушки индуктивности считаются идеальными, не вносящими дополнительных шумов в схему. Про- 349
Глава 5. Аналого-цифровое моделирование грамма моделирования позволяет проводить следующие расчеты шумовых характеристик: Выходной шум — позволяет определить уровень шума на выхо- де схемы. Входной шум — определяет уровень входного шума, являюще- гося причиной рассчитанного уровня шума на выходе схемы. Например, если выходной шум равен Юр В2/Гц (10*10е-12 В2/Гц) и схема имеет коэффициент усиления 10, это означает, что шум на входе схемы должен быть равен 1р В2/Гц (1*10е-12 В2/Гц). Таким образом, эквивалентный входной шум будет равен 10е-12 В2/Гц. Шумовая характеристика компонентов — позволяет определить шум, который вносит каждый компонент в выходной шум. Общий выходной шум равен сумме шумов резисторов и полу- проводниковых устройств. Каждый из компонентов добавляет оп- ределенное количество шума, которое умножается на коэффици- ент усиления, определяемое от позиции компонента до выхода схемы. Таким образом, один и тот же компонент может давать различные шумовые добавки к выходному шуму, в зависимости от его места расположения в схеме. Результаты анализа шумов отображаются в окне просмотра ре- зультатов моделирования. Графики спектральной плотности вы- ходного и входного шума помечаются соответственно NO (Noise Output) и NI (Noise Input). Режим Noise Analysis включается соответствующей опцией в поле Analyses/Options окна Analyses Setup. Задание параметров для проведения анализа устанавливается в поле Noise Analysis окна Analyses Setup (рис. 5.42). Для анализа спектральной плотности внутреннего шума зада- ются следующие параметры: Noise Source — имя входного (опорного) источника сигнала, которое выбирается из списка доступных источников в поле Noise Source; Start Frequency — начальная частота анализа (значение частоты должно быть больше нуля); Stop Frequency — конечная частота анализа; Test Points — количество расчетных точек, определяющее шаг изменения частоты. Значение параметра Points Per Summary долж- но быть равным 0. Общее количество расчетных точек в диапазоне
5.3. Моделирование электрических схем Рис. 5.42. Задание параметров режима Noise Analysis частот оценивается программой и указывается на строке Total Test Points. Output Node — имя выходного узла для определения уровня шума, которое выбирается из списка доступных имен; Reference Node — имя узла, относительно которого опреде- ляются напряжения шумов (обычно узел 0, т. е. «земля»). Это имя выбирается также из списка доступных имен, выпадающего списка. В поле Sweep Туре выбирается тип изменения частоты: Linear — линейный, при этом количество расчетных точек, за- данное параметром Test Points, распространяется на весь диапазон изменения частоты; Decade — логарифмический декадами, при этом количество расчетных точек, заданное параметром Test Points, распространя- ется на декаду; Octave —-логарифмический октавами, при этом количество расчетных точек, заданное параметром Test Points, распространя- ется на октаву. Пример: для схемы усилителя с общей базой (рис. 5.41) определить спектральную плотность шума на выходе OUT и выходе IN (источ- ник U3) в диапазоне частот 1 Гц ... 1КГц. Пример задания на расчет приведен на рис. 5.42, результаты рас- чета приведены на рис. 5.43. 351
Гпава 5. Аналого-цифровое моделирование Рис. 5.43. Результаты расчета спектральной плотности шума на выходе OUT и входе IN в диапазоне частот 1 Гц ... 1КГц 5.3.2.9. Анализ передаточных функций (Transfer Function Analysis) В процессе анализа передаточных функций (Transfer Function) происходит вычисление коэффициента усиления по постоянному току в режиме малых сигналов для выбранных схемных перемен- ных (напряжений в узлах цепей) относительно конкретного источ- ника входного сигнала (опорного источника сигнала), а также входного сопротивления по постоянному току для опорного источ- ника сигнала и выходного сопротивления по постоянному току для заданных узлов цепей. Результаты расчета отображаются в текстовом виде для каждо- го, выбранного в качестве схемной переменной, узла схемы. Для передаточной функции (коэффициента передачи): TF_V(nnn)/Vsss ууу : Transfer Function for V(nnn)/Vsss Для входного сопротивления: IN(nnn)_Vsss ууу : Input resistance at Vsss Для выходного сопротйвлнения: OUT_V(nnn) ууу : Output resistance at nnn где: nnn — имя узла, напряжение в котором выбрано в качестве схемной переменной;
5.3. Моделирование электрически* илот sss — имя опорного источника входного сигнала; ууу — значение коэффициента передачи, входного или выход- ного сопротивления. Например, для узла OUTPUT и источника входного сигнала U1, выбранного в качестве опорного: TF_V(OUTPUT)/VU1 -9.999 : Transfer Function for V(OUTPUT)/VU1 IN(OUTPUT)_VU1 10.00k : Input resistance at VU1 OUT_V(OUTPUT) 6.488m : Output resistance at OUTPUT При выводе значений коэффициента передачи, входного или выходного сопротивления используются множители, приведен- ные в таблице 1 в начале раздела 5. Так запись 6.488m в приве- денном примере означает значение выходного сопротивления 6.488 • 10е-3 Ом. Режим Transfer Function Analysis включается соответствующей опцией в поле Analyses/Options окна Analyses Setup. Задание пара- метров для проведения анализа устанавливается в поле Transfer Function Analysis Setup окна Analyses Setup (рис. 5.44). Рис. 5.44. Задание параметров режима Transfer Function В поле Transfer Function Analysis Setup задаются следующие па- раметры: Source Name — имя входного (опорного) источника сигнала, ко- торое выбирается из выпадающего списка доступных источников; Reference Node — имя узла, относительно которого определя- ются все напряжения (обычно узел 0, т. е. «земля»). Это имя вы- бирается также из выпадающего списка доступных имен узлов. 12зак. 32 353
Гпава 5. Аналого-цифровое моделирование Пример: для схемы аналогового усилителя (...\Altium Designer\Examples \Circuit Simulation\Analog Amplifier) (рис. 5.45) про- вести анализ передаточной функции для узла OUTPUT и источника входного сигнала U1. Пример задания на расчет приведен на рис. 5.44, результаты рас- чета приведены на рис. 5.45. Рис. 5.45. Результаты расчета в режиме анализа передаточных функций (Transfer Function Analysis) для схемы аналогового усилителя ' 5.3.2.10. Режим изменения температуры (Temperature Sweep) Этот режим может использоваться в сочетании с частотным анализом, расчетом рабочих точек, а также при анализе переход- ных процессов. Схема анализируется в заданном диапазоне темпе- ратур, при этом для каждого значения температуры строится свой график. Графики помечаются следующим образом: nnntm где: ппп — имя схемной переменной; m — порядковый номер значения температуры. Например, для схемной переменной OUT и трех значений тем- пературы —50 °C, 0 °C и +50 °C графики результатов моделирова- ния обозначаются соответственно: OUT_tl, OUT_t2 и OUT_t3. 354
5.3. Моделирование электрических схем Режим Temperature Sweep включается соответствующей опцией в поле Analyses/Options окна Analyses Setup. Задание параметров для проведения анализа устанавливается в поле Temperature Sweep Setup окна Analyses Setup (рис. 5.47 в). В поле Temperature Sweep Setup задаются параметры темпера- турного диапазона в градусах С: Start Temperature — нижний предел изменения температуры; Stop Temperature — верхний предел изменения температуры; Step Temperature — шаг изменения температуры. Рис. 5.46. Электрическая схема полосового усилителя Пример: для схемы полосового усилителя (...\Altium Designer\Examples\Circuit Simulation \Bandpass Amplifier) (рис. 5.46) при значениях температуры -50 °C и +50 °C провести расчет напря- жения узла OUT в интервале времени 0...30 мкс (Transient Analysis), расчет АЧХ и ФЧХ сигнала в узле OUT в диапазоне частот 1 Гц ... ЗООКГц (АС-анализ). Пример задания на расчет приведен на рис. 5.47, результаты рас- чета приведены на рис. 5.48. 12* 355
Гпава 5. Аналого-цифровое моделирование Рис. 5.47. Задание параметров режимов Transient Analysis (а) АС-анализ (б) и Temperature Sweep (в) для схемы полосового усилителя 356
5.3. Моделирование электрических схем Рис. 5.48. Результаты расчета напряжения узла OUT, АЧХ и ФЧХ сигнала в узле OUT для схемы полосового усилителя при значениях температуры -50 °C и +50 9С (Н и 12) 357
Гпава 5. Аналого-цифровое моделирование 5.3.2.11. Режим изменения параметров элементов (Parameter Sweep) Режим Parameter Sweep позволяет производить автоматическое изменение параметров одного или двух элементов схемы в задан- ном диапазоне с определенным шагом. Этот режим может исполь- зоваться в сочетании с частотным анализом, расчетом рабочих то- чек, а также при анализе переходных процессов. В режиме Parameter Sweep можно изменять параметры только базовых компонентов схемы. Параметры подсхем изменены быть не могут. Если задан второй изменяемый параметр, изменение основного (Primary) параметра производится для каждого значения второго (Secondary) параметра. Для каждого значения параметра строится свой график. Графи- ки помечаются следующим образом: nnn_pm где ппп — имя схемной переменной; m — порядковый номер набора значений параметра (парамет- ров). Например, для схемной переменной OUT, трех значений ос- новного параметра Pl, Р2, РЗ и двух значений второго параметра SI, S2, будет построено 6 графиков результатов моделирования в следующей последовательности изменения параметров: Pl SI, Р2 S1, РЗ SI, Pl S2, Р2 S2, РЗ S2. Графики будут обозначаться соот- ветственно: OUT_pl, OUT_p2, OUT_p3, OUT_p4, OUT_p5, OUT_p6. Режим Parameter Sweep включается соответствующей опцией в поле Analyses/Options окна Analyses Setup. Задание параметров для проведения анализа устанавливается в поле Parameter Sweep Setup окна Analyses Setup (рис. 5.49). В поле Parameter Sweep Setup задаются следующие параметры: Primary (Secondary) Sweep Variable — имя изменяемого пара- метра (обозначение компонента), которое выбирается из выпа- дающего списка доступных имен. Примеры обозначения парамет- ров в списке: R1 — сопротивление резистора R1; С1 — емкость конденсатора С1; QVT1[BF] — коэффициент передачи транзистора VT1; VI — напряжение источника VI; 358
5.3. Моделирование электрических схем Рис. 5.49. Задание параметров режима Parameter Sweep для двух изменяемых параметров Start Value — нижний предел изменения значения параметра; Stop Value — верхний предел изменения значения параметра; Step Value — шаг изменения значения параметра. При необходимости определения второго изменяемого пара- метра, данные для него задаются аналогично в поле Secondary, оп- ция которого должна быть включена. Если включить опцию Sweep Type Relative Value, то значения, задаваемые в полях Start Value и Stop Value, будут являться не аб- солютными значениями параметра, а его приращениями относи- тельно номинального значения. Если помимо режима Parameter Sweep в задании проводится вариация температуры и (или) статистический анализ, то все ре- жимы варьируют только «свои» параметры при номинальных зна- чениях остальных параметров (например, при вариации темпера- туры параметры компонентов принимают свои номинальные зна- чения, а вариация параметров компонентов выполняется при номинальной температуре). Пример: для схемы полосового усилителя, рассмотренной в преды- дущем примере (рис. 5.46) провести расчет напряжения узла OUT в интервале времени 0...30 мкс (Transient Analysis), при изменении со- 359
Г.пава 5. Аналого-цифровое моделирование противления резистора R4 в диапазоне 5 Ом...80 Ом с шагом 25 Ом, а затем учесть изменение напряжения источника питания V2 в диа- пазоне 5В...15В с шагом 10В. Пример задания на расчет приведен на рис. 5.49, результаты рас- чета приведены на рис. 5.50. Рис. 5.50. Результаты расчета напряжения узла OUT с учетом влияния сопротивления резистора R4 (а), с учетом влияния сопротивления резистора R4 и напряжения источника питания U2 (б) для схемы полосового усилителя
5.3. Моделирование электрических схем 5.3.2.12. Статистический анализ по методу Монте-Карло (Monte Carlo Analysis) Режим статистического анализа (Monte Carlo Analysis) может использоваться в сочетании с частотным анализом, расчетом рабо- чих точек, а также при анализе переходных процессов. При стати- стическом анализе происходит многократный запуск процесса мо- делирования (статистические испытания), при этом параметры элементов принимают случайные значения X в заданных пределах вблизи номинального значения Хном : X = Хном ’ (I + £ • D) где £ — центрированная случайная величина (-1...1); D — относительный разброс параметра. Режим Monte Carlo Analysis включается соответствующей опци- ей в поле Analyses/Options окна Analyses Setup. Результаты расчета могут быть получены только для тех узлов, имена которых помещены в список Active Signals диалогового окна Analyses Setup. При статистическом анализе строится семей- ство характеристик, полученных на каждом статистическом испы- тании при случайном изменении параметров элементов схемы в заданных пределах. Каждый график семейства помечается следую- щим образом: nnn_mk где ппп — имя схемной переменной; — порядковый номер статистического испытания. Например, для схемной переменной OUT, при количестве ис- пытаний 10, будет построено семейство из 10 графиков результа- тов моделирования. Графики будут обозначаться соответственно: OUTjnOl, OUT_m02, OUT_m03, ... OUT_mlO. При рассмотрении порядка задания параметров для проведения статистического анализа будут использованы следующие термины и определения: Speed — начальное значение датчика случайных чисел £. При анализе методом Монте-Карло для генерации случайных значений параметров элементов необходимо задать некоторое начальное число. По умолчанию это число задается равным -1. При этом при каждом запуске статистического анализа последовательность слу- чайных чисел, а, следовательно, и случайное распределение раз- 361
Гпава 5. Аналого-цифровое моделирование бросов параметров элементов, будут одни и те же. Для реализации различных случайных последовательностей при каждом запуске статистического анализа, это число необходимо изменять (для по- лучения стандартных видов законов распределения, рекомендует- ся задавать нечетные числа в диапазоне 1...32 767). Distribution — вид закона распределения случайной величины £, используемой для генерации случайных разбросов параметров элементов. Имеется возможность выбора одного из трех видов распределения: • Uniform Distribution — равновероятное распределение случай- ной величины £ на отрезке (-1, 1). При этом значение пара- метра элемента равномерно распределяется в заданном диа- пазоне. {Например, для резистора с номиналом 1 КОм и допус- ком ±10% его сопротивление будет равновероятно изменяться в диапазоне 0.9 КОм ... 1.1 Ком}. • Gaussian Distribution — нормальное распределение случайной величины £ на отрезке (-1, 1) с нулевым средним значением и среднеквадратическим отклонением о = 0.333, т. е. усечен- ное по уровню ± Зег. • Worst Case Distribution — худший случай. Этот тип распреде- ления похож на равновероятное распределение, только слу- чайным образом генерируются крайние т.очки заданных гра- ниц разброса параметра элемента. {Например, для резистора с номиналом 1 КОм и допуском ±10% будут произвольно гене- рироваться значения из двух наихудших вариантов — 0.9 КОм и 1.1 Ком} Number of Runs — количество статистических испытаний. На каждом испытании производится расчет схемы во всех заданных режимах при различных значениях параметров элементов из за- данного диапазона. Tolerance — допуск на случайное изменение параметра элемен- та. Допуск может задаваться как абсолютным, так и относитель- ным (в процентах от номинала) значением. Например, если до- пуск резистора с номинальным значением 1КОм задан как 10 (т. е. 10 Ом), то его сопротивление будет случайно изменяться в диапазоне 0.99 КОм ... 1.0 1КОм. При определении допуска этого резистора как 10%, его сопротивление будет случайно изменяться в диапазоне 0.9 КОм ... 1.1 КОм. Значения параметров каждого элемента изменяются независи- мо от остальных. 362
5.3. Моделирование электрических схем Например, если в схеме есть два резистора сопротивлением 1К0м, а допуск на резисторы установлен равным 10%, то на ка- ком то конкретном статистическом испытании один из резисторов может получить значение 953 Ом, а другой 1022 Ом. Программа моделирования использует независимые генераторы случайных чисел для получения значений параметров каждого от- дельного элемента схемы. Default Tolerance — допуск на случайное изменение параметра элемента, заданный по умолчанию. Имеется возможность задания допусков по умолчанию для параметров шести основных катего- рий элементов: Default Resistor Tolerance — сопротивление резистора; Default Capacitor Tolerance — емкость конденсатора; Default Inductor Tolerance — индуктивность катушки индуктив- ности; Default Transistor Tolerance — коэффициент передачи по току транзистора; Default DC Source Tolerance — напряжение источника постоян- ного напряжения; Default Digital Тр Tolerance — задержка распространения сигна- ла цифрового устройства. Specific Tolerance — специфический допуск на случайное изме- нение параметра элемента. Существует возможность переопреде- ления для конкретных элементов значений допусков, заданных по умолчанию (Default Tolerance). Для задания специфических допус- ков на отдельные элементы используются следующие поля и груп- пы полей: Designator — позиционное обозначение элемента в схеме. Parameter — имя изменяемого параметра элемента, задается в том случае, если изменяется параметр, отличный от задаваемого по умолчанию (см. выше), например для транзистора не коэффи- циент передачи по току (bf), а емкость коллекторного перехода (cjc). Имеется возможность задавать специфические допуски для элементов, не входящих в шесть основных категорий элементов, допуски для которых задаются по умолчанию. Для этих элементов необходимо указывать имя изменяемого параметра. Например, при задании диода нужно указать один из его пара- метров, изменение значения которого влияет на работу схемы, на- пример сопротивление перехода в прямом включении (rs). 363
Гпава 5. Аналого-цифровое моделирование Конкретно имена изменяемых параметров можно взять из фай- ла описания модели (.MDL) для соответствующего элемента. Группа полей Device — установка допусков на параметры от- дельных элементов (некоррелированные составляющие допуска). Группа полей Lot — установка допусков на параметры группы элементов (коррелированные составляющие допуска). Программа моделирования рассчитывает обе составляющие допуска незави- симо (используя различные случайные числа), а затем объединяет их вместе. Объединение допусков отдельных элементов и групп элементов бывает полезным в случае, когда значения допусков не совсем коррелированны, но в то же время не являются и полно- стью независимыми. Например, для сборки резисторов определен допуск ±5%. Этот допуск включает одинаковый групповой коррелированный допуск на все резисторы сборки (± 4%) и независимый допуск для каждо- го резистора (± 1%). Для каждой группы полей Device и Lot задаются параметры: Tolerance — относительный допуск (в процентах) на случайное изменение параметра элемента; Tracking No. — номер последовательности случайных чисел, положительное число. Присвоение одинакового значения Tracking No. нескольким элементам производится в случае, когда необхо- димо коррелированное изменение их параметров. Если необходи- ма полная корреляция разброса параметров нескольких элемен- тов, то для них необходимо выбрать и одинаковые законы распре- деления. Distribution — вид закона распределения случайной величины •£. Пример: статистический анализ аналоговой схемы пикового де- тектора (рис. 5.25) с выводом графиков напряжений на выходе схемы (узел PEAK) и на выходе 6 микросхемы DA1 (узел TRACING). Количество статистических испытаний — 10. Вид закона распределения случайных величин по умолчанию — рав- новероятный (Uniform). Границы разброса параметров всех элементов схемы по умолча- нию — ±10%. Специфические допуски на элементы схемы: емкость конденсатора С5 — ±5%, Gaussian; сопротивление резистора R8 — ±5%, Gaussian; коэффициент передачи транзистора VTl(Ql) — ±50%, Uniform. 364
5.3. Моделирование электрических схем «^Лрьош ЕмЬМ DCSwwpM*»» ACSMfSvtfifeWM |PqitZaoM*H« nr^FunctsnAM* □ П П D П □ □ РаНмДоп Н riw d Aww WorwC*** ОшдЛ Rawtcr Tchanc* lift ^DtaJCipaaiM Tdwyca IPX DW**hxluOoiTclwMK« № I Ddaut |D«l»jtDCS«m fdwwc» 10* D«l*JlDigt^TpTclM«x» _1(R I ta - ;r*~ «*• ’•«* *1S------t <Q1 |W |Г*амм<| эотф Mcrt*C«toAn4** Skb«iPv«4№t >«nc*l Opten* Ж I <* 1Г^П| " PUC. b.bi. зад&нйё нй СМЛМиСМиЧМКйй анализ аналоговой схемы пикового детектора: задание допусков на элементы по умолчанию (а); задание специфических допусков (б) Пример задания на расчет приведен на рис. 5.51. Результаты рас- чета приведены на рис. 5.52. Рис. 5.52. Результаты статистического анализа аналоговой схемы пикового детектора для узлов PEAK ц 1RACING 365
Г.пава 5. Аналого-цифровое моделирование 5.3.3. Отображение результатов моделирования Программа моделирования отображает результаты моделирова- ния в многостраничном окне просмотра результатов (рис. 5.53), которое позволяет легко и быстро производить визуальный анализ полученных данных. Рис. 5.53. Окно просмотра результатов моделирования Результаты каждого типа анализа отображаются на отдельной вкладке этого окна. Окно просмотра результатов моделирования функционирует аналогично обычному осциллографу. Команды масштабирования, расположенные на панели управления, позво- ляют выборочно просматривать нужные участки изображения. Здесь также имеются инструменты, позволяющие производить из- мерения параметров сигналов непосредственно в окне. Результаты расчета отображаются по мере выполнения модели- рования. По завершении процесса моделирования, для просмотра результатов каждого из назначенных типов анализа, необходимо щелкнуть мышью на соответствующей вкладке, расположенной в нижней части окна. 366
5.3. Моделирование электрических схем Управление окном отображения результатов моделирования может осуществляться следующими способами: • выполнением команд главного меню системы моделирова- ния; • манипуляцией мышью с определенными областями окна; • с помощью контекстного меню (нажатие правой клавиши мыши в области окна); • с помощью панели Sim Data, представленной на рис. 5.54. Если панель Sim Data не отображена в окне системы моделиро- вания, то ее можно включить, нажав кнопку Sim Data в правом нижнем углу окна системы моделирования (см. п. 1.3). Рис. 5.54. Общий вид панели Sim Data 367
Г.пава 5. Аналого-цифровое моделирование На вкладке Sim Data отображаются следующие области, ис- пользуемые для управления окном отображения результатов: Wave Name — список доступных для вывода схемных пере- менных; Measurement Cursors — измерительные маркеры, здесь отобра- жаются результаты измерения в виде значений «X» и «У» для за- данных курсоров А и В, а также результаты обработки измерений двух маркеров в соответствии с полным набором функций, таких как В-A, Minimum А...В, Maximum А...В, Average А...В, RMS А...В, Frequency А...В; Waveform Measurements — основные характеристики отображае- мых сигналов. 5.3.3.1. Масштабирование графиков Для быстрого увеличения масштаба графиков по оси X необ- ходимо курсором выбрать область графика, которую нужно рас- тянуть на все окно. Начало области увеличения выбирается на- жатием левой клавиши мыши, затем выбирается конечная точка области перемещением курсора мыши при нажатой левой клави- ше (рис. 5.55). После отпускания клавиши происходит увеличе- ние масштаба, т. е. выбранная область целиком помещается на всей оси X. Рис. 5.55. Увеличение масштаба отображения графиков по оси X Восстановить масштаб по оси X для отображения всего интер- вала моделирования можно следующими способами: • нажать пиктограмму в главном меню системы модели- рования; 368
5.3. Моделирование электрических схем • ввести команду View > Fit Document в главном меню систе- мы моделирования; • в контекстном меню (при нажатии правой кнопки мыши в поле графика) в области окна отображения результатов вы- брать пункт Fit Document Кроме увеличения масштаба с помощью рамки выделения можно воспользоваться командами масштабирования, стандарт- ными для всех приложений Altium Designer, такими как Scroll — для увеличения масштаба и Shift+Scroll — для уменьшения. 5.3.3.2. Настройка параметров отображения документа Настройки параметров отображения документа (Document Options) определяют вид окна вывода результатов моделирования. Вызвать окно Document Options можно выполнив команду View > Document Options... в главном меню системы моделирования или выбрать пункт Document Options... после нажатия правой клавиши в рабочей области. В появившемся окне Document Options (рис. 5.56) можно за- дать параметры: • Bold Waveforms — утолщенные кривые отображения сигна- лов; • Highlight Similar Waves — подсветить выбранный сигнал; Включение опции Highlight Similar Waves позволяет при про- смотре результатов всех видов многовариантного анализа (Temperature Sweep — режим изменения температуры, Para- meter Sweep — изменение параметров элементов, Monte Car- lo Analysis — статистический анализ), выбрав конкретный Рис. 5.56. Настройки отображения графиков 369
Гпава 5. Аналого-цифровое моделирование Рис. 5.57. Иллюстрация действия опции Highlight Similar Waves на примере просмотра результатов статистического анализа вариант расчета (например для температуры tl), выделить графики всех сигналов для этого варианта • Show Data Points — показать точки моделирования, опреде- ляемые шагом моделирования; • Show Designation Symbols — пометить каждый график специ- альным символом (удобно для черно-белой печати); • Show Chart Title — показать описание анализа; • Show Plot Title — показать описание каждого чертежа; • Show Axis Label — показать подписи единиц измерения по осям координат. Кроме того можно изменить основной цвет элементов окна: • Grid — цвет сетки; • Foreground — цвет переднего фронта окна (текст, разметка и осевые линии); • Background — цвет фона; • Swap Foreground/Background — поменять цвет переднего фронта и фона. Можно также задать область применения заданных параметров: Apply to Active Chart Only — применить только к активному окну результатов; Apply to Entire Document — применить ко всем окнам результа- тов проекта; 370
5.3. Моделирование электрических схем Save as Defaults — сохранить текущие параметры для последую- щего использования по умолчанию. Параметр Number of Plots Visible — указывает количество гра- фиков для отображения. Рис. 5.58. Меню Tools При управлении выводом результатов моделирования необхо- димо различать три основных термина: Wave — сигнал, т. е. гра- фическое отображение сигнала, Plot —. график, где это графиче- ское отображение размещается, т. е. оси координат, и Chart — вкладка вида анализа в окне отображения результатов, на которой размещаются графики для какого-либо конкретного вида анализа, например вкладки Transient Analysis, Operating Point. Для выбора текущего графика (Plot) в окне вывода результатов необходимо навести курсор на поле в левой части окна вывода ре- зультатов, соответствующее нужной ячейки и затем щелкнуть ле- вой кнопкой мыши в этом поле. Текущая ячейка будет отмечена символом )► . Для выбора текущего сигнала (Wave) необходимо навести кур- сор на имя сигнала, при этом курсор должен принять форму . После этого нажать левую кнопку мыши. Имя текущего сигнала в окне вывода результатов будет помечено точкой, а сам выбранный сигнал будет выделен утолщенной линией. Чтобы снять выделение сигнала нужно нажать кнопку Clear в нижнем правом углу экрана (Ctrl+C). Для размещения нового сигнала в отдельной ячейке в опреде- ленном месте окна вывода результатов, необходимо создать эту ячейку, для чего: • в контекстном меню, вызываемом нажатием правой клави- ши мыши в области имен этой ячейки, выбрать пункт «Add Plot» (рис. 5.59а); • после нажатия левой клавиши мыши будет предложено со- здать график в виде мастера, а нажав NEXT на четырех эта- пах этого мастера будет создан новый пустой график; • поместить на этот график сигнал из списка доступных, для чего: — сделать график активным, и далее с помощью выбора названия схемной переменной и нажатия кнопки Add Wave to Plot в панели Sim Data добавить сигнал (рис. 5.596). 371
Гпава 5. Аналого-цифровое моделирование । Add Plot..._________________ Add Wave То Plot... Delete Plot Fit Document Plot Options... Chart Options... Document Options... Puc. 5.59a. Добавление графика Рис. 5.596. Добавление сигнала Возможно перемещение сигналов из одного графика на другой. Для этого необходимо навести курсор на имя сигнала, при этом курсор должен принять форму После этого нажать левую кнопку мыши и нс отпуская ее переместить имя сигнала на любой график. После отпускания кнопки мыши сигнал будет перемещен. Команды меню Tools. Перечень команд меню Tools приведен на рис. 5.58. Рассмотрим основные команды этого меню, необхо- димые для настройки параметров отображения результатов моде- лирования. Помимо команды Document Options, которая была рассмотрена выше, здесь имеются следующие команды: • Copy to Clipboard — Команда позволяет сохранить активное окно результатов моделирования в буфере операционной системы для последующей вставки его в документ Microsoft Word. Brightness... — Команда позволяет регулировать яркость выво- димых в окне отображения результатов сигналов. При вызове этой команды активизируется диалоговое окно Modify Wave Colors 372
5.3. Моделирование электрических схем Рис. 5.59. Команда Brightness... (рис. 5.59), в котором можно увеличить яркость (панель Lighten), уменьшить (панель Darken) или восстановить первоначальное зна- чение яркости отображаемых сигналов (панель Reassign); • Store(Recall) Waveform — сохранение и загрузка выбранного отображения сигнала. Команды меню Chart. Полный перечень команд меню Chart приведен на рис. 5.60. Основная команда этого меню, которая мо- жет применяться для настройки параметров отображения резуль- татов моделирования — это команда Chart Options.... Chart j Plot Wave Wii । New Chart... iS1 Delete Chart Source Data... > —— ,, .—„„ — , Create FFT Chart Chart Options... Puc. 5.60. Меню Chart При вызове этой команды активизируется диалоговое окно Chart Options (рис. 5.61), в котором можно изменить или добавить различные текстовые обозначения в поле окна вывода результатов (вкладка General), или изменить масштаб оси X и тип ее сетки 373
Гпава 5. Аналого-цифровое моделирование I«Mil Options ЕЙЗ f tail Options РьХ I <Ж If C.<«l I anwdtfScale jGwort ] XAmScafe---------- BMapnwm [12D.6u | BCwocnSi» |2500u~ | OK |( Drcd Chait Options [? X Puc. 5.61. Настройки параметров отображения результатов отдельного анализа (вкладка Scale), а также отображаемую на экране информацию об измерительных курсорах (Cursors). Опции вкладки Cursors актив- ны только при наличии курсоров на графике. Команды меню Plot. Перечень команд меню Plot приведен на рис. 5.62. Рассмотрим основные команды этого меню, необходи- мые для управления выводом результатов моделирования. Plot । Wave Window New Plot... Delete Plot Add Y Axis Remove Y Axis Format Y Axis... Plot Cations... Puc. 5.62. Меню Plot • New Plot — добавление нового графика; • Delete Plot — удаление графика; • Add Y Axis — добавление вспомогательной оси У, использует- ся для сопоставления сигналов имеющих разные размерности (см. п. 5.3.3.3.); • Remove Y Axis — удаление вспомогательной оси У; • Format Y Axis — настройки оси У; 374
5.3. Моделирование электрических схем • Plot Options... — Настройки параметров управления выводом результатов моделирования (режим Plot Options) можно вызвать, введя команду Plot > Plot Options... в главном меню системы моде- лирования (рис. 5.62) или выбрать пункт Plot Options... в контекст- ном меню. При вызове этого режима активизируется диалоговое окно Plot Options (рис. 5.63). Рис. 5.63. Настройки графика Это окно позволяет задать следующие параметры для текущего графика (Plot), которые действуют только при количестве отобра- жаемых графиков не более 4: Title — название графика; Show X Grid Lines — показывать основную сетку по оси X; Show Y Grid Lines — показывать основную сетку по оси Y; Show Minor Grid Lines — показывать промежуточные метки осей координат; Line Style — тип линии сетки. Команды меню Wave. Перечень команд меню Wave приведен на рис. 5.64. Все команды, кроме «Add Wave...» действуют только при выборе определенного сигнала в качестве текущего. Рассмот- рим основные команды этого меню, необходимые для управления выводом результатов моделирования. Первая группа команд меню Wave предназначена для управле- ния сигналами (добавление, удаление, редактирование). Вторая группа для установки меток курсоров, по которым можно снимать всевозможные данные с графика. Для добавления курсора необхо- 375
Г.пава 5. Аналого-цифровое моделирование Рис. 5.64. Меню Wave димо чтобы на графике был выбран какой-нибудь один сигнал. Группа команд, начинающихся со слова Select, позволяет найти точки общих и локальных экстремумов сигнала. Для использова- ния этих команд должен быть создан и выбран один из курсоров (А или В). Команда Wave Options... При вызове этого режима активизиру- ется диалоговое окно Format Wave. Это окно позволяет изменить следующие параметры для текущего графика сигнала (Wave): Name — имя сигнала; Units — наименование единицы измерения (например не V, а В); Color — цвет графика. Команда Add Wave... Команда добавляет новый сигнал в текущий график. При вызо- ве этой команды из меню Wave открывается диалоговое окно Add Wave То Plot (рис. 5.65), в этом окне присутствует список доступ- ных сигналов Waveforms, список доступных функций Functions и поле для построения математического выражения Expression. Кро- ме того в поле Name можно задать имя размещаемого графика сигнала. Опция Add to new Y Axis — добавляет новую ось ординат к существующему графику. 376
5.3. Моделирование электрических схем Быстрый способ добавления графика сигнала в текущий Plot — выбрать имя сигнала из списка доступных сигналов Wave Name Рис. 5.65. Добавление нового сигнала к графику Рис. 5.66. Использование меток курсора А и В 377
Г.пава 5. Аналого-цифровое моделирование панели Sim Data и нажать кнопку Add Wave to Plot на этой пане- ли. График сигнала, будет размещен таким образом без каких-ли- бо преобразований. Команда Edit Wave... Вызов этой команды открывает диалого- вое окно Add Wave То Plot (рис. 5.65), средствами которого можно изменить параметры сигнала (вплоть до его замены на другой). Команда Remove Wave. Команда удаляет текущий график из Plot, причем имя удаленного сигнала остается в списке доступных сигналов Wave Name. Команды «Cursor А» и «Cursor В». Команды размещают для те- кущего сигнала измерительные маркеры А и В. Результаты изме- рения отображаются в поле Measurement Cursors панели Sim Data (см. рис. 5.66). 5.3.3.3. Отображение графиков, имеющих разный масштаб по оси Y Иногда бывает необходимо отобразить на одном графике две кривые, имеющие разный масштаб по оси X, например амплитуд- но- и фазочастотную характеристики. Для этого необходимо перед добавлением нового сигнала на существующий график добавить новую ось Y, с помощью команды Plot>Add Y Axis. Единицы из- мерения новой оси будут установлены автоматически после добав- ления нового сигнала (см. п. 5.3.2.6.). На рис. 5.67а приведен при- мер, когда основной график — амплитуда сигнала в вольтах, а вто- рой график — амплитуда этого же сигнала в децибелах. На рисунке 5.676, на одном графике совмещены сигналы АЧХ и ФЧХ с разными единицами измерения. Рис. 5.67. Добавление дополнительной оси ординат 378
5.3. Моделирование электрических схем 5.3.3.4. Использование измерительных маркеров Для проведения непосредственных измерений параметров сиг- налов на их графиках имеется возможность использовать два из- мерительных маркера. Например, на графиках, полученных в ре- зультате выполнения анализа переходных процессов, можно изме- рить временные интервалы и уровень сигнала, а на частотных характеристиках можно оценить полосу пропускания по опреде- ленному уровню. Измерительные маркеры могут быть помещены как на один, так и на разные графики. Чтобы поместить маркер на график, нужно выбрать сигнал, на который его надо поместить (рис. 5.68), а затем в контекстном меню выбрать одно из двух имен маркеров, например Cursor А. Для перемещения маркера по графику нужно установить на него курсор (форма курсора должна измениться на ). Перемещение маркера производится мышью при нажатой левой кнопке. Резуль- таты измерения отображаются в области Measurement Cursors на панели Sim Data виде значений «X:» и «Y:» для заданных курсоров А и В. Кроме того по умолчанию определяется разность значений Хв-Хд и Yb-Ya. Рис. 5.68. Добавление измерительных маркеров 379
Гпава 5. Аналого-цифровое моделирование Удалить установленный маркер можно наведя на него курсор мыши и нажав правую кнопку и выбрав команду Cursor Off. В третьем окне области Measurement Cursors задается функция обработки результатов измерений двух маркеров. Здесь содержит- ся перечень всех доступных для заданного вида анализа функций обработки результатов измерений. Кроме описанной выше функ- ции вычисления разности измерений курсоров (В—А), которая определена по умолчанию, используется ряд других функций, та- ких как: • Minimum А...В — определение минимального значения Y на интервале между курсорами А и В; • Maximum А...В — определение максимального значения Y на интервале между курсорами А и В; • Average А...В — среднее значение Y на интервале между кур- сорами А и В; • RMS А...В — среднеквадратичное значение Y на интервале между курсорами А и В; • Frequency А...В — частота Y на интервале между курсорами А и В. 5.3.3.5. Математические действия с рассчитанными сигналами При моделировании электрических схем иногда требуется про- вести некоторые математические действия с рассчитанными сиг- налами и просмотреть результат. Данная функция интегрирована в окно просмотра результатов расчета и позволяет строить графи- ки математических выражений с использованием любого из сиг- налов и характеристик сигналов, имена которых присутствуют в списке доступных имен области Waveforms. Математические функции задаются в диалоговом окне Add Wave to Plot, вызываемом нажатием кнопки одноименной командой из контекстного меню при нажатии правой кнопки мыши в любой об- ласти графика. В этом окне присутствует список доступных сигна- лов Waveforms, список доступных функций Functions и поле для построения математического выражения Expression (рис. 5.69). Выражение в поле Expression может быть записано как непо- средственно, так и последовательным выбором необходимых сиг- налов и функций из соответствующих списков. График рассчитан- ного выражения будет добавлен к тому, который был текущим на момент вызова окна Add Wave to Plot. 380
5.3. Моделирование электрических схем Рис. 5.69. Формирование математического выражения На рис. 5.69 представлен пример формирования математическо- го выражения для получения и вывода в графическом виде средне- го значения напряжения сигнала в узле OUT (AVG(out)), последо- вательным выбором функции осреднения AVG() (рис. 5.69а), затем установкой курсора в позицию после открывающейся круглой скобки поля Expression (обычно он уже устанавливается автомати- чески в нужную позицию) и выбором сигнала OUT (рис. 5.696). Результат вычисления выражения AVG(out) представлен на рис. 5.70. Cursor Д - (13.942и, -316,04 Cursor В - i8.4350u. -129,70 Рис. 5.70. Результат построения графика усредненного сигнала Out 381
Гпава 5. Аналого-цифровое моделирование Описание возможных математических функций и операторов приведено в таблице 5.8. Таблица 5.8 Функции и операторы,, используемые для вычисления математических выражений 1 Оператор или функция Описание о Приоритет вычисления ++,- Сложение. Вычитание к/ Умножение. Деление АЛ Возведение в степень, работает аналогично функции PWR(,), у"х возвращает величину / ABS() Абсолютное значение. ABS(x) возвращает |х| SIN(), ASIN() Синус. Арксинус COS(), ACOS() Косинус. Арккосинус SINH(), ASINH() Гиперболический синус. Гиперболический арксинус COSH(), ACOSH() Г иперболический косинус. Г иперболический арккосинус | TAN( ),ATAN() Тангенс.Арктангенс TANH( ),ATANH() Гиперболический арктангенс. Гиперболический тангенс AVG() Функция осреднения, возвращает среднее значение сигнала ВООЦ,) Булева функция. ВООЦсигнал, порог) генерирует 1, если сигнал превышает пороговое значение, и 0, если сигнал ниже порогового значения DER() Производная dx/dt, возвращает наклон отрезков кривой в интерва- лах, равных шагу моделирования EXP() Экспоненциальная функция INTO Интегральная функция LN() Натуральный логарифм LOG10(), LOG2() Логарифм по основанию 10. Логарифм по основанию 2 PWR(,) Возведение в степень. Работает аналогично операторул RMS() Среднеквадратичное значение SQRTO Корень квадратный UNARY() Инверсия знака. UNARY(x) возвращает значение -х | 382
5.3. Моделирование электрических схем Окончание табл. 5.S Оператор или функция II Описание j URAMPO Функция скачка. URAMP(x) возвращает 0, если х меньше 0, и х, если х больше или равен 0 | juSTEPQ Функция единичного скачка (функция Хевисайда). USTEP(x) возвра- щает 0, если х меньше 0, и 1, если х больше или равен 0 | 5.3.3.6. Основные пиктограммы управления выводом результатов Некоторые команды управления выводом результатов модели- рования можно вызывать не только из главного меню системы мо- делирования, но и используя пиктограммы, размещенные на па- нели инструментов в верхней части окна системы. Назначение ос- новных пиктограмм приведено в таблице 5.9. Таблица 5.9 Основные пиктограммы управления выводом результатов моделирования | Пиктограмма Эквивалентная команда Назначение команды j □ - Прерывание моделирования View>Zoom In Увеличение масштаба I View>Zoom Out Уменьшение масштаба I 9 View>Fit Document Показать весь график I ОЕ? Chart>New Chart... Создание нового графика Chart>Delete Chart Удаление графика I Wave>Add Wave... Добавить сигнал на график I I.IY*- Wave>EditWave... Отредактировать сигнал I Wave>Remove Wave Удалить сигнал с графика | 383
Гпава 5. Аналого-цифровое моделирование 5.4. Обработка ошибок, возникающих при моделировании 5. 4.1. Понятие сходимости процесса моделирования Большинство проблем, возникающих при моделировании, свя- зано со сходимостью. Подобно большинству программ моделиро- вания, программа SPICE, выполняющая расчет режима по посто- янному току, использует итерационный метод решения системы уравнений, описывающей схему. Если модулю не удастся рассчи- тать напряжения и токи в статическом режиме, то дальнейший анализ цепи невозможен. Для определения постоянных напряжений и токов в рабочей точке цепи, на каждом шаге моделирования программа SPICE ре- шает систему линейных уравнений, выраженную в матричной форме. При наличии в цепи нелинейных элементов программа SPICE для расчета нелинейностей использует итерационный про- цесс решения системы линейных уравнений. Программа берет на- чальное приближение напряжений в узлах схемы, затем на основе проводимостей цепей рассчитывает токи ветвей. После этого про- исходит пересчет узловых напряжений и процесс повторяется сно- ва. Этот итерационный процесс будет продолжаться до тех пор, пока все узловые напряжения и токи ветвей не достигнут задан- ной точности вычисления, т. е. пока процесс не сойдется. Если процесс расчета напряжений и токов не сойдется за за- данное количество итераций, программа моделирования генериру- ет сообщение об ошибке, например: singular matrix — вырожденная матрица; Gmin stepping failed — сбой метода пошагового изменения ми- нимальной проводимости; source stepping failed — сбой метода пошагового изменения сиг- налов источников; iteration limit reached — достигнуто предельное число итераций, и прерывает процесс моделирования. Программа SPICE использует результаты моделирования на данном временном шаге в качестве начальных приближений для следующего шага. Если процесс не может сойтись при анализе пе- реходных процессов (пошаговое изменение времени), шаг прира- щения времени автоматически уменьшается и цикл вычислений 384
5.4. Обработка ошибок, возникающих при моделировании повторяется. Когда шаг по времени достигнет своего минимально- го значения программа моделирования выдаст сообщение: timestep too small — слишком маленький шаг по времени. 5. 4.2. Внутренние переменные системы моделирования и их корректировка Для настройки алгоритмов моделирования используются сис- темные переменные программы SPICE, доступ к которым осуще- ствляется из диалогового окна Analyses Setup (см. п. 5.3.1.). В поле Analyses/Options окна Analyses Setup выбирается опция Advanced Options (рис. 5.71), при этом в правой части окна появит- ся список системных переменных Spice Options. Наличие в пози- ции Def символа « v » означает, что значение переменной установ- лено по умолчанию (стандартное значение). Рис. 5.71. Корректировка системных переменных. Для корректировки системной переменной, необходимо вы- брать ее в списке, щелкнуть правой кнопкой мыши в позиции Value выделенной строки и ввести новое значение. Затем нажать клавишу Enter на клавиатуре. Для восстановления принятого по умолчанию значения сис- темной переменной, необходимо выбрать се и в позиции Def вы- бранной строки щелкнуть левой клавишей мыши. В этой позиции 13 зак. 32 385
Глава 5. Аналого-цифровое моделирование должен появиться символ «л/», а значение переменной должно из- мениться на стандартное. Кроме того, в поле Integration method: можно изменить метод интегрирования. По умолчанию задан метод трапеций (Trapezoidal). Список системных перемени, значения которых можно коррек- тировать в случае несходимости результатов моделирования, при- ведены в таблице 5.10. Стандартные настройки остальных пере- менных изменять не рекомендуется. Таблица 10 Основные переменные системы моделирования, влияющие на процесс сходимости. Имя переменной Описание Размерность Значение по умолчанию ABSTOL Абсолютная допустимая ошибка рас- чета токов. А 10-12 GMIN Минимальная проводимость ветви цепи. 1/Ом 10-12 ш Максимальное количество итераций при расчете по постоянному току. - 100 ITL2 Максимальное количество итераций при расчете передаточных функций по постоянному току при переходе к следующей точке. — so | UL4 Максимальное количество итераций на каждом временном шаге при ана- лизе переходных процессов. — 40 i RELTOL Максимально допустимая относитель- ная ошибка при расчетах напряжений и токов при анализе переходных про- цессов. — || 10‘3 RSHUNT Величина добавленного сопротивле- ния между каждым узлом схемы и землей, для обеспечения сходимости Ом п 0 VNTOL Абсолютная допустимая ошибка рас- чета напряжений. В 10’6 386
5.5. Моделирование проекта ПЛИС 5. 4.3. Рекомендации по решению проблемы сходимости Приведенные ниже рекомендации помогут решить проблемы сходимости. Изменение системных переменных следует проводить в соответствии с указанной последовательностью. Устранение ошибок, возникающих при расчете рабочих точек и анализе схемы по постоянному току: 1. Увеличить значение ITL1 до 300. 2. Увеличить значение GMIN до 10—11. 3. Увеличить значение 1TL2 до 200. Устранение ошибок, возникающих при анализе переходных процессов: 1. Увеличить значение RSHUNT до 1k. 2. Установить значение RELTOL равным 0.01. 3. Увеличить значение ITL4 до 100. 4. Увеличить значения ABSTOL и VNTOL. Устанавливаемые значения должны быть примерно на порядок меньшими, чем ми- нимальный предполагаемый уровень напряжений и токов в схеме. 5. Изменить метод интегрирования на Gear (метод прямоуголь- ников). Этот метод интегрирования требует большего времени мо- делирования, но работает более стабильно, чем метод трапеций. Этот метод рекомендуется применять для схем генераторов и схем с обратными связями. 5.5. Моделирование проекта ПЛИС Разработка проектов с использованием программируемых логи- ческих интегральных схем (ПЛИС) не входит в рамки этой книги и будет рассмотрено более подробно в следующем издании. Здесь, для более целостного представления о процессах моделирования в Altium Designer, будет рассмотрена лишь малая часть из области проектирования ПЛИС — моделирование. В Altium Designer име- ется две возможности моделирования, во-первых, это собствен- ный инструментарий, позволяющий промоделировать схему или исходную программу на языке VHDL, еще до выбора конечного устройства, во-вторых, для моделирования, а также для последую- щего синтеза и формирования конечного кода прошивки ПЛИС могут быть использованы программы поставщиков ПЛИС (Altera Quartus II, Xilinx ISE Foundation и т. д.), с учетом выбранной мик- 13* 387
Г.пава 5. Аналого-цифровое моделирование росхемы. Во втором случае для результатов моделирования ис- пользуется плата отладки NanoBoard, которая приобретается от- дельно от программ. В данной главе будет рассмотрен лишь пер- вый вид моделирования, который не требует наличия дополнительного инструментария. В качестве примера предлагается описание проекта простого RS-триггера, построенного на двух элементах 2И-НЕ (рис. 5.72). Рис. 5.72. Описание работы RS-триггера в виде схемы и в виде кода VHDL Подобная схема может быть представлена в двух рахтичных стилях, в виде схемы и в виде текстового описания на языке VHDL. Рассмотри процесс реализации такого проекта по порядку. 1. Для формирования логики схемы, которая впоследствии бу- дет запрограммирована в ПЛИС, используется проект PrjFpg, ко- торый создается командой File>New>Project>FPGA Project. Сразу после создания нового проекта, его необходимо сохранить, File>Save Project. 2. Как было сказано выше, проект может быть создан в виде схемы и в виде программного кода, а также в виде иерархичной структуры, объединяющей схемные блоки с листами программ. 388
5.5. Моделирование проекта ПЛИС В рассматриваемом случае, описание устройства будет проводить- ся на схемном уровне, т. к. такой подход более прост с точки зре- ния разработки (в этом случае не требуется знания VHDL). Для этого создаем внутри проекта новый лист схемы, для чего в пане- ли Projects нажимаем правой клавишей мыши на названии проек- та и выполняем команду Add New to Project>Schematic. Схеме не- обходимо присвоить такое же имя как и проекту (требование про- ектов ПЛИС, лист верхнего уровня должен иметь название проекта). 3. На новом листе необходимо сформировать схему, показан- ную на рисунке А1, для чего используются компоненты, имеющие описание для ПЛИС. Такие компоненты располагаются в библио- теках, находящихся в папке ...Altium Designer...\Library\Fpga. Эле- менты булевой алгебры расположены в библиотеке FPGA Generic. IntLib. 4. После формирования схемы, необходимо создать тестовый файл, в котором будут описаны параметры входных и выходных сигналов для моделирования проекта ПЛИС. Такой файл имеет расширение *.VHDTST и создается командой File>New>Other> VHD TestBench. При сохранении файла, запрещено использовать тоже имя, что у самого проекта Ниже приведено описание блоков тестового файла: library IEEE', use ieee.std_logic_1164.a\\', use ieee.std_logic_textio.a\V, use std.textio.aW; — Ссылка на стандартные файлы описания базовых команд языка VHDL entity TestRC is end TestRC; — Описание текущего объекта (Не должно совпадать с именем проекта!) architecture Stimulus of TestRC is — Начало описания блока architecture, Stimulus — название блока, TestBCD — название используемого файла file RESULTS: rm open WRITE_MODE\s «results.txt»; — Формирование временного файла с результатами моделирования procedure WR1TE_RESULTS(Q : stdjogic; nQ : std_logic) is 389
Гпава 5. Аналого-цифровое моделирование — Описание блока procedure, WRITE_RESULTS — название блока, OUT- PUT — переменные для моделирования, stdjogic — формат переменной variable s: line; — Описание временной переменной для расчетов begin wn7e(s, now, right, 15, ns); write(s, Q, right, 2); write(s, nQ, right, 2); writeline(results, s); end procedure; component RS — Описание главного схемного листа (ПЛИС) port ( — Описание входов и выходов R: in stdjogic; S: in std logic; Q: out stdjogic; nQ: out stdjogic ); end component; signal R: stdjogic; signal S: stdjogic; signal Q.stdjogic; signal nQ: stdjogic; — Описание типов входных и выходных сигналов begin DUT:RS port map ( — Подключение сигналов к выводам ПЛИС (Сигнал => Вывод) R => R, S => S, Q=> Q, nQ => nQ ); ENABLEs:process — Описание входных сигналов (ENABLES — название описание, не дол- жно совпадать с именами выводов и именами сигналов) begin R <= ’О’; — Начальная установка сигнала R wait for 10 ns; — Время до переключения 390
5.5. Моделирование проекта ПЛИС R <= Т; — Новая установка сигнала R wait for 10 ns; — Время до переключения (если на этом описание заканчивается, то сигнал будет повторяться с указанным интервалом) end process; ENABLEa:process begin S <= ’O'; wait for 20 ns; S <= T; wait for 20 ns; end process; — Завершение описания входных сигналов WR1TE_RESULTS(Q, nQ); — Сохранение результатов для переменных (OUTPUT — переменная, если несколько, то указываются через запятую) end architecture; — Завершение описания блока architecture В данном случае были описаны входные и выходные порты (R, S, Q, nQ), и на входы были поданы сигналы (R — с частотой 10 нс, S — 20 нс). 5. Имея схему триггера и файл тестовой установки, можно вы- полнять компиляцию проекта, но предварительно нужно задать опции проекта — Project>Project Options. Здесь необходимо на вкладке Simulation указать программное средство для моделирова- ния (Tools). Если будет использована внешняя программа, то необ- ходимо при запуске процесса моделирования указать ее располо- жение на диске. В строке Testbench Document необходимо указать название тестового файла, откуда программ будет брать параметры входных сигналов. В последующих двух окнах (рис. 5.73) нужно указать название верхнего уровня конфигурации (по программе тестового файла, обычно совпадает с названием тестового файла), и название верхнего уровня архитектуры. 6. Выполнив все описанные действия можно запускать процесс моделирования. Можно предварительно выполнить компиляцию, но этот процесс выполняется автоматически при запуске модели- рования. У начинающих пользователей при компиляции появля- ется большое количество ошибок, поэтому большую роль играет 391
Гпава 5. Аналого-цифровое моделирование EnaRepcrtng Connection Mahix СстпраЛм Opbent Mi^-Cbannd Detail Pnrtt Seech Palht Syrihet# Smiatan Paiametea i Tod ____ — I DXPSimJata .................... w Des^n Teslbaxh Documeri |I«tfiC.VHOTST 2 TofHev^Ent^/HotUe/Cflrtfigualnn Tcp Level Aichtechn _______ | TeitRC ; .StmJu: | j rue. o.io. постройки моделирования проекта процесс верификации схемы, кода или тестового файла. В данной главе не описывается этот этап, т. к. особенности этого процесса в Altium были описаны выше (см. гл. 3.8), а особенности программы на языке VHDL, ошибки в которых наиболее часто встречаются в этом этапе, не входят в рамки данной книги. 7. После верификации и успешной компиляции проекта на эк- ране появляется начальное окно запуска моделирования (рис. 5.74). сигналов omt <ажения 8. Здесь показаны все сигналы, которые могут быть промодели- рованы в данной схеме и предлагается выбрать список сигналов для отображения. Выбираем входные и выходные сигналы тригге- ра (R, S, Q, nQ) и нажимаем кнопку Done (продолжить) 9. Выполнение перечисленных в двух предыдущих шагах дейст- вий не запускает процесс, а только выполняет переход в среду мо- делирования, интерфейс которой показан на рисунке 5.75. 392
5.5. Моделирование проекта ПЛИС Рис. 5.75. Настройки моделирования проекта 10. Для управления процессом моделирования используется па- нель VHDL Tools (рис. 5.75), в которой собраны стандартные ко- манды, характерные для большинства оболочек моделирования. Для запуска процесса нажимаем кнопку Run Simulation..., после чего предлагается указать временной интервал. После нажатия кнопки ОК проходит процесс моделирования и на экране отобра- жаются его результаты (рис. 5.76). Для анализа результатов моделирования удобно использовать маркер бордового цвета, передвигая который можно оценить зна- чение сигнала в заданный момент времени. 393
Глава 6. Для профессионалов 6.1. Введение в язык запросов (Query Language) Для выбора или описания группы однородных по нескольким признакам объектов, в Altium Designer используется язык запро- сов, именуемый в самой программе термином Query. На рисунке 6.1 показан алгоритм использования языка Query, который позво- ляет сформировать запрос по нескольким критериям, результаты которого потом будут либо отфильтрованы на плате (схеме, биб- лиотеке и т. д.), либо использованы в качестве области примене- Рис. 6.1. Схема работы запросов в Altium Designer Использование языка запросов проще всего рассмотреть на примере работы панели Filter (рис. 6.2), которая предназначена птта питггрпрт-пла nfrkPVTnn пл ’зяпплги Рис. 6.2. Поиск ^неправильно* ориентированных позиционных обозначений чеоез панель Filter 394
6.1. Введение в язык запросов (Query Language) В случае, показанном на рисунке 6.2, задается запрос на поиск позиционных обозначений, повернутых на угол 270 градусов. ИаНель Filter условно можно разделить на три части: * Limit search to — ограничение объектов, среди которых про- водится поиск (АН — все, Selected — выбранные, Non Selec- ted — не выбранные); • Find items matching these criteria — поле ввода критериев по- иска, под которым находятся кнопки, стандартные для всех диалогов, работающих с запросами (описаны ниже); • Object Passing (Not Passing) the Filtering — команды применя- емые к выбранным и не выбранным объектам. результатам данного запроса на плате будут подсвечены все ПОзйцИОнные обозначения (IsDesignator), повернутые на 270 гра- ДУсоь (Rotation = '270,000'). Сразу можно отметить, что строка критериев запроса содержит некоторый синтаксис, не знакомый °ЛЬ(Динству разработчиков. Этот синтаксис можно получить дву- Мя сПособами, во-первых, введя непосредственно текстом, во-вто- РЬ1Х> Используя дополнительные диалоговые окна: * Helper — помощник создания запросов; • Builder — конструктор запросов; * History — история ранее введенных запросов; * Favorites — избранные запросы. ^Формировать запрос можно с Пох'501цЬк>( создания запроса, или с п°м°1Цью диалога Find Similar Ob- Ject) s котором запрос создается по- средстаом выбора критериев через Как можно использовать запрос, Л^РМированный на рисунке 6.2? Наи^олее удобно воспользоваться ГЛо®альным редактированием, с по- панелей Inspector или List ^Работа с которыми описывалась в ГЛавах2.4, 3.9). Так, например, на- *а® Завишу F11, появится панель nspector (рис. 6.3), в которой мож- Но ИзМенить угол поворота выделен- НЫх (>бъектов. Q Kind Object Kind__________Text H Object Specific_____________ Suing Type Layer Componer String DesijyatCK TopOverlay El Graphical XI Y1 Locked Hide. — I Text Height 1 524mm Text Width 0.1524mm 3 obfectfs) are displayed Puc. 6.3. Изменение параметра о agjjXj 395
Гпава 6. Для профессионалов На вкладке History хранится история запросов в текущей сес- сии проекта. На вкладку Favorites могут быть добавлены наиболее востребованные запросы, которые могут быть использованы в да- льнейшем. Примеры запросов, а так же последние использован- ные запросы доступны в подменю Filter (рис. 6.4), контекстного меню, появляющегося при нажатии правой клавиши мыши в ра- finUPM ЛППЯГТМ ППЯТК1 fPYPMf-J4) Рис. 6.4. Примеры запросов и избранные запросы Работа с панелями Filter и Find Similar Object уже неоднократно описывалась в разных разделах, и сам подход не вызывает боль- шого вопроса у пользователей. В данной главе будут рассмотрены инструменты Helper и Builder, которые позволяют сформировать запрос в «чистом» виде. Кнопки Helper и Builder доступны в ок- нах: Filter, Libraries Search, Design Rules и др. Диалог Builder, позволяет форкГировать запрос, йспользую го- товые шаблоны. Вызов этого диалога осуществляется нажатием Shift+B, после чего на экране появляется окно, показанное на Рис. 6.5. Конструктор формирования запросов Query Builder 396
6.1. Введение в язык запросов (Query Language) Здесь имеется набор стандартных команд и два окна, с которы- ми непосредственно ведется работа: Condition Туре — вид крите- рия, Condition Value — значение критерия. В окне Query Preview показан предварительный просмотр запроса. Работа в диалоге Builder ведется следующим образом: I. По гиперссылке Add another condition добавляется новый критерий; 2. В столбце Condition Value выбирается значение критерия из выпадающего списка предлагаемых значений; 3. Выбирается оператор OR или AND*, для объединения кри- териев (OR — объединение критериев, т. е. для итогового ре- зультата должен быть выполнен хотя бы один из критериев, AND — сложение критериев — для итогового результата должны быть выполнены оба критерия) В примере, показанном на рисунке 6.5, задан запрос для поис- ка всех контактных площадок (IsPad) и переходных отверстий (Is- Via), относящихся к цепи —12 вольт (InNet('—12V). При включении опции Create Expression, которая встречается во всех подобных диалогах, будет сформирован текст запроса, ко- торый будет добавлен в панель Filter и сохранен в истории. При вызове окна Helper (рис. 6.6), предлагается сформировать запрос, используя команды, которые сгруппированы в окне Cate- gories. Для редактора плат имеются три большие группы: • РСВ Function — параметры и критерии объектов платы; • РСВ Object Lists — список объектов на плате; • System Function — математические функции. Наибольший интерес здесь представляет первая группа, т. к. с помощью ее параметров можно задавать сложные многоуровневые запросы. В группе РСВ Function имеются следующие подразделы: • Object Type Checks — здесь задается принадлежность к объ- ектам заданного типа (например, указав IsDifferentialPair, за- даем принадлежность описываемых объектов к дифференци- альным парам); • Fields — поля, которые подробно описывают параметры объ- ектов (внешние размеры, шрифты, и т. д.); • Membership Checks — указывает принадлежность к конкрет- ной группе объектов (например, InDifferentialPair ('LVDS1', 397
Глава 6. Для профессионалов гис. о.ь. помощник созоания запросов циегу Helper 'LVDS2'), определяет поиск конкретно двух дифференциаль- ных пар LVDS1 и LVDS2); • Attribute Checks — указывает атрибуты объекта (тип корпуса, число выводов, длина дорожки и т. д.); • Layer Checks — задает принадлежность к конкретному слою. При формировании запроса стоит обращать внимание на опе- раторы, которые могут объединять или исключать выбранные критерии (операторы перечислены под окном запроса). Все опера- торы должны отделяться от команд пробелами! Наиболее часто используемые операторы '*’ и первый заме- няет произвольное количество символов, второй заменяет один символ. Так при запросе (InComponent(’R*’), в документе будут найдены все резисторы. Если при составлении запроса возникает трудность в интерпре- тации команды, рекомендуется выделить команду в списке и на- жать клавишу F1, в результате чего будет показана справка по синтаксису указанной команды. Более подробно работа с запросами описана в документах тех- нической документации Altium AR0109, АО 129 и TR0110. Доку- мент TR0110 содержит полное описание синтаксиса всех команд языка запросов, перечисленных в диалоге Query Helper. 398
6.2. Команды меню редактора плат 6.2. Команды меню редактора плат Редактор печатных плат программы Altium Designer является наиболее объемным из остальных, поэтому содержит в себе боль- шинство команд, применяемых в редакторах схем, библиотек и др. В предыдущих главах были описаны инструменты и команды, ко- торые используются при разработке библиотек, схем и плат. Здесь будут описаны все команды редактора плат, некоторые из которых находят применение у пользователей программы. 6.2.1. Команды меню File Название Клавиши Описание □ New Создать нового документа или проекта I Р7 Open Ctrl+O Открыть существующий документ Import Импорт файлов сторонних программ. Предлагается выбор: Specctra (*.rte), AutoCAD (*.dxf, *.dwg), P-CAD PDIF (*.pdf), IDF (*.brd, *.pro), Gerber j Close Ctrl+F4 Закрыть активный документ Open Project Открыть существующий проект Open Design [Workspace Открыть группу проектов a SaveC Save (Save As, opy As, Save All) Ctrl+S Сохранить активный документ (Сохранить как, Сохранить как копию, Сохранить все открытые документы) Save Project As Сохранить проект под новым именем Save Design Workspace As Сохранить группу проектов под новым именем Fabrication Outputs Формирование файлов для производства Assembly Outputs | Формирования документации для сборки Page Setup Настройки листа El Print Preview Предварительный просмотр I Print Ctrl+P Печать. Печать документов удобнее выполнять через файл OutputJob (см.гл. 4.14.3.) Default Prints Выбор документов, выводимых на печать | 399
Глава 6. Для профессионалов Название Smart PDF Клавиши Описание Формирование комплекта документации в едином PDF-файле. Также как и печать, pdf удобнее формировать из файла Outputjob. Import Wizard Импорт проектов, выполненных в сторонних САПР ЭС (P-CAD, Allegro, Pads, DxDesigner, Cadstar, Protel и др.) Recent Documents Список последних редактируемых документов Recent Projects Recent Design Workspace — Список последних проектов, с которыми Список последних рабочих групп ! Jxit Выход из системы DXP j 6.2.2. Команды меню Edit Название : Клавиши Описание |Ъ Undo Ctrl+Z Отмена последнего выполненного действия f Redo Ctrl+Y Возврат последнего отмененного действия 1 м Cut । Ctrl+X Вырезать объект в буфер обмена риз' Copy Ctrl+C Копировать объект в буфер обмена Paste | Ctrl+V Вставить объект из буфера обмена । Paste Special l Вставить объект из буфера обмена с заданными свойствами: ! Paste on current layer - вставить на текущий слой; Keep net name - защитить имена цепей; I Duplicate designator - дублировать имена компонентов; Add to component class - добавить компонент в класс, к которому принадлежит базовый компонент. г Paste Array - вставка объекта из буфера обмена в виде массива Fm» r*~?F cr fuwl •rytf D Feepnrt now [ 0 Dictate thRTMtof О Add to emvoneri daw i i 1 (Select S Выбор режима выделения объектов, наиболее часто ; востребованы: ; Inside Area - выбор объектов, попадающих в рамку (по умолчанию); £ Touching Line - выбор объектов, пересекаемых линией выделения. ‘ Deselect X Выбор режима снятия выделения • 400
6.2. Команды меню редактора плат Название Клавиши Описание l ' Delete 1 Del Удалить выбранный объект. Данную операцию не рекомендуется применять к топологии. Rubber Stamp Ctrl+R Вставка одинаковых копий объекта. Удобно использовать в случае, описанном в гл. 4.10, при ' копировании участка топологии. После выделения | топологии выполняем команду Ctrl+R и выполняем вставку топологии необходимое количество раз. ( Change Изменение свойств объекта. Аналогично двойному нажатию левой кнопки мыши на графике объекта. Slice Track Обрезание трассы или группы трасс. ’ Move M Перемещение объекта. Данная команда похожа на обычное перемещение объектов, но имеет некоторые преимущества. J Align A Выравнивание выделенных объектов. Стандартный набор команд для выравнивания по левому, правому, верхнему, нижнему краю, выравнивания по сетке (Align То Grid) и tji. > Origin Настройка точки начала координат. Команда Set позволяет установить начало координат в любую точку на плате, Reset - вернуть начало координат в левый нижний угол рабочего поля. Jump J Переход к объекту. Наиболее востребованы подкоманды: New Location - перейти в точку с координатами; Component - перейти к компоненту. Selection Memory Сохранение выделения в память, для последующего ; обращения к выбранной группе. Build Query Shift+B Запуск диалога конструктора запросов Find Similar Objects Shift+F ^Запуск диалога поиска подобных объектов 6.2.3. Команды меню View Fit Document i Ctrl+PgDn Показать весь документ j Ft Sheet i Показать весь лист Fit Board 1 V>F Показать всю плату _ ! ! Area | Показать выбранный участок j 14 зак.32 401
Глава 6. Для профессионалов [Around Point Показать участок вокруг точки Selected Objects Масштаб по выбранным объектам Filtered Objects Масштаб по отфильтрованным объектам Zoom In Увеличить масштаб Zoom Out Уменьшить масштаб Zoom Last Вернуть предыдущий масштаб Pan Home Сфокусировать изображение относительно курсора Rip Board Перевернуть плату на 180 градусов. Позволяет обрабатывать надписи на нижней стороне палты 1 Refresh End Обновить графику (Перерисовать) Switch To 3D (2D) 3(2) Переключение трехмерного и двумерного режимов Full Screen Aft+F5 На весь экран Increase Mask Level 1 Увеличить коэффициент маскировки Decrease Mask Level [ Уменьшить коэффициент маскировки Toolbars Доступные панели инструментов. Можно также нажать правой клавишей мыши на любой из активных панелей инструментов. | Workspace Panels Доступные панели. Можно также запускать панель по кнопкам в нижнем левом углу редактора. | Desktop Layouts Настройки рабочего стола (имеется в виду набор и порядок расположения панелей). Можно сохранить или загрузить рабочий стол. | Key Mappings Комбинации горячих клавиш. Default - стандартные комбинации; Р-CAD - комбинации как в P-CAD. J Devices View Просмотр загрузки проекта в ПЛИС на NanoBoard j Home Переход на домашнюю страницу Altium | Status Bar Строка статуса - рекомендуется не выключать J Command Status Строка команд - не используется Board Insight Настройки просмотра платы (лупа, однослойный режим и т. д.) J Connections Включение/Выключение линий соединений | Grids G Настройки сеток | Toggle Units Q Переключение системы координат мм/мил 402
6.2. КОМЗНОЫ МВНЮ 6.2.4. Команды меню Project 11 Compile Document... Компиляция активного документа Compile Project... Компиляция активного проекта (см гл.3.8.2) Cross Probe Next Message Переход к предыдущему/ последующему сообщению Cross Probe Previous Message ) Design Workspace Выбор действия к группе проектов j Add New to Project Добавить новый документ к текущему проекту [Й Add Exist to Project Добавить ранее созданный документ к текущему проекту 25 Remove from Project Удалить активный документ из текущего проекта. Эта команда не закрывает документ. Документ, удаленный из структуры проекта перемещается в папку Free Documents Project Documents Открыть документы текущего проекта Close Project Documents Закрыть документы проекта. При этой команде документы не удаляются из структуры проекта Close Project Закрыть проект к Show Differences Показать отличия между двумя документами или отличия в схеме и плате ж Show Physical Differences Вывод перечня отличий I Qp. View Channels Просмотр каналов Components links Связанность компонентов на схеме и плате Assembly Variants Управление вариантами сборки L^j Version Control Контроль версий. Группа команд для управления проектом при работе с общим хранилищем. | Local History Управление локальной историей Project Package Архивация проекта Releases Нумерация версий ' FPGA Workspace Map Просмотр связанности проекта niff Project Options Опции проекта (см гл.3.8.1) 14’ 403
Гпава б. Для профессионалов 6.2.5. Команды меню Design Update Schematic in... Внесение изменений из платы в схему Import Changes From... Внесение изменений из схемы в плату 1 Rules Настройка правил проектирования (см гл. 4.6) Rule Wizard Мастер создания правил проектирования. Board Shape • Redefine Board Shape • Move Board Vertices • Move Board Shape • Define from Selected Objects • Define from 3D Body • Create Primitives... • Define Board Cutout Группа команд для работы с контуром платы (см гл. 4.3.1): • Сформировать контур платы • Редактировать контур • Переместить контур • Созд ать контур из выбранных объектов • Создать контур из 3D модели • Создать примитивы из контура • Создать вырез в плате Netlist • Edit Nets • Create Netlist From Connected | Copper Группа команд по работе со списком соединений, наиболее востребованы здесь: • Управление цепями и классами цепей • Формирование электрических связей по металлизации (Позволяет создать соединения, после создания топологии!) | Layer Stack Manager Управление порядком расположения слоев (см гл. 4.3.2) I Board Layers & Colors (L) Настройки видимости слоев (см гл. 4.2.2) | Manage Layer Sets Создание групп слоев, для удобства управления отображением слоев Rooms Комнаты. Создание, удаление, управление комнатами. Classes Классы. Управление классами цепей, компонентов и др. Объектов, (см гл. 4.8) Browse Components Запуск панели Libraries |Add/remove Library Добавление/удаление библиотек | Make PCB Library Создать библиотеку посадочных мест Make Integrated Library Создать интегрированную библиотеку по компонентам на плате j Board Options Локальные настройки активной платы (см гл. 4.2.2) 404
6.2. Команды меню редактора плат 6.2.6. Команды меню Tools Design Rule Check Проверка правил проектирования. Настройка правил проверяемых в онлайн режиме и при запуске (см гл. 4.11). Reset Error Markers Сброс меток ошибок Browse Violations (Shift+V) Просмотр списка нарушений под курсором. При наведении на нарушение (зеленый участок на плате) выполним Shift+V Browse Objects (Shift+X) Просмотр списка объектов под курсором. При наведении на объект, выполним Shift+X) Manage 3D Bodies for... Управление настройками отображения трехмерных моделей компонентов Polygon Pours Группа команд по управлению полигонами Split Planes Перезаливка разделенных экранов Component Placement (1) • Arrange Within Room • Arrange Within Rectangle • Arrange Outside Board • Reposition Selected Components Группа команд по размещению компонентов, из которых наиболее востребованы следующие (см гл. 4.7): • Разместить компоненты в комнату • Разместить компоненты в заданную область • Разместить компоненты в контуре платы • Разместить выбранные компоненты поштучно 3D Body Placement • Add Snap Point... • Remove Snap Point... • Orient And Position... • Position 3D Body • Set Body Heght • Measure Distances • Align Face With Board • Move Texture Location Группа команд по управлению 3D моделями, доступны только в 3D режиме (см гл. 4.12): • Добавить точку привязки • Удалить точку привязки • Задать положение модели по трем точкам • Задать положение модели по одной точке • Задать высоту расположение модели над платой • Измерение размеров • Выравнивание по плоскости платы • Изменение текстуры модели Un-Route(U) Удаление топологии (All - для всех цепей, Net - для одной цепи, которую далее нужно указать) Density Map Карта плотности цепей Re-Annotate Переномерация компонентов j Signal Integrity Анализ целостности сигналов 1 Update From PCB Libraries Синхронизация посадочных мест компонентов на плате с посадочными местами в библиотеке FPGA Signal Manager Управление сигналами ПЛИС 405
Гпава 6. Для профессионалов Pin/Part Swapping • Automatic Net/Pin Optimizer • Pin/Net Swapping • Part Swapping • Diff-Pair Swapping • Configure Оптимизация цепей за счет перестановки выводов и ячеек внутри компонентов (см гл. 4.9.1): • Автоматическая оптимизация цепей • Перестановка эквивалентных выводов • Перестановка эквивалентных ячеек • Перестановка эквивалентных дифференциальных пар • Настройки эквивалентности для компонентов платы Cross Probe Поиск компонента на схеме по плате (или наоборот) Cross Select Mode Режим перекрестного выделения. При включении данной опции в редакторах схем и плат, выделение действует одновременно на два редактора (см гл. 4.7) Convert Группа команд по преобразованию примитивов. Teardrops Формирование каплевидности для контактных площадок Equalize Net Lengths Настройки меандра для выравнивания длины проводников Interactive Length Tuning Выравнивание длины проводника. Проводник должен быть проложен заранее. Interactive Diff Pair Length... Выравнивание длины дифференциальной пары Outline Selected Objects Добавление контура для выбранного участка топологии Layers Stackup Legend Добавление легенды о слоях платы Find and Set Testpoints Поиск и установка тестовых точек Clear All Testpoints Удаление всех тестовых точек Preferences Глобальные настройки редактора плат, соответствует DXP>Pref>PCB (см гл. 4.2.1) Legacy Tools Группа команд из предыдущих версий программы. Команды в данной группе будут удалены в следующем релизе. 6.2.7. Команды меню Autoroute В данном меню находятся команды автоматической трассиров ки, а также настройки и команды управления процессом автотрас сировки. All Запуск автоматической трассировки. Трассировка всех цепей Net Трассировка выбранной цепи Net Classes Трассировка класса цепей Connection Трассировка выбранного соединения Area Трассировка указанной области на плате Room Трассировка всех цепей внутри комнаты 406
6.2. Команды меню редактора плат Component Трассировка цепей выбранного компонента | Component Class Трассировка цепей класса компонентов Connections On Selected Components Трассировка цепей внутри выбранного компонента Connections Between Selected Components Трассировка цепей между выбранными компонентами Add Subnet Jumpers Добавление перемычки в разрыве цепи Remove Subnet Jumpers Удаление перемычек Fanout Установка стрингеров (Fanout) от SMD-площадок Setup Настройки автоматической трассировки (см гл. 4.9.3) Stop Остановка автоматической трассировки Reset Сброс [Pause Пауза 6.2.8. Команды меню Reports В меню Reports сгруппированы команды позволяющие полу- чить разного рода отчеты о разработанной плате, а также выпол- нить измерения между примитивами на разных слоях. 9 Board Information Общая статистическая информация о плате. | Размеры палы, количество отверстий, контактных площадок, нарушений, и примитивов разного типа» На вкладках Components и Nets перечислены все компоненты и цепи платы. По кнопке Report можно сохранить отчет в текстовом виде. I РСВ loluffnaliM L?l* I- 9 ConxxwnU [ >4<ti [ N Ас» : 43 И Fit ; 36 [ Fadi - 367 1 S4mgi ее И Tt*cki : 2342 J V«. : 0 i CoctJr**» 0 0«мпмап» 3 J Board Оомгдеи 'i iro&nrp I И66&1Я» >4re1?a307M».1»43ww r 0*. . PadMaHdM 325 PadStaHdM 0 PedSqwreHoiw 8 rr'.Vichboht - 6 Bill of Materials Формирование заготовки для спецификации и перечня | элементов (см гл. 3.14.1) I Project Reports Отчеты о проекте I Netlist Status Формирование списка соединений | Measure Distance (Ctrl+M) Измерение расстояния (линейка) Measure Primitives Измерение зазора между двумя выбранными примитивами | Measure Selected Objects Измерение длины выбранного объекта 407
Гпава 6. Для профессионалов 6.2.9. Команды меню Window Команды меню Window предназначены для управления отобра- жения окон различных документов. Все команды данного меню доступны в контекстном меню, которое появляется при нажатии правой клавиши мыши на вкладке документа. Tile Показать все открытые документы в виде мозаики i The Horizontally Показать все открытые документы в горизонтальном виде The Vertically Показать все открытые документы в вертикальном виде ** | Unhide Список скрытых документов | J Hide All Скрыть все документы Ji Close Documents । Закрыть активный документ | Close All Закрыть все документы | 6.2.10. Команды меню Help Knowledge Center F11 Запуск справочной системы Altium, которая позволяет вести поиск по ключевым словам в документации или на сайте Wiki. The Altium Wiki Переход к сайту справочной службы Altium Wiki User Forum I Переход к форуму пользователей Altium Designer (англ, яз.) а I Help On Справка по отдельным темам j Getting Started Ознакомительные статьи по разным этапам проектирования в Altium [i । About n 1 0 программе li 6.3. Управление панелями инструментов Altium Designer Как было сказано ранее, работа в каждом редакторе ведется с помощью панелей, которые можно произвольно размещать в ра- бочей области (см гл. 1.3). Аналогично можно управлять положе- нием панелей инструментов, которые могут быть сконфигуриро- ваны удобным для пользователя образом. Например, редактор схем содержит 6 панелей, которые показа- ны на рис. 6.8, причем в большинстве случаев для работы со схе- мами не используются панели Navigation и Formatting. Эти панели 408
6.3. Управление панелями инструментов Altium Designer Sd^matic Standard Mixed Sm Fornatting Utibes Wring Navtgafaon Custom^.. [Wring is t-KMrT'>«3;aaai}»)>x|:: Puc. 6.8. Панели редактора схем Altium Designer » можно смело выключить, после чего в верхней части интерфейса расположить оставшиеся панели в две строки (см. рис. 6.9). После расположения панелей удобным для пользователя образом стоит убедиться, что включена опция DXP>Preferences>System>View Autosave Desktop, которая автоматически сохраняет расположение панелей. Wiuiti 6 /.Dafnpi-U.; 1г1«чк -b 'ind Pul ’ Hdjjp fie Ytw Proxd 0» WW £«pcrtj fcWw Цй> * 7 (g) ?!£*-?• : J J jJS *’l* ид э|3 4- лН*5 K“ * « » X Лба Bia irxJA<tte*sr>t«xlng Settle t Puc. 6.9. Расположение панелей инструментов редактора схем Большинство пользователей, переходящих с программы P-CAD отмечают не совсем «удобное» (а точнее, не совсем привычное), расположение базовых графических команд. Так для рисования линии надо выполнить не менее двух нажатий клавиши только для выбора команды. Данное неудобство можно исправить созда- нием пользовательской панели инструментов, в которую будут включены только необходимые инструменты. При этом в пользо- вательской панели можно объединить весь используемый инстру- ментарий, тогда панели Utilities, Wiring, Mixed Sim можно скрыть. Кроме того, панель инструментов можно расположить вертикаль- но, как в Р-CAD, после чего не будет возникать никакого неудоб- ства при переходе. Рассмотрим пример по созданию пользовательской панели ин- струментов и оптимизации интерфейса редактора схем. Чтобы со- здать новую панель, выполняем DXP>Customize, после чего на эк- ране появится окно, показанное на рисунке 6.10. 409
Глава 6. Для профессионалов Рис. 6.10. Добавление новой пользовательской панели Здесь на вкладке Commands перечислены все команды, а на вкладке Toolbars — панели инструментов редактора схем. Для до- бавления новой панели нажимаем кнопку Add на вкладке Tool- bars, после чего ставим флаг в графе Is Active, для отображения панели и переименовываем панель (для этого выделяем ее в спис- ке и нажимаем клавишу F2). Новая панель создана и показана на экране, но пока она не со- держит инструментов. Для добавления инструментов в панель ис- пользуется вкладка Commands. Здесь в левом списке перечислены группы (Categories) команд, а при выборе группы, в правом списке отображаются команды данной группы. В группе Place находим команду Wire и, захватив ее левой кла- вишей, перетаскиваем (Drag&Drop) в пользовательскую панель (рис. 6.11). Такая команда уже имеется в панели Wiring, но данное дейст- вие сделано умышленно, чтобы в одной панели «PCAD instru- ments» объединить все используемые команды, в том же порядке как в привычной программе. После добавления нужных команд из группы Place, добавим графические команды, которые находятся в группе Utilities (рис. 6.12). Аналогичным образом можно выполнить переконфигурацию всех панелей, во всех редакторах. Стоит помнить, что положение 410
6.3. Управление панелями инструментов Altium Designer п тг X М. инструмента в пользовательскую панель та сот Рис. 6.12. Добавление инструмента в пользовательскую панель панели и набор инструментов, которые в ней содержатся отдель- ные для каждого редактора, поэтому рекомендуется пройти по 411
Глава 6. Для профессионалов всем редакторам, выключить не используемые панели и создать пользовательские, которые будут содержать только используемые команды. Расположить панель можно в любом месте рабочей об- ласти обычным перетаскиванием. Для удобства можно располо- жить панель у крайней левой границы программы. Altium Designer поддерживает понятие конфигурирования рабо- чего стола, т. е. включение/выключсние необходимых панелей, работа с отображением окон и т. д. Это свойство позволяет ком- поновать прикладные окна документов, рабочие панели и панели инструментов вокруг рабочей области проекта, как это необходи- мо и затем сохранить эту конфигурацию в файле. Таким образом, множество пользователей могут быстро приспосабливать рабочую область под свою манеру проектирования посредством загрузки в систему предварительно подготовленных шаблонов (Desktop Layo- uts, *.TLT). Команды для сохранения и загрузки шаблонов, содержащие шаб- лон по умолчанию, доступны из подменю View>Desktop Layouts. 6.4. Использование готовых фрагментов схем и плат (панель Snippets) При проектировании печатных плат очень часто складывается ситуация, когда в разработке используется унифицированный блок схемы, который был использован в предыдущем проекте. Обычно это относится к узлам блоков питания и микросхем с эле- ментами обвязки. Возникает задача использования готового фраг- мента схемы и при этом хотелось бы использовать готовый фраг- мент платы с топологией, которая для многовыводных микросхем с обвязкой цепей питания может быть довольно таки сложной. Ранее было сказано, что для такой задачи используются много- канальные проекты, а также иерархичные проекты с одинаковыми блоками. Однако в большинстве подобных случаев реализовать многоканальность или иерархичность не представляется возмож- ным или бывает очень трудоемким. В Altium Designer имеется спе- циальная панель Snippets, которая может хранить готовые фраг- менты схем, топологии и программного кода для описания ПЛИС. Эти фрагменты можно использовать в последующих раз- работках, причем если фрагмент схемы соответствует фрагменту платы, то их можно использовать совместно. 412
6.4. Использование готовых фрагментов схем и плат Рассмотрим максимально сложную задачу. Имеется готовый проект, в котором установлена ПЛИС и для нее выполнена раз- вязка проводников питания и земли с выводом на соответствую- щие конденсаторы. Стоит задача: использовать данный фрагмент схема/плата в новой разработке. Для демонстрации решения данной задачи воспользуемся гото- вым проектом, находящимся в директории установки Altium под названием SL1 Xilinx Spartan-IIE PQ208 Revl.Ol.PijPcb (examp- les\reference design\SpiritLevel-SLl). Откроем этот проект и далее все документы схем и плат. Здесь на верхнем слое расположена микросхема U1, под которой расположены 32 конденсатора. Чтобы использовать фрагмент схемы и готовую топологию на плате их необходимо добавить в панель Snippets. Сначала выпол- ним эту задачу для схемы, затем для платы. На схеме микросхема U1 и конденсаторы, которые относятся к ее «обвязке» расположе- ны на отдельных листах схемы. Для удобства работы переместим конденсаторы на тот лист, где расположена ПЛИС. Для этого от- крываем лист схемы SL_Power.SchDoc, вырезаем все конденсато- ры в правой части схемы и вставляем их на лист SL_FPGA_Auto_2E.SchDoc, где расположена ПЛИС. Теперь можно добавить фрагмент схемы в панель Snippets. Для этого выделяем участок схемы, который необходимо сохранить в виде фрагмента, нажимаем на нем правой1 клавишей мыши и в выпадающем меню выбираем Snippets>Create Snippets from selected objects (рис. 6.13). После этого на экране появится диалог Add New Snippet (рис. 6.14), в котором нужно указать название нового фрагмента, подкаталог, в который сохраняется фрагмент и краткое описание. Учитывая, что данный фрагмент часто будет применяться в да- льнейших проектах ему стоит добавить такое наименование, что- бы было очевидно его функциональное назначение. Теперь аналогичную процедуру нужно проделать для фрагмента платы, причем, на готовой плате необходимо сохранить фрагмент только нужного участка. Опишем подробно эту процедуру. Для удобства работы выполним несколько подготовительных действий. Во-первых, удалим полигоны земли, которыми целиком залиты верхний и нижний слои платы. Во-вторых, отключим видимость всех механических слоев, т. к. здесь работа будет вестись только с топологией. 413
Гпава 6. Для профессионалов Рис. 6.13. Выбор фрагмента схемы для добавления в панель Snippets Add Hew Snippet Щ[х S nppets are design segments stt*ed in Window federal They can be subsequent^ reused in other designs A new snippet *mC be stored in the Snpoete Examples folder. Yom can choose here a name, a comment and a location for this snippet &ame j FPGA_Xb*_S partan HE J=Q208 l I °* II Сдпее| I Puc. 6.14. Добавление фрагмента 414
6.4. Использование готовых фрагментов схем и плат Так как для дальнейшей работы будет использоваться только топология цепей земли и питания, удалим топологию остальных цепей. Сначала надо зафиксировать дорожки и переходные отвер- стия, относящиеся к нужным цепям. Для этого в панели РСВ вы- берем класс цепей Power, и клавишей F11 запустим панель Ins- pector. В панели Inspector выбираем режим работы с дорожками и переходными отверстиями (рис. 6.15) и далее включаем опцию Lock. Рис. 6,15. Блокировка дорожек и переходных отверстий цепей питания и земли Теперь можно смело выполнить команду Tools>UnRoute>AlI, и на последующий вопрос о необходимости удаления топологии за- блокированных цепей — отвечаем, нет! Теперь можно выделить фрагмент, который будет использован в дальнейшем. Выделяем участок платы вокруг микросхемы U1, так, чтобы в рамку выделения попали все необходимые участки топологии (рис. 6.16). Далее на выделенных объектах следует на- жать правую клавишу мыши и в выпадающем меню выбрать команду Snippets>Create Snippets from Selected objects. Здесь про- цедура аналогична подобной в редакторе схем. Фрагмент схемы с соответствующим фрагментом теперь могут быть вставлены в любой проект, но для упрощения добавим дан- ный участок в новый проект. Командой File>New>Project>PCB Project создаем новый проект платы, и командой File>New>Sche- matic(PCB) создаем новые схему и плату. Чтобы добавить на схему ранее сохраненный фрагмент, вызы- ваем панель Snippets, которая расположена в группе System в пра- вом нижнем угла, где и все остальные панели. В панели Snippets содержатся все ранее сохраненные фрагменты, которые располо- жены в трех папках. Выбираем ранее сохраненный фрагмент схе- 415
Г.пава 6. Для профессионалов Рис. 6.16. Блокировка дорожек и переходных отверстий цепей питания и земли мы и кнопкой Place размещаем его на листе схемы. Аналогичное действие выполняем фрагментом топологии в плате. Выполненные действия по вставке фрагментов не обеспечива- ют целостность проекта. Т. е., несмотря на то, что позиционные обозначения совпадают, в действительности компоненты схемы не соответствуют посадочным местам на плате. В общем случае, вставляемый фрагмент мог быть добавлен уже на готовую плату, тогда номера элементов могли совпасть, и при переномераци воз- никла бы полная путаница. Поэтому после добавления фрагмен- тов, необходимо выполнить процедуру по согласованию компо- нентов на схеме и плате, для чего используется команда Рго- ject>Component Links. В правом списке появившегося окна (рис. 6.17) содержатся те компоненты схемы, которые имеют соответствующее исполнение на плате. В левом списке компоненты схемы, в центральном — компоненты платы. Выбрав модель в левом списке и указав мо- дель в правом списке, можно нажать кнопку «>», после чего пара будет добавлена в правый список. Эту работу можно выполнить автоматически по кнопке Add Pairs Matched By, но при этом стоит 416
6.4. Использование готовых фрагмент^ ____________________ т°в схем и плат , ... и^с.л,е и плате быть внимательным. Рядом с указанной кнопкой находятся метры, по которым будет проводиться согласование- паРа- • Designator — позиционное обозначение; • Comment — название компонента; • Footprint — посадочное место. В нашем случае можно выполнить автоматическое ние по позиционным обозначениям, но в большинстве сова" это делается либо по названию, либо по модели (а еще л СЛуЧаев ним обоим). После того как все пары добавлены в ппяпчи Ше П° нажимается команда Perfom Update. Теперь вроде бы все х но если удалить проводник, то обнаружится отсутствие ^инииOШO, динения под ним. Дело в том, что через панель Snippets пепел С°е" ся только компоненты и элементы топологии, a ц<им.> ___ линии связей — нет. Поэтому для завершения работы нужно из Редактора схем в полнить обновление проекта Design>Update. Результатом - ВЫ" действия будет добавление цепей на плату, после чет „ „„„ ЭТ0Г0 чс1 о у всех допо- жек появятся подписи с названиями принадлежащих Ileneft Описанный выше приём может быть использован и bhvt ной платы, где имеются одинаковые участки. ЧтпКп >.v ,, од’ и’°ы их не разме- шать и трассировать по отдельности, можно выполнить раб 417
Гпава 6. Для профессионалов одним фрагментом, после чего скопировать его в панель Snippets. Далее удалить с платы компоненты, относящиеся к повторяю- щимся участкам и добавить их снова из панели Snippets. После чего выполнить согласования проекта. Если во время работы будет случайно удален или добавлен лишний компонент, то во время согласования программа обнаружит несоответствие платы схеме и предложит удалить лишнее, или добавить недостающее. 418
Список литературы 1. Altium Designer Schematic Capture and PCB Editing training, 2006. — Altium Limited. — 248 c. 2. Getting started with Altium Designer, version 1.0, 29 apr. 2005. — Altium Limited. — 194 c. 3. Пранович В. Статьи о работе с программой Altium Designer (Protel). «Компоненты в электронной промышленности» №5, 6, 2006, №6-8, 2007, №3-8, 2008. 4. Потапов Ю. В. Система проектирования печатных плат Pro- tel. — М.: Горячая линия — Телеком, 2003. — 704 с. 5. Потапов Ю. В. Protel DXP — М.: Горячая линия — Телеком, 2006. - 276 с. 6. Сабунин А. Е. Статьи о работе с программой Altium Designer (Protel). «Современная электроника» №6, 2007, №5—9, 2008, №1-4, 2009. 7. Сабунин А. Е. Статьи о работе с программой Altium Designer (Protel). «EDA-Express» №13—17, 2006—2008 гг. 8. Саврушев Э. Ц. P-CAD 2006. Руководство схемотехника, адми- нистратора библиотек, конструктора. — М.: ООО «Би- ном-Пресс», 2007. — 768 с. 9. Севастьянинов С. «Варианты отображения многовыводных ИМС в проектах P-CAD 200*». «EDA-Express» №16, 2007. 10. Суходольский В. Ю. Сквозное проектирование функциональ- ных узлов РЭС на печатных платах в САПР Altium Designer 6. Часть 1.: Учебное пособие. СПб.: Изд-во СПбГЭТУ «ЛЭТИ», 2008. - 148 с. 11. Татаринов В. Д. Моделирование принципиальных электриче- ских схем в P-CAD 2004 средствами Altium Designer 2004. «EDA-Express» №14, 2006. 12. Уваров А. С. PCAD 2002 и Specctra. Разработка печатных плат. — 2-е изд., испр. и доп. — М.: СОЛОН-Пресс, 2005. — 544 с. 13. Разевиг В. Д. Схемотехническое моделирование с помощью Micro-Cap 7. — М.: Горячая линия — Телеком, 2003. — 368 с. 14. Хайнеман Р. PSPICE. Моделирование работы электронных схем: Пер. с нем. — М.: ДМК Пресс, 2005. — 336 с. 419
Документация по Altium Designer 1. AR0126 Internal Power and Split Planes 2. APO 135 Interactive and Differential Pair Routing 3. TRO 104 Altium Designer Panels Reference 4. TR0110 Query Language Reference 5. TR0111 Schematic Editor and Object Reference 6. TR0112 PCB Editor and Object Reference 7. TRO 113 Simulation Models and Analyses Reference 8. TRO 116 Design Rules Reference 9. TU0103 Creating Library Components 10. TU0111 Building an Integrated Library 11. TU0114 Working with a Version Control System 12. TU0115 Editing Multiple Objects 13. TU0132 Integrating MCAD Objects and PCB Designs 420
Содержание Введение.................................................3 Глава 1. Знакомство с платформой Altium Designer.........9 1.1. Системные требования и установка программы......9 1.1.1. Установка одиночной лицензии..............11 1.1.2. Установка плавающей лицензии..............12 1.2. Интерфейс пользователя.........................15 1.3. Управление панелями............................17 1.4. Проект — как основа разработки.................24 1.4.1. Типы проектов в Altium Designer...........24 1.4.2. Панель Projects...........................27 1.4.3. Создание проекта. Управление документами в проекте.................29 1.5. Базовые элементы работы в среде Altium Designe.......31 1.5.1. Навигация по документам проекта и масштабирование................................31 1.5.2. Работа с двумя мониторами.................37 1.5.3. Общие графические команды.................38 1.5.4. Редактирование свойств графических объектов.....42 1.6. Системные настройки (System)...................43 1.7. Информационная поддержка Altium Designer.......50 Глава 2. Разработка библиотек и моделей компонентов.....53 2.1. Концепция библиотек Altium Designer............53 2.1.1. Основные термины и определения............54 2.1.2. Типы библиотек............................57 2.2. Создание библиотеки символов...................58 2.2.1. Начальные настройки рабочей области.......59 2.2.2. Алгоритм создания условно-графического обозначения (УГО)................................60 2.2.3. Многосекционные компоненты................65 2.2.4. Проверка и верификация библиотеки символов......72 2.3. Создание библиотеки посадочных мест............74 2.3.1. Начальные настройки рабочей области.......75 2.3.2. Алгоритм создания посадочного места (footprint).76 2.3.3. Подключение 3D моделей в формате STEP.....81 2.3.4. Проверка и верификация библиотеки посадочных мест..................................83 421
Содержание 2.4. Редактирование библиотек (List, Inspector и т. д.).84 2.5. Создание интегрированной библиотеки................89 2.5.1. Особенности использования интегрированных библиотек.......................89 2.5.2. Подключение моделей...........................91 2.5.3. Компиляция и верификация интегрированной библиотеки......................96 2.6. Создание библиотеки на основе базы данных..........97 Глава 3. Разработка электрических принципиальных схем.....102 3.1. Настройка редактора схем..........................103 3.1.1. Настройки текущего листа схемы...............103 3.1.2. Глобальные настройки редактора...............104 3.2. Создание и подключение форматки..................114 3.3. Поиск компонентов, подключение библиотек..........117 3.4. Инструменты создания схемы........................121 3.5. Горячие клавиши, используемые в редакторе схем....126 3.6. Синхронизация схемы с библиотеками компонентов....128 3.7. Автоматическая переномерация компонентов схемы....130 3.8. Проверка схемы и исправление ошибок...............131 3.8.1. Настройка опций проекта......................132 3.8.2. Компиляция и верификация проекта.............143 3.9. Редактирование схем (Inspector, List и'др.)....144 3.10. Многолистовые и многоканальные проекты...........153 3.10.1. Реализация связанности на разных уровнях иерархии......................153 3.10.2. Создание многолистовых проектов без иерархии .157 3.10.3. Создание иерархических проектов.............159 3.10.4. Создание многоканальное™ в проекте..........164 3.11. Навигация в многолистовом проекте................165 3.12. Создание дифференциальных пар и правил проектирования............................170 3.13. Особенности импорта и экспорта схем..............172 3.13.1. Импорт/Экспорт в формат AutoCAD.............173 3.13.2. Импорт/Экспорт схем из P-CAD200X............175 3.14. Дополнительные возможности редактора схем........177 3.15. Получение выходной документации..................180 3.15.1. Отчет Bill Of Materials — заготовка для перечня и спецификации..................................180 3.15.2. Печать схемы................................182 422
Содержание Глава 4. Разработка печатных плат.....................183 4.1. Создание файла платы...........................183 4.2. Настройка редактора плат.......................186 4.2.1. Глобальные настройки редактора плат......186 4.2.2. Настройки отображения....................193 4.2.3. Управление слоями........................195 4.3. Разработка конструктивных параметров печатной платы 199 4.3.1. Импорт контура платы в форматах DWG и STEP..200 4.3.2. Управление порядком расположения слоев...206 4.3.3. Крепежные отверстия и зоны запрета для трассировки.................................209 4.4. Синхронизация схемы и платы....................211 4.5. Панель РСВ.....................................213 4.6. Установка правил проектирования................217 4.6.1. Описание правил проектирования...........218 4.6.2; Алгоритм создания правил.................230 4.6.3. Использование мастера запросов для создания правил.............................233 4.6.4. Сохранение и загрузка правил.............238 4.7. Размещение компонентов.........................240 4.8. Создание классов цепей и компонентов...........248 4.9. Трассировка проводников........................250 4.9.1. Оптимизация цепей путем перестановки эквивалентных выводов и ячеек....................250 • 4.9.2. Интерактивная трассировка................256 4.9.3. Трассировка дифференциальных пар.........261 4.9.4. Автоматическая трассировка (Situs).......267 4.10. Редактирование объектов на плате..............271 4.11. Проверка правил проектирования. Верификация платы..................................276 4.12. Добавление механических деталей на плату......278 4.13. Работа с полигонами...........................288 4.13.1. Металлизация на сигнальных слоях платы..288 4.13.2. Разделение экранных слоев...............291 4.14. Особенности импорта и экспорта плат...........292 4.14.1. Импорт/Экспорт в формат AutoCAD.........295 4.14.2. Импорт/Экспорт платы в формате P-CAD200X....296 4.15. Получение выходной документации...............299 4.15.1. Формирование Gerber-файлов..............299 4.15.2. Формирование файла сверловки............301 423
Содержание 4.15.3. Настройки печати сборочного чертежа и чертежа платы................................302 Глава 5. Аналого-цифровое моделирование...............309 5.1. Источники сигналов...............................311 5.1.1. Задание сигналов стандартной формы..........311 5.1.2. Задание сигналов сложной формы ..........319 5.2. Подготовка электрической схемы к моделированию...324 5.3. Моделирование электрических схем .............326 5.3.1. Составление задания на моделирование........328 5.3.2. Задание параметров для конкретного вида анализа и моделирование........................330 5.3.3. Отображение результатов моделирования ..366 5.4. Обработка ошибок, возникающих при моделировании .................................384 5.4.1. Понятие сходимости процесса моделирования..384 5.4.2. Внутренние переменные системы моделирования и их корректировка...............385 5.4.3. Рекомендации по решению проблемы сходимости.....................................387 5.5. Моделирование проекта ПЛИС ...................387 Глава 6. Для профессионалов......................... 394 6.1. Введение в язык запросов (Query Language).....394 6.2. Команды меню редактора плат...................399 6.2.1. Команды меню File........................399 6.2.2. Команды меню Edit........................400 6.2.3. Команды меню View........................401 6.2.4. Команды меню Project................... 403 6.2.5. Команды меню Design......................404 6.2.6. Команды меню Tools.......................405 6.2.7. Команды меню Autoroute...................406 6.2.8. Команды меню Reports.....................407 6.2.9. Команды меню Window......................408 6.2.10. Команды меню Help.......................408 6.3. Управление панелями инструментов Altium Designer.408 6.4. Использование готовых фрагментов схем и плат (панель Snippets)..................................412 Список литературы.....................................419 Документация по Altium Designer.......................420 424
Серия «Системы проектирования» Сабунин Алексей Евгеньевич Altium Designer Новые решения в проектировании электронных устройств ' Ответственный за выпуск В. Митин Макет и верстка А. Иванова Обложка К. Бобрусь ООО «СОЛОН-ПРЕСС» 123001, г. Москва, а/я 82 Телефоны: (495) 254-44-10, (499) 252-36-96, (499) 252-25-21 E-mail: avtor@coba.ru По вопросам приобретения обращаться: ООО «АЛЬЯНС-КНИГА КТК» Тел: (495) 258-91-94, 258-91-95, www.alians-kniga.ru ООО «СОЛОН-ПРЕСС» 103050, г. Москва, Дегтярный пер., л. 5, стр. 2 Формат 60x88/16. Объем 27 и. л. Тираж 1000 экз. Отпечатано в ООО «Арт-диал» 143983, МО, г. Железнодорожный, ул. Керамическая, д. 3 • Заказ № 32