Text
                    НАУ ЧНО-1 Е ХНИЧЕСКИЙ ЖУРНАЛ

Схемотехника № 4 (30) апрель 2003 Главный редактор: Сергей Бирюков Редакционная коллегия: Павел Асташкевич Александр Фрунзе Виктор Йовчик Юлия Герасимова Дизайн и верстка: Ирина Ермолаева Ирина Чикина Отдел распространения: (095) 777-1215 e-mail: sales@dian.ru Марина Трофимова Юрий Царев Сергей Лукин Отдел рекламы: Юлия Суханова Адрес редакции: Москва, ул. Бутырская, д. 41/47 «ИД Скимен» телефакс: (095) 285-1775 Адрес для писем: 121351, Москва, ул. Ивана Франко, д. 40, стр. 2 www.dian.ru e-mail: editor@dian.ru Издатель и учредитель ООО “ИД Скимен” Отпечатано: ЗАО «Холдинговая компания «Блиц-Информ» Тираж 5 200 экз. Журнал зарегистрирован в Министерстве РФ по делам печати, телерадиовещания и средств массовых коммуникаций. Per. № ПИ77-5262 Редакция не несет ответственности за информацию, приведенную в рекламных материалах Полное или частичное воспроизведение материалов допускается только с разрешения ООО “ИД Скимен” Информацию о подписке см. на последней странице журнала Цена свободная Содержание Просто и доступно О. Федоров. Фототир на базе лазерной указки 2 Электроника в быту А. Бутов. Новые возможности аккумуляторного ручного фонарика 4 А. Павлов. Терморегулятор для бытового электрического обогревателя 6 А. Бутов. Индикатор состояния телефонной линии с функцией «Line Hold» 10 И. Андриевский. Регулятор тока сварочного аппарата 13 В. Тушнов. Прибор для регистрации частоты дыхания 14 Ю. Виноградов. Эксперименты с сотовым телефоном 15 Измерительная техника С. Бирюков. Приставка к мультиметру для измерения эффективного напряжения 18 Источники питания И. Андриевский. Источник напряжения отрицательной полярности 19 КВ/УКВ А. Сергеев. Синхронный гетеродинный приемник УКВ ЧМ сигналов 20 А. Юбков. Сверхширокополосный транзисторный усилитель СВЧ 22 Основы схемотехники В. Днищенко. Простой супергетеродин для аппаратуры радиоуправления моделями 24 О. Николайчук. Управление нагрузкой на переменном токе 25 Д. Онышко, А. Журченко. Генераторы ВЧ на микросхемах КМОП 27 Софт О. Петраков. Поведенческое моделирование в PSpice 28 В. Зотов. Использование шаблонов HDL-редактора при создании описаний цифровых устройств с помощью языка VHDL 30 Цифровая техника Н. Заец. Счетчик витков 34 О. Вальпа. Плата диагностики POST 36 О. Вальпа. Тестирование СОМ порта 39 О. Николайчук. Эксперименты с микроконтроллерами фирмы Cygnal: базовая программа для семейства C8051F0xx 41 Мастер КИТ Г. Ганичев. Новые усилители низкой частоты класса Hi-Fi 46 Справочный листок С. Бирюков. Преобразователь переменного напряжения в эффективное значение AD736 51 Вниманию читателей (с. 3, 23, 27). Обмен опытом. Г. Петин. Изготовление печатных плат с помощью лазерного принтера (с. 17). Семинары «Макро Тим» в 2003 г. (с. 19). Наш анонс (с. 55). Подписка-2003 (с. 56). На нашей обложке: приставка к мультиметру для измерения эффективного напряжения (см. статью на с. 18).
просто и доступно Фототир на базе лазерной указки Описания различных фототиров, в том числе и на основе лазер- ной указки, неоднократно публиковались в радиолюбительской литературе. В предлагаемом варианте конструкции фототира в ка- честве фотоприемника использован тиратрон с холодным като- дом, который одновременно является и индикатором точного по- падания в цель. Электронный фототир состоит из двух узлов — пистолета и мише- ни. При попадании в мишень ла- зерного луча включается световая инди- кация, через несколько секунд индика- тор автоматически выключается. Выст- рел пистолета сопровождается шумовым эффектом. В качестве фотодатчика в данной кон- струкции использован тиратрон (триод) с холодным катодом. Светочувствитель- ностью обладают лампы с активирован- ным катодом [1]. Такие катоды имеют, например, тиратроны МТХ-90, ТХ18А. Активация катода слоем цезия снижает напряжение зажигания и горения разря- да и увеличивает интенсивность свече- ния газа. С другой стороны, за счет этой же активации лампа приобретает чув- ствительность к уровню внешнего осве- щения. Для большинства применений данный эффект вреден, но в данном слу- чае именно это и нужно. Наиболее дос- тупной является лампа МТХ-90, ее раз- меры больше, поэтому она и была использована в конструкции. На рис. 1 [1] приведены статические характеристики светочувствительности лампы МТХ-90 при различных токах сет- ки (1с). По оси X отложены значения све- тового потока, падающего перпендику- лярно торцу лампы, а по оси Y — значения напряжения зажигания разряд- ного промежутка анод-катод. Из приве- денных графиков следует, что наиболь- шая светочувствительность проявляется при отключенной сетке (1с = 0), причем она становится значительной лишь при напряжениях, близких к напряжению за- жигания лампы в темноте. При завышен- ных, по сравнению с обычными, анодных напряжениях (около 300 В) у разных эк- земпляров МТХ-90 даже небольшой све- товой поток может понизить напряжение зажигания анодного промежутка на 30...60 В! При токах сетки 10...40 мкА лампа практически не чувствительна к свету. Нужно отметить, что обычные нео- новые лампы, например ТН-0,2, не акти- вированы цезием, и пытаться применить их в данной конструкции бесполезно, хотя и не исключено, что какую-то минималь- ную светочувствительность к большим световым потокам они все-таки имеют. Принципиальная схема мишени приве- дена на рис. 2. Мишень содержит фото- датчик VL1, удвоитель напряжения VD1VD2C1C2, параметрический стаби- лизатор R1—R3VD3VD4, регулятор на- пряжения с фильтром R4—R8C3 и узел сброса. В узел сброса входят датчик включения тиратрона R9, времязадаю- щая цепь R10C4, аналог динистора VT1VT2VD6 и высоковольтный ключ на составном транзисторе VT3VT4. В соот- ветствии с графиками, приведенными на рис. 1, сетка тиратрона никуда не под- ключена для достижения максимальной светочувствительности. Мишень работает следующим обра- зом. Когда тиратрон включается, на ре- зисторе R9 появляется напряжение 15...20 В, конденсатор С4 начинает за- ряжаться через резистор R10 до напря- жения, при котором срабатывает аналог динистора. Ключ открывается и шунти- рует параметрический стабилизатор не- Рис. 2 пряжения. Напряжение на тиратроне VL1 падает ниже напряжения горения, и ти- ратрон гаснет. Конденсатор С4 разряжа- ется через динистор и R13. Транзистор- ный ключ закрывается, напряжение питания тиратрона восстанавливается. Напряжение на R9 падает до нуля, по- этому С4 остается разряженным. Это со- стояние является устойчивым, если уро- вень фонового освещения недостаточен для включения тиратрона. Если внешняя засветка велика, тиратрон включится, затем снова выключится, схема перехо- дит в режим автоколебаний. Настройка заключается в том, чтобы, уменьшая анодное напряжение VL1 с помощью переменного резистора R4, добиться срыва автоколебаний, после чего ми- шень готова к работе в составе фото- тира. Обычное верхнее комнатное ос- вещение работе датчика не мешает, поскольку напряжение на аноде мож- но перестраивать приблизительно на 60 В, и его влияние можно устранить, а светочувствительность при неболь- шой фоновой засветке остается доста- точно высокой. Если комната ярко ос- вещена солнечными лучами, мишень работать не будет, даже если солнечный свет не попадает непосредственно на тиратрон. Светодиод HL1 служит индикатором включения и дежурного режима мише- ни. Светодиод HL2 является индикато- ром срабатывания транзисторного клю- ча (мишень не готова). Диод VD5 защищает светодиод HL1 от напряжения обратной полярности, которое возника- ет из-за разряда конденсатора фильтра СЗ через резисторы R7, R4 и открытый транзисторный ключ. Индикатор HL1 с зеленым цветом свечения включен, на первый взгляд, не совсем удачно, но это сделано для того, чтобы через него про- ходил весь ток, потребляемый устрой- ством в дежурном режиме, иначе даже при использовании суперяркого светоди- ода L-934SGC на расстоянии 10 м от мишени его не удастся разглядеть. Ко- нечно, можно увеличить ток потребления устройства, уменьшив номинал резисто- ров R1—R3, но это приведет к суще- ственному увеличению рассеиваемой ими мощности и росту температуры внутри мишени. Индикатор HL2 — крас- ный суперяркий светодиод. В момент срабатывания транзисторного ключа ток через него приблизительно в два раза больше, чем через HL1, и его свечение хорошо заметно. Поскольку напряжение включения не- которых экземпляров МТХ-90 при отсут- ствии внешнего освещения может дохо- дить до 300 В, напряжение сети 220 В пришлось удваивать. Без удвоения ста- билитроны VD3, VD4 выходили бы из режима стабилизации при малейшем снижении сетевого напряжения. На схеме VL1 имеет несколько стран- ное обозначение, которое, тем не менее, вполне соответствует выполняемой фун- кции. Тиратрон МТХ-90 действительно является одновременно и приемником излучения и элементом световой инди- кации. В конструкции мишени, помимо ука- занных на схеме типов VD1—VD3, можно использовать любые диоды с максималь- но допустимым обратным напряжением 800... 1000 В и прямым током 0.5...1 А. Стабилитроны VD3, VD4 следует подо- брать так, чтобы суммарное напряжение стабилизации было не более 300...305 В. Это нужно для того, чтобы не превышать максимально допустимое напряжения транзисторов КТ940А (300 В). Стабилит- роны могут быть любого другого типа, 2
просто и доступно например четыре Д817Г. Стабилитрон VD6 — любого типа с напряжением ста- билизации 6... 10 В. Транзисторы VT1, VT2 — любые кремниевые соответству- ющей структуры с максимальным напря- жением коллектор-эмиттер более 25 В. Светодиоды — обязательно суперяркие, например, из серии L-53 фирмы Kingbright. Оксидные конденсаторы — импортные аналоги К50-35. Вариант конструкции мишени показан на рис. 3. Элементы мишени размеще ны в пластмассовой коробочке размера- ми 105x65x20 мм с прозрачной крышкой. В эту коробочку была упакована та са- мая лазерная указка, которая использо вана в конструкции пистолета. После ознакомления с сайтом в Интер- нете, посвященным лазерным указкам и их применению, и прочтения материала Рис. 3 [3], автор данной статьи был уверен, что в лазерной указке установлена микро- схема, стабилизирующая ток лазерного диода, а в лучшем варианте, еще и до- полнительный фотодиод, позволяющий стабилизировать мощность излучения. Когда в процессе экспериментов одна лазерная указка вышла из строя и была безжалостно разобрана, выяснилось, что никакой микросхемы стабилизато- ра тока, упоминавшейся в [3], внутри указки китайского производства не име- ется. Возможно, микросхема и присут- ствовала изначально в первоисточнике, но юго-восточные изготовители любят экономить. На рис 4 приведена полная электрическая схема лазерной указки, CHIP0B05 51 Рис. 4 снятая с натуры, а на рис. 5 показана ее вольтамперная характеристика. Из схемы понятно, что лазерный диод не защищен от превышения напряжения питания. Из графика на рис. 5 можно сделать вывод, что при напряжении пи- тания больше 2 В последовательно со- единенные лазерный диод и токоогра- ничительный резистор R1 ведут себя как резистор с эквивалентным сопро- тивлением 70...80 Ом. Излучение лазе- ра можно заметить уже при токах 0,15...0,2 мА, что приблизи- тельно совпадает с мини- мальными рабочими токами красных суперярких светоди- одов. Падение напряжения на лазерном диоде (точка пере- гиба на ВАХ) — 1,65 1,7 В — также приблизительно соот- ветствует аналогичному пара- метру красных светодиодов. Возможно, отсутствие внутреннего стабилизатора тока даже к лучшему, иначе было бы непонятно, как может работать конструкция, описанная в [4]. Если бы стабилизация тока была, о чем упоминается в [3], то все усилия авто- ра по модуляции луча [4] оказались бы малоэффективными. Схема пистолета показана на рис. 6. От прототипа, описанного в [2], схема от- личается тем, что для питания указки ис- пользуются три элемента ААА (4,5 В), по- этому микросхема стабилизатора напря- жения не нужна. В схему добавлена вто- рая кнопка, которая позволяет включить лазер в режим непрерывного излучения, что удобно при настройке прицела. Узел звуковых эффектов, в отличие от конст- рукции, приведенной в [2], перенесен из мишени в пистолет, поскольку на боль- ших расстояниях стреляющий не услы- шит звук, идущий от мишени. Модуль на рис. 2, обозначенный «МС», — это гото- вая плата музыкального синтезатора с бескорпусной микросхемой-аналогом УМС от сломанного игрушечного автома- та китайского производства. Динамичес- кая головка небольшого размера с сопро- тивлением катушки 8Ом — от сломан- ного игрушечного или от настоящего со- тового телефона. Кнопки включены в минусовой провод питания, поскольку микросхема звукового синтезатора вклю- чается подачей «нуля» на соответствую- щий вывод. Резисторы R1 и R2 имитиру- ют внутреннее сопротивление трех после- довательно включенных дисковых гальва- нических элементов LR44 (AG13, А76, V13AG). Эти элементы — штатное пита- ние лазерной указки. Их внутренне со- противление достаточно велико, напри- мер, у частично разряженных трех эле- ментов AG13 от той же указки оно име- ло величину около 20 Ом. Внутреннее сопротивление конденсатора и элемен- тов ААА существенно меньше. Установить и закрепить указку так, чтобы луч сразу совпал с указанием при- цела, довольно сложно, поэтому лучше сразу предусмотреть в конструкции пи- столета возможность смещения рамки относительно мушки по вертикали и го- ризонтали. Для пистолета можно (и же- лательно) использовать экземпляр указ- ки, луч которой сфокусиро- ван неидеально. Например, в данной конструкции пятно имело диаметр около санти- метра при расстоянии до мишени 12 м. В этом случае, при увеличении расстояния требования к точности при- целивания остаются практи- чески постоянными, то есть попасть в «десятку» с рас- стояния 10 м не намного сложнее, чем с 3 м. Олег Федоров, editor@dian.ru Литература: 1. А. М. Еркин. Лампы с холодным ка- тодом.— М Энергия, 1972 2. И. Нечаев. Фототир на базе пазер- ной указки — Радио, 2001, № 3, с. 58. 3. И. Нечаев. Новые профессии ла- зерной указки.— Радио, 1999, № 10, с. 52—54. 4. И. Нечаев. Светотелефон на базе лазерной указки.— Радио, 2000, № 1, с. 54, 55. ВНИМАНИЮ ЧИТАТЕЛЕЙ И РАСПРОСТРАНИТЕЛЕЙ! У редакции изменились адрес и телефон. Их можно найти на с. 1 журнала. Проезд: метро «Савеловская», из метро налево, дойти до Бутырской ул., перейти ее по подземному переходу, дойти до Дворца бракосочетания (дом 17), у него во дворе желтое кирпичное здание, вход с торца. Подъезд на автомобиле: по Вятской ул., вход в редакцию напротив отделения ДПС.
электроника в быту www.dian.ru Новые возможности аккумуляторного ручного фонарика Многие имеют в наличии небольшие аккумуляторные фонарики, заряжаемые от сети переменного тока 220 В. Несложная модер- низация такого изделия позволит дополнить его функцией ночни- ка, работающего во время подзарядки аккумуляторов. Кроме того, как только аккумуляторы будут заряжены, ток зарядки рез- ко уменьшится, что предотвратит преждевременное старение или выход из строя малогабаритных дисковых никель-кадмиевых ак- кумуляторов. Те, кто в последнее десятилетие приобретали и из природного лю- бопытства или из-за техничес- кой необходимости разбирали корпус такого фонарика, возможно, удивлялись предельной простоте и дешевизне элек- троники абсолютного большинства таких фонарей. Внутреннее устройство заряд- ной части последнего из фонариков, ко- торый автор приобрел лично для себя, состояло из резистора С1-4 180 Ом 0,5 Вт; гасящего избыток напряжения ма- логабаритного пленочного конденсатора неизвестного типа и производства номи- налом 0,47 мкФ, 250 В и двух популяр- ных маломощных диодов типа 1N4148. Само собой разумеется, что вести раз- говоры о долговременной надежности фонариков, имеющих зарядное устрой- ство по такой или аналогичной схеме, не имеет смысла (встречаются экземпляры даже без защитного резистора, включен- ного аналогично R2, как правильно по- казано на схеме по рис. 1). Итак, удалив все упомянутые выше радиодетали и оставив от всей конструк- ции корпус, батарею из трех дисковых аккумуляторов типа Д-0,26Д движковый выключатель SA1, заменив лампочку EL1 2,5 Вх0,15 А на 3,5 Вх0,17 А, автор изготовил устройство, схему которого вы видите на рис. 1, а внешний вид — на фото (рис. 2). HL2 С1 NSPW500BS Схемотехника № 4 апрель 2UU3 О Рис. 1 Доработанный согласно этой схеме фонарик содержит в своем составе су- перяркий светодиод HL2 типа NSPW500BS белого цвета свечения со светоотдачей 5500...6400 мКд, выпол- ненный в прозрачном пластмассовом корпусе диаметром 5 мм. Наличие по- добного светодиода позволяет использо- вать фонарик как ночник во время под- зарядки аккумуляторной батареи. Когда батарея GB1 будет заряжена, зарядный ток аккумуляторов резко снижается, при этом начинает вспыхивать мигающий светодиод HL1. Светодиод HL2 тоже бу- дет мигать, но с пониженной яркостью свечения. Такой режим работы позволя- ет автоматизировать и контролировать процесс зарядки аккумуляторов, что пре- дотвращает их порчу и/или заметное уменьшение номинальной емкости как от перезаряда большим током, так и от хро- нического недозаряда. Разумеется, что увеличивается и число (обычно око- ло 500) гарантированных изготовителем аккумуляторов циклов их полной заряд ки/разрядки. Если после полной разрядки аккумуля- торов, когда напряжение GB1 составит 3 В или даже менее, (что будет уже мень- ше нормированного минимально допусти- мого 1 В на один такой аккумулятор) вставить фонарик в розетку, начнется процесс зарядки установленных в фона- рик аккумуляторов. Невозгораемый раз- рывной резистор R2 защищает выпрями- тельный диодный мост VD1 от разруши тельных бросков тока, например, в мо- мент подачи напряжения питания 220 В. Не следует устанавливать этот резистор номиналом более указанного на схеме, так как с увеличением его сопротивления растет температура внутри корпуса фо- наря что негативно отражается на его ра- боте Высоковольтный пленочный конден- сатор С1 гасит избыток сетевого напря- жения питания переменного тока 220 В. Резистор R1 предназначен для разрядки этого конденсатора после того, как фо- нарик будет выдернут из ро зетки. Следует отметить, что свечение HL2 возможно еще в течение нескольких секунд после принудительного пре- кращения зарядки, так как для разрядки оксидного конденса- тора С2 требуется некоторое время. Стабилитрон VD2 ограни- чивает амплитуду выпрям- ленного напряжения на уров- не 9...10 В. Оксидный конденсатор С2 снижает пульсации выпрямленного на- пряжения. Сопротивление резистора R3 подбирают так, чтобы при напряжении аккумуляторной батареи 3,4...3,6 В за- рядный ток GB1 составлял 20 мА. При этом светодиод HL2 светит с максималь- ной яркостью и фонарик может выпол- нять обязанности ночника, неплохо ос- вещая помещение небольшой комнаты. В момент начала заряда разряженных дисковых аккумуляторов маломощный тринистор VS1 типа КУ112А, КУ112АМ остается закрытым. Большая часть энер- гии постоянного тока расходуется на све- чение светодиода HL2 и зарядку акку- муляторов. На месте этого светодиода автор применил экземпляр с падением напряжения около 3,2 В при токе 20 мА. С ростом напряжения на GB1 ток заря- да несколько снижается, что тоже поло- жительно сказывается на сроке службы примененных химических источников тока [3]. Подстроечным резистором R5 уста- навливается порог напряжения, при ко- тором открывается тринистор VS1. Для этого фонарика автор установил его при напряжении на батарее GB1, равном 4,2 В. Как только батарея зарядится до указанного значения (1,4 В на один ни- кель-кадмиевый аккумулятор), тринистор откроется и большая часть выпрямлен- ного тока теперь будет протекать по цепи R4VS1. Сопротивление резистора R4 подбирают при отключенном мигающем светодиоде HL1 так, чтобы зарядный ток батареи уменьшился до 3...6 мА. После окончательного подбора R4 подключает- ся светодиод HL1, который своими вспышками будет сигнализировать о наступлении «бережного» режима заря- да аккумуляторов. Во время вспышки HL1 аккумуляторы не подзаряжаются, HL2 не светится. Весь выпрямленный ток протекает через от- крытый тринистор и его нагрузку. В таком состоянии фонарик может находиться от нескольких часов до не- скольких суток. То, что зарядный ток в конце процесса заряда уменьшается, а не прекращается вовсе, позволяет акку- муляторам набрать 100 % энергоемкос- ти. Кроме того, нет необходимости вво- дить элементы термокомпенсации и/или учитывать фактор старения и внутрен- нее сопротивление примененных диско- вых аккумуляторов. Керамический конденсатор СЗ предот- вращает преждевременное открывание чувствительного тринистора из-за помех. В дополнение к штатному движковому выключателю SA1 параллельно ему ус- тановлена кнопка SA2 без фиксации замкнутого положения, что повышает комфортность использования фонарика в случае, когда требуются частые вклю- чения лампочки на короткое время. Резистор R2 — типа Р1-7, Р1-25 или аналогичный импортный разрывной невоз- гораемый сопротивлением 150...330 Ом. Подстроечный резистор R5 должен иметь сопротивление 6,8...15 кОм, например типа СПЗ-19а, РП1-51-1, РП-бЗМа СП5-16В импортные 3329Н, 3362Р или, что лучше и надежней, малогаба- ритные многооборотные типов СПЗ-39, 3296W. Остальные резисторы — ОМЛТ. МЛТ, С1-4, С2-23, С2-14, С2-50 соответ- ствующей мощности. Конденсатор С1 — полиэтилентерефталатный К73 17, К73-24 на рабочее напряжение постоянного тока не ниже 400 В. Можно использовать и спе- циальные импортные на 250 В, предназ- наченные для работы в цепи переменно- го тока осветительной сети. Оксидный С2— сверхминиатюрный импортный аналог К50-35; СЗ — керамический К10-17, К10-73, К10-47Э, КМ-5. Диодный мост VD1 можно заменить на КЦ422Г, DB104—DB107 или составить из четырех диодов серий КД209, КД221
автоматика Рис. 2 КД243, КД247, 1N4004—1N4007 на на- пряжение не ниже 300 В. Любым из упо- мянутых диодов можно заменить и VD4. Стабилитрон VD2 заменяется любым ма- ломощным, например, КС191Ж, Д814В1, КС211 Ж, 1N4741 A. BZX/BZW55C-11. При применении ультраяркого светодиода HL2 с прямым падением напряжения более 3,6 В стабилитрон VD2 следует взять на 11... 12 В. Стабилитрон VD3 подойдет ти- пов BZX/DZV55C-2V4, BZX/BZW55C-2V7, 7ГЕ2А-К, 7ГЕЗА-С. При незначительном ухудшении стабильности режимов заряд- ки его можно заменить перемычкой. HL2 можно заменить на белый L-C503QWH1 -15, L-C377PWH1-60G, синий L-7113РВС или на другой аналогичный с яркостью свечения не менее 10ОО мКд при токе 20 мА. Мига- ющий светодиод можно заменить любым из серий L-36B, L-56B, например, L-36BGD (зеленый), L-56BSRD/B (красный). Вместо три- нистора КУ112А мож- но попробовать уста- новить 2У107 с индексами А — Е, P0118DA1AA3, ВТ149В, MCR100-3, MCR100-4. Радиоэлементы R1, R2, C1.VD1, VD2, VD4, лампочка, аккумулято- ры, выключатель SA1 и самодельный толка- тель SA2 размещены на одной из половин корпуса фонарика, которая является как бы основной. Для крепления деталей ис- пользовался клей, приготовленный из ра- створенного в дихлорэтане полистирола. Коротко о конструкции самодельной кнопки SA2. Чтобы не слишком сильно изменять внешний вид фонарика, SA2 сделана как пластмассовый толкатель диаметром около 3 мм, вставленный че- рез просверленное отверстие в пласт- массовом рычажном переключателе штатной кнопки SA1. Металлическая ла- тунная контактная пружина, изначально относящаяся только к SA1, облужена припоем и усилена небольшой витой стальной пружинкой. Дополнительная пружина предотвращает залипание кно- пок в замкнутом положении. Потратив в выходной день с десяток часов и собрав за это время устройство по схеме на рис. 1, вы станете облада- телем фонарика-ночника повышенной надежности и безопасности, что немало- важно, поскольку процесс полной заряд- ки новых дисковых никель-кадмиевых аккумуляторов этим устройством про- должается около 16...20 часов. Это со- ответствует необходимой продолжитель- ности времени заряда аккумуляторов другими подобными, но более простыми устройствами. Андрей Бутов, butov@friends.lll.pp.ru Литература: 1. А. Зиньковский. Элементы и аккуму- ляторы, предназначенные для питания малогабаритной радиоэлектронной аппа- ратуры. В помощь радиолюбителю. Вы- пуск 107.— М.: «Патриот», с. 76—80. 2. Ю. А. Виноградов. Радиолюбителю- конструктору.— М.: ДМК, 1999, с. 220— 227. 3. М. Дорофеев. Вариант зарядного устройства.— Радио, 1993, № 2, с. 12,13. 4. В. Дымонт, Ю. Пашковский. Зарядное устройство.— Радио, 1994, № 5, с. 25. 5. И. Нечаев. Ускоренная зарядка акку- муляторов.— Радио, 1995, № 9, с. 52, 53. 6. Немного о зарядке никель-кадмие- вых аккумуляторов.— Радио, 1996, № 7, с. 48, 49. фирменные магазины ЛМинроника ^радиодетали и инструменты ИНЫЕ КОМПОНЕНТЫ ЭЛЕКТРОННЫЕ к инструмент оНИКе книги по ЭЛЕ Санкт-Петербург пр. Новочеркасский, 51 (812) 444-0488 www.micronika.ru Новосибирск ул. Геодезическая, 2 (3832) 119-045 Киев ул. М. Расковой, 13 (книги по электронике, инструмент) (044) 517-7377 www.micronika.com.ua С. -Петербург: (812) 449-4000 Москва: (095) 748-5001 7 февраля магазину Микроника"! оптовая торговля электронными компонентами ЁЁ/шиетрои электронные компоненты 5
электроника в быту www.dian.ru Терморегулятор для бытового электрического обогревателя С наступлением холодов источниками тепла часто становятся бы- товые электрические обогреватели и тепловентиляторы. Некото- рые модели обогревателей не снабжены терморегулятором, а в моделях, где они есть, срок службы их часто невелик — один-два года. Ведь производители из Юго-Восточной Азии, а как правило, это их изделия, не могут похвастаться качеством выпускаемой продукции. Между тем надежный и несложный терморегулятор можно сделать самостоятельно. Внешний вид устройства показан на фото рис. 1. Для того чтобы не вскрывать и не изменять конструк- цию стандартного промышленного изде- лия — тепловентилятора, терморегуля- тор собран в корпусе адаптера сетевого питания. На рис. 2 приведена схема описывае- мого устройства. Его центральным эле- ментом является микросхема ТС620С производства фирмы Microchip. Фирма MicrochipTechnology Inc. знаме- нита своими PIC-микроконтроллерами. В последнее время рынок электронных компонентов динамично развивается, и Microchip не остается в стороне, увели- чивая номенклатуру выпускаемых изде- лий и выходя на новые для себя облас- ти рынка электронной техники. Одной из таких областей является рынок аналого- вой техники и, в частности, датчики тем- пературы. Микросхема ТС620 является датчиком температуры с возможностью программи- рования контролируемой температуры за Рис. 1 Рис. 2 счет изменения номинала двух внешних резисторов [1—3]. Эта микросхема пред- назначена для использования в устрой- ствах управления температурой. На рис. 3 представлена ее функциональная схема. В одном корпусе интегральной микросхе- мы КМОП объединены: датчик темпера- туры (терморезистор); генератор опорно- го напряжения; два компаратора; триггер. ТС620 позволяет значительно уменьшить число дискретных элементов, необходи- мых для изготовления терморегулятора. При его изготовлении можно также при- менить микросхему ТС621. В отличии от ТС620, к ТС621 подключается внешний терморезистор (у микросхемы использует- ся вывод 1). Эту микросхему удобно ис- пользовать для дистанционного контроля температуры. В представленном устройстве без до- полнительных изменений в принципи- альной схеме и печатной плате можно применить микросхему ТС620ССОА или ТС620СЕОА. Логика работы микросхе- мы ТС620 показана на рис. 4 Микро- Рис. 3 схема имеет два логических выхода (HIGH и LOW), каждый из которых про- граммируется одним внешним резисто- ром (R|ow и Rhigh). Для выходов LOW и HIGH активным является высокий уро- вень. Дополнительный выход CONTROL устанавливается в 1, когда температу ра превышает верхний предел (Thigh) и сбрасывается в 0 когда температура падает ниже нижнего предела (Ttow). Этот выход в данном устройстве исполь- зуется для управления реле К1, которое своими контактами К1.1 включает и от- ключает тепловентилятор или другой на- гревательный прибор. В качестве К1 применяется малогабаритное реле на 12 В Bestar BS-115 12V. Оно позволяет коммутировать нагрузку с током до 10 А, т. е. к данному терморегулятору возмож но подключение бытового обогреватель- ного прибора с потребляемой мощнос- тью до 2 кВт. Стоит отметить, что микросхема ТС621 обеспечивает те же выходные функции за исключением того, что логические уровни на выходах LOW и HIGH инвер- тированы. Сопротивление резисторов програм мирования ТС620/621 рассчитывается следующим образом' R = r’low/high 0.5997Т2-1312, где R|0W/higfl — сопротивление резистора в Ом; Т — величина контролируемой температуры в градусах Кельвина. Например, для температуры 22 °C со противление резистора составит: Riow/higr. = 0 5997(22+273,15)2 ^ = = 110177 Ом. В авторском варианте предлагаемой конструк- ции терморегулятора от- слеживаются две фикси- рованные температуры: минимальная +21,8 °C и максимальная +28 °C Различие значений мак симальной и минималь- ной температуры (гисте- резис) необходим для того, чтобы на пороге срабатывания регулято- ра небольшие колебания температуры не приво- дили к многократным включениям и выключе- ниям нагревательного прибора. Производитель микросхем фирма Microchip рекомендует, чтобы значение максимальной контролируе- мой температуры отличалось от мини- мальной не менее, чем на пять граду- сов. При необходимости для изменения значений контролируемых температур необходимо при изготовлении устрой- ства заменить номиналы резисторов R6 и R7 согласно табл. 1. Для точной под гонки их номинала каждый из них при установке на печатную плату набирает ся из двух последовательно соединен- ных резисторов. Для бытового обогре- вательного прибора резисторы R6 и R7 достаточно подобрать с допуском не более 1 %. Для более оперативного и 6
электроника в быту плавного изменения контро- лируемых температур, но при увеличении габаритов уст- ройства, можно вместо по- стоянных резисторов R6 и R7 установить соединенные пос- ледовательно переменные и постоянные резисторы необ- ходимого номинала. Микросхемы ТС620/ТС621 выпускаются в разных кор- пусах и могут применяться в зависимости от исполне- ния в температурном диапа- зоне от -40 до +125 °C (табл. 2). Напряжение пита- ния микросхемы может быть от 4,5 до 18 В. В обозначении микросхе- мы буква С обозначает, что для выхода CONTROL актив- ным является высокий уро- вень, Н — низкий уровень. В устройстве применен бе- странсформаторный источник питания с емкостным балла- стом. Бестрансформаторный источник предпочтительнее трансформаторного, так как, обладая высоким выходным сопротив- лением, он не боится коротких замыка- ний в низковольтных цепях. При замы- каниях ток в нагрузке ограничивается относительно небольшим значением, не приводящим к возгоранию устройства. / Горит VD9 ВЫХОД "HIGH" I •" I / Реле К1 выкл. ВЫХОД "CONTROL" I ТЕПЛОВЕНТИЛЯТОР включен ВЫКЛЮЧЕН Рис. 4 Таблица 1 т,°с 20 21 21,8 22 23 24 25 26 27 R, кОм 108,6 109,4 110,0 110,2 111,0 111,8 112,6 113,4 114,2 Т, °C 28 29 30 31 32 35 40 45 50 R, кОм 115,0 115,8 116,6 117,5 118,3 120,8 125,0 129,3 133,6 Требования пожарной безопасности для круглосуточно работающего без надзора устройства являются самыми главными. Кроме того, сам по себе конденсатор на- дежнее трансформатора в отношении по- жарной безопасности, особенно транс- форматора самодельного. Да и габариты источника питания с гасящим конденсатором значи- тельно меньше, чем у транс- форматорного источника пита- ния. Емкость балластного конден- сатора вычисляется по форму- ле [4]: С = 3,5I ma /(Uc-0,7U ), где lH тах — максимальный ток нагрузки, мА; Uc — минималь- ное напряжение сети, В; UH — напряжение в нагрузке, В; С — емкость балластного конденса- тора, мкФ. В описываемом устройстве максимальный потребляемый ток нагрузки составляет 50 мА, расчетная емкость балластно- го конденсатора С = 3,5x50/(200-0,7x13) = = 0,92 мкФ. Выбираем ближайшее боль- шее стандартное значение ем- кости, равное 1 мкФ. В качестве балла- стного в описываемой конструкции использован конденсатор К73-17 на на- пряжение 400 В. Резистор R1 обеспечи- вает безопасность пользователя, разря- жая конденсатор С1 при отключении Департамент Микроэлектроники д ОФИЦИАЛЬНЫЙ дистрибьютор фирм: LTZ") www.platan.ru Ш ПЛАТАН ЭЛЕКТРОННЫЕ КОМПОНЕНТЫ ОТ ВЕДУЩИХ ПРОИЗВОДИТЕЛЕЙ HlmEL Микросхемы FPSLIC — AVR+FPGA а» Фирма ATMEL предлагает микросхемы системного уровня интеграции, семейство AT94, класса Field Programmable System Level Integrated Circuit— FPSLIC™. Отличительная особенность — на кристалле размещено аппаратное микропроцессорное ядро AVR с производительностью 1 MIPS/МГц, работающее на частоте 25 МГц, до 36 кб конфигурируемой памяти программ/данных с временем доступа 15 нс и FPGA с возможностью динамического переконфигурирования (архитектура Cache Logic). Микросхемы FPSLIC отличаются низким током потребления и несколькими режимами энергосбережения. Для защиты от несанкционированного копирования выпущены микросхемы семейства Secure FPSLIC: AT94S05AL, AT94S10AL, AT94S40AL. Эти микросхемы содержат ПЗУ конфигурации непосредственно на TRACO" POWER 9 CLARE SRC0OEVICES кристалле. Микросхема AT94K05AL AT94K10AL AT94K40AL tlJCC Efactronf-a BRAUN Communication GmbH Кол-во FPGA-злементов Free RAM™ SRAM, бит SRAM, байт Память данных, байт Корпус, кол-во выводов 5000 2048 бит 4К-16К 4К-16К 84-208 10000 4096 бит 20 К-32 К 4К-16К 84-208 40000 18432 бит 20К-32К 4К-16К 144-208 HANTF5ONIX Honeywell Для работы с микросхемами АТ94 предлагается стартовый набор ATSTK594 с 4-х месячной лицензией ПО System Designer за $120 с НДС. Более подробную информацию можно получить на сайте: http://atmel.argussoft.ru ЦИФРОВЫЕ МУЛЬТИМЕТРЫ ВёёГЕСН расширенные возможности визуального отображения информации; современный стиль управления посредством минимального количества функциональных кнопок; широкий набор индикаторов рабочего состояния прибора и подсказок по эксплуатации; расширенные измерительные функции: тестирование логики, режим триггера и частотомера, встроенный генератор сигналов, показания True RMS; все мультиметры имеют порт RS-232C для подключения к ПК. * International ior Rectifier EPCOS рЕГИСГд 77СочГгО° intersil CZAYOO Kmgbright Infineon V мотояо Honeywell muRaia Офис в Москве: Офис в Свикт-Петербурге: Офис Екатеринбурге: Офис в Новосибирске. 129085. Москве Проспект Мире 95 191023 Санкт-Петербург 620219. Екатеринбург 630090, Новосибирск. Тел (095)217-2487,217-2519.217 2505 наб «ан Грибоедове 36 ул Первомайская, д. 104, оф 206/5 ул Советская, д.65 оф 47а Фас (095)216-6642 Тел факс (812)314-3808,3106234 ТелУфекс: (3432)78-32-42.78-32-41 Тел (3832) 27-11-55. факс 22-40-31 E-mell ж^екв * ru E-mail «pb@BrgusBoft.ru Е-m»! uml@argus»oft ru E глав'nsk@argus3oft.ru Интернет: http://components.argussoft.ru http://atmel.argussoft.ru Щ Москва, ул. Ивана Франко, д. 40, стр. 2 Почта: 121351, Москва, а/я 100 Тел./факс: (095) 73-75-999 E-mail: platan@aha.ru 7
электроника в быту www.dian.ru Таблица 2 Наименование микросхемы Корпус Пределы измерения температуры, °C ТС620Х*СОА 8-Pin SOIC 0...+70 ТС620Х*СРА 8-Pin PDIP 0...+70 ТС620Х*ЕОА 8-Pin SOIC —40. ..+85 ТС620Х*ЕРА 8-Pin PDIP -40...+85 TC620CVOA 8-Pin SOIC -40...+125 ТС621Х*СОА 8-Pin SOIC 0...+70 ТС621Х*СРА 8-Pin PDIP 0...+70 ТС621Х*ЕОА 8-Pin SOIC -40...+85 ТС621Х*ЕРА 8-Pin PDIP -40...+85 Примечание: X* — этот суффикс может быть С или Н. терморегулятора от сети. Стабилитрон VD4 предохраняет элементы устройства от броска напряжения в начальный мо- мент при включении в сеть. Для визуального наблюдения за конт- ролируемой температурой и работой терморегулятора в устройстве примене- ны четыре светодиода VD2, VD8—VD10. Горящий светодиод VD2 показывает, что устройство включено в сеть 220 В. VD10 и VD9 сигнализируют о превышении, со- ответственно, минимальной и макси- мальной температуры. Свечение VD8 указывает на то, что напряжение 220 В подано на нагревательный прибор. Ток через светодиоды составляет около 6 мА. Такого тока более чем достаточ- но для хорошей яркости свечения боль- шинства светодиодов, не говоря уже о светодиодах с повышенной светоотда- чей, например таких, как КИПД36А2-К, L-56BYD, L-1513SRC-F. Были изготовлены два варианта термо- регулятора: в корпусе от тройника для сети 220 В, а также в корпусе от адапте- ра сетевого питания (рис. 5). Достоинство первого варианта состоит в том, что уст- ройство не привязано конструктивно к нагревательному прибору. Вилкой тер- морегулятор включают в розетку сети 220 В, а в его розетку включается вилка тепловентилятора. Во втором варианте устройство жестко привязано к нагрева- тельному прибору. При изготовлении терморегулятора необходимо уделить внимание качеству контактов вилки ХР1 и розетки XS1 (в варианте 1), использу- емых в устройстве. Они должны быть рассчитаны на применение в цепи с то- ком не менее 10 А, иначе из-за повышен- Рис. 5 Э К Б Рис. 7 КОРПУС КТ-26 (ТО-92) КТ3102АМ ВС546 ВС547 1-коллектор 2-база 3-эмиттер 2N2222A 1-эмиттер 2-база 3-коллектор 78L08 1-output 2-общий 3-input ного переходного сопротивления будет происходить разогрев контактов вилки и розетки, что может привести к повыше- нию температуры внутри корпуса термо- регулятора и, следовательно, к ошибкам при контроле температуры в помещении. Поэтому, как видно из рис. 5, терморе- зистор при применении микросхемы ТС621 вынесен наружу и залит эпоксид- ной смолой. Устройство собрано на печатной пла- те из односторонне фольгированного стеклотекстолита (рис. 6). В терморе- гуляторе можно применить транзисто- ры серий КТ815 (VT1) и КТ3102 (VT2— VT4) с любым буквенным индексом. Транзисторы VT2—VT4 заменимы так- же на любые кремневые маломощные п-р-п транзисторы, например, отече- ственные серий КТ345 и КТ503 или им- портные ВС546, ВС547 и 2N2222A. В качестве стабилитрона VD4, кроме ука- занного на схеме BZX85C13, подойдет любой другой имеющий напряжение стабилизации 13...15 В, например, Рис. 6 КОРПУС КТ-27 (ТО-128) КТ815А Д814Г, КС814Д, КС515Г или BZX85C15. Диоды серии КД102 можно заменить ма- логабаритными диодами серий КД103, КД503, КД509 или КД522. Оксидные кон- денсаторы С2 и СЗ — К50-35 или импор- тные аналоги. Конденсатор С4 — К10-176. Резистор R1 можно использовать МЛТ, ОМЛТ, С2-33 и т. п. мощностью 0,25 Вт, резисторы R2—R12 должны иметь мощ- ность 0,125 Вт. В качестве микросхемы DA1 можно применять любые интеграль- ные стабилизаторы с выходным напря- жением от 5 до 9 В. Если в устройстве применяется микросхема ТС620Н, то элементы VT2, R4 и R5 не устанавлива- ют, а точки А и Б, указанные на принци- пиальной схеме, соединяют перемычкой. Светодиоды VD9 и VD10 будут работать в инверсном режиме. Разводка выводов микросхемы DA1 и транзисторов VT1—VT4 показана на рис. 7. Внимание1. Приступая к изготовлению и налаживанию данного устройства сле- дует помнить, что его детали гальваничес- ки связаны с сетью. Поэто- му после включения устройства в сеть не дотра- гивайтесь руками до выво- дов его деталей. При на- ладке устройства нельзя пользоваться измеритель- ными приборами в метал- лических корпусах, так как в результате их корпуса мо- гут соединиться с сетью 220 В, что может привести к поражению электричес- ким током и выходу из строя как терморегулятора, так и измерительных при- боров. В заключение хочется отметить, что микросхемы ТС620/621 найдут приме- нение во многих областях человеческой деятельнос- ти. Это, например, управ- ление вентилятором в ком- пьютере, компрессором в холодильнике, использова- ние в качестве датчика температуры в системе ох- лаждения двигателя и обо- грева салона автомобиля и т. д. Александр Павлов, Pavlov@lmail.loniis.ru Литература: 1. l/Ves Freeman. Solid-State Tempe-rature Sensors. AN3.— Data Sheet, Microchip Technology Inc., 2001. 2. Dual Trip Point Temperature Sen- sors.— Data Sheet, Microchip Technology Inc., 2002. 3. TC620/TC621Data Sheet, Micro-chip Technology Inc., 2002. 4. С. Бирюков. Расчет сетевого источника питания с гасящим кон- денсатором.— Радио, 1997, № 5, с. 48—50. 8
электроника в быту www.dian.ru Индикатор состояния телефонной линии с функцией «Line Hold» В том случае, когда на одной проводной телефонной линии уста- новлено несколько телефонных аппаратов, устранить некоторые неудобства их одновременного использования можно с помощью предлагаемого ниже простого устройства. кция применяется для перехода от одно- го аппарата к другому, реже, чтобы «вык- лючить» телефонного собеседника из разговоров, ведущихся в это время ря- дом с ТА. После кратковременного нажатия на кнопку SB1 светодиод ярко загорится зеленым цветом, после чего нужно по- ложить трубку, и у вас будет около двух минут, чтобы взять трубку на другом те- лефонном аппарате или на том, с кото- Несложная приставка, собранная из доступных и недефицитных дета- лей, позволяет отображать с помо- щью суперяркого двуцветного светодио- да, свободна телефонная линия или за- нята разговором по параллельному теле- фонному аппарату, автоответчиком, мо- демом или факсом. Кроме того, имеется встроенная простая, но очень корректно работающая система «Line Hold» — при- нудительное удержание телефонной ли- нии в случае, если для продолжения бе- седы потребуется перейти к другому те- лефонному аппарату. В дополнение ко всему есть подсветка, работающая при свободной линии, что облегчает поиск те- лефонного аппарата в темноте. Это же позволяет судить и об исправности сиг- нальной питающей телефонной линии связи 60 В. Прежде, чем приступить к подробному описанию этого устройства, следует отме- тить, что различные центральные АТС имеют хоть и близкие, но частично от- личающиеся электрические параметры, например, сопротивление центральной батареи. Поэтому настройка готового ус- тройства может потребовать не только начальных знаний соответствующей спе- цифики, но и некоторого искусства. Это не означает, что повторение и успешная настройка этой телефонной приставки доступна только виртуозам. Без опасе- ний, но с интересом за сборку конструк- ции могут взяться даже старшеклассники общеобразовательных школ. Начнем с того, что для описания прин- ципа работы устройства, схема которо- го приведена на рис. 1, будем использо- вать реальные, а не округленные значения напряжений и токов. Подключаем приставку к телефонной линии. После короткого переходного про- цесса, сопровождаемого ярким зеленым свечением HL1, приставка входит в ра- бочий режим. Напряжение телефонной линии, измеренное цифровым вольтмет- ром, составляет 64,2 В, ток потребления приставки в режиме ожида- ния — 166 мкА. Основная доля этого тока протекает через «зеленый» кристалл HL1, который слабо светит- ся, сигнализируя о том, что приставка подключена к те- лефонной линии и там име- ется напряжение питания около 60 В. Если разговорная трубка не «поднята» ни на одном из параллельно подключен- ных ТА, напряжение в теле- фонной линии максималь- но, высоковольтный р-п-р транзистор VT3 открыт, че- рез левый по схеме кристалл светодио- да протекает ток около 163 мкА, свето- диод HL1, как уже говорилось, светит сла- бым зеленым цветом. Транзисторы VT4, VT5, которые работают на «красный» кри- сталл HL1, закрыты. При поднятии трубки телефонного ап- парата напряжение в телефонной линии падает до 8... 17 В, (в данном случае до 13,3 В, что близко к норме 12 В). Транзис- тор VT3 закрывается, открываются высо- ковольтные VT4, VT5, включенные по схе- ме составного транзистора Дарлингтона. HL1 зажигается красным цветом, что бу- дет сигнализировать о занятии кем-либо телефонной линии. Ток, протекающий че- рез «красный» кристалл HL1 составит 1,2 мА, что при применении хорошего свето- диода более чем достаточно для его яр- кого и заметного свечения. Если беседа закончена и разговорная трубка ТА уложена на аппарат, напряже- ние в линии вновь становится около 60 В, VT3 открывается, VT4, VT5 закрываются, HL1 светит слабым зеленым цветом. Кремниевые биполярные транзисторы VT1, VT2 работают как микромощный стабилитрон в режиме обратимого лавин- ного пробоя с общим напряжением ста- билизации 14...20 В. Цепь VD2R3C2R4 предназначена для небольшой задержки выхода приставки из дежурного режима, что препятствует преждевременному за- жиганию светодиода красным цветом. Этим исключается влияние приставки на импульсный набор номера и поступающий вызывной сигнал переменного напряже- ния. Конденсатор С1, главным образом, препятствует появлению высокочастотной генерации элементов устройства. Если во время телефонного общения выяснится, что вам удобнее продолжить беседу по другому аппарату, можно вос- пользоваться функцией «Line Hold» — режимом временного удержания теле- фонной линии, занятой после того, как вы положили трубку аппарата, с которого велся разговор. Как правило, такая фун- Рис. 1 рого разговор велся ранее. Напряжение в телефонной линии во время ее принудительного удержания составляет 26 В, ток в линии — 18,5 мА. Если вы ошибочно нажали на SB1, но собираетесь продолжать разговор с это- го же телефона, то режим «Line Hold» выключится всего через 8... 10 с, что ис- ключает необходимость усложнения схе- мотехники приставки. Можно поступить иначе — положить трубку на рычаг и снова взять ее. Узел на транзисторах VT7, VT8 пред- ставляет собой несколько необычный аналоговый триггер, время установки которого в активное состояние опреде- ляется времязадающей цепью. Его уни- кальной особенностью является то, что он сбрасывается небольшим резким по- нижением напряжения питания. Включе- ние режима «удержания линии» при по- мощи кнопки SB1 возможно только тогда, когда светодиод горит красным цветом (при открытых VT4, VT5), т. е. если хотя бы на одном телефонном ап- парате снята трубка. Еще одна полезная особенность примененного схемного ре- шения — транзисторы VT7, VT8 закры- ваются почти мгновенно, как только бу- дет снята разговорная трубка любого параллельно телефонного аппарата, что дает возможность продолжать беседу с максимальной громкостью без какой- либо задержки в несколько секунд, как это иногда бывает в телефонных аппа- ратах промышленного или самодельно- го изготовления. В зависимости от типа и характеристик вашей АТС при вклю- ченном режиме «Line Hold» возможен автоматический отбой занятой линии при появлении коротких гудков, что в доли секунды откроет путь для поступ- ления новых звонков от жаждущих об- щения с вами людей. Время действия режима удержания линии зависит от параметров элементов СЗ, R12, R13. Биполярный транзистор VT6 защищает затвор высоковольтного МОП-транзистора VT7 от пробоя. Кон- денсатор С4 препятствует сбою в работе триггера из-за помех. В конструкции можно применить любые малога- баритные резисторы ти- пов С1-4, МЛТ, С2-23. Конденсатор СЗ — поли- зтилентерефталатный типа К73-17, К73-24 на 63 В или малогабаритный импортный. С1 — керами- ческий любого типа на на- пряжение не менее 160 В, остальные конденсаторы — любые керамические, такие как К10-17, К10-7, КМ-5. 10
электроника в быту Выпрямительный диодный мост VD1 можно заменить на КЦ422В, КЦ422Г, DB103, W04M. Все остальные диоды за- меняются на маломощные кремниевые КД510А, 1N4148, 1N4003, RL103 и дру- гие аналогичные. Транзисторы КТ315А заменимы любыми из этой серии или серий КТ312, КТ3102, SS9014 с любым буквенным индексом. Маломощные вы- соковольтные р-п-р транзисторы можно заменить на КТ521А, КТ9115 (А, Б), MPSA-92, MPSA-93, BF492, BF493, 2SA910 (R, О, Q), 2SA1625 (М, L, К). Пе- ред монтажом обязательно уточняйте цоколевку транзисторов выбранного типа! Полевой транзистор с изолиро- ванным затвором и n-каналом обога- щенного типа можно установить любой из серии КП501 или аналогичный импор- тный с низким пороговым открывающим напряжением, например, ZVN2120. Дву- кристальный двуцветный трехвыводной светодиод желательно взять наиболее яркий, например, фирмы Kingbright: L-59SURKSGC, L-59SRSGC/CC, L-59SURKMGKW, L-119SURKMGKWT, L-93WEGC, L-799SURKMGKW. Кнопка SB1 — любая малогабаритная со сво- бодно разомкнутым контактом без фик- сации положения. Различные телефонные станции могут иметь разное максимальное напряжение удержания линии, поэтому для нормаль- ной работы режима «Line Hold» может потребоваться подбор сопротивления ре- зистора R7. Так как транзисторы VT1, VT2 имеют разброс параметров по напря- жению лавинного пробоя, может потребо- ваться подбор сопротивления резистора R5. На одной телефонной линии одновре- менно может работать не более двух-трех таких устройств. Если нужно большее число индикаторов состояния телефон- ной линии, но без функции «Line Hold», то можно обратиться к [1, 2]. Для умень- шения тока покоя устройства можно и даже крайне желательно увеличить со- противление резистора R6 до 1 МОм, но тогда яркость свечения HL1 в дежурном режиме значительно снизится. Устройство может быть установлено как внутри телефонного аппарата, так и рядом с ним, например, в телефонной розетке. Предполагается, что эксплуатируемые те- лефонные аппараты уже имеют в себе элемент защиты от бросков высокого (бо- лее 250 В) напряжения. Если же в вашем пользовании находятся только архаичные «дисковые» ТА, то рекомендуется, парал- лельно С1 установить варистор на 180...250 В, например, FNR-10K181. Андрей Бутов, butov@friends.lll.pp.ru Литература: 1. А. Бутов. Индикаторы для парал- лельного телефона. — Радиомир, 2002, № 7, с. 11. 2. А. Бутов. Светозвуковой информа- тор состояния телефонной линии. — Схемотехника, 2002, № 10, стр. 38, 39. Регулятор тока сварочного аппарата Предлагаемое устройство предназначено для управления свароч- ным аппаратом при помощи мощного симистора ТС132-40, вклю- ченного в первичную обмотку трансформатора. При разработке данного устройства основной акцент делался на высокую надеж- ность и стабильность работы в широком диапазоне как питающих напряжений, так и климатических условий. Схема устройства представлена на рис. 1, его основой является мик- росхема КР1114ЕУ4А, применяе- мая в импульсных источниках питания с широтно-импульсной модуляцией (ШИМ) и двухтактным выходным каскадом. Диа- пазон питающего напряжения микросхе- мы — 7.. .40 В. На элементах R2—R4, VT1, VT2 собран детектор перехода сетевого напряжения через нуль, используемый для синхронизации модулятора микросхемы. Работает он следующим образом. Пере- менное напряжение с понижающего трансформатора после выпрямления ди- одным мостом VD1 через резистор R2 поступает на базу транзистора VT1, вслед- ствие чего этот транзистор закрывается только в моменты перехода сетевого на- пряжения через нуль. В моменты, когда транзистор VT1 закрывается, импульсы высокого уровня с резистора R4 поступа- ют на базу транзистора VT2, открывая его. Синхронизирующие импульсы отрица- тельной полярности с коллектора VT2 по- уэ катод Рис. 1 ступают на конденсатор СЗ внутреннего генератора пилообразного напряжения микросхемы, разряжая его в конце каж- дого полупериода сетевого напряжения. Микросхема работает в режиме двухтакт- ного выхода, когда поочередно открыва- ются внутренние выходные транзисторы микросхемы, включенные параллельно. С эмиттеров транзисторов ШИМ сигнал по- ступает на RC-цепочку R7C5R8R9 для формирования коротких (около 100 мкс) импульсов, открывающих транзистор VT3. Импульсы с его коллектора через транс- форматор Т1 используются для непосред- ственного управления симистором. Напря- жение регулировки с резистора R1 через помехоподавляющую цепочку R5C2 посту- пает на один из входов управления микро- схемы. Если в устройстве использовать им- пульсный трансформатор, имеющий в своем составе три одинаковые обмотки, то при небольшом изменении схемы (рис. 2) возможно его применение для управления сварочным аппаратом с вы- ходным тринисторным мостом. Резистор R10 на рис. 1 и резисторы R10, R12 на рис. 2 используются в каче- стве предохранителей, защищающих им- пульсный трансформатор при неверном включении тиристоров. Конденсаторы С1, С2, С4, С5 примени- мы любого типа, СЗ — импортный пле- ночный. Диоды можно использовать лю- бые, рассчитанные на импульсный ток не менее 300 мА. При самостоятельном из- готовлении трансформатора Т1 потребу- ется кольцо типоразмера К16x10x4 из феррита 2000НМ, обмоточный провод ди- аметром 0,12 мм и фторопластовая лен- та толщиной 50 мкм. Фторопластовая лента нарезается на ленты шириной 6 мм и длиной около 200 мм, на конец ленты приклеивается небольшой кусочек лип- кой ленты для начального закрепления на Рис. 2 ферритовом кольце и наматывается два слоя, конец ленты также необходимо зак- реплять кусочком скотча. Далее наматы- ваются обмотки, состоящие из 100 вит- ков указанного провода каждая, все об- мотки необходимо изолировать друг от друга двумя слоями фторопластовой ленты. После намотки всех обмоток не- обходимо заизолировать трансформа- тор, для этого используется коробочка от рыболовных крючков внутренним ди- аметром 25 мм и высотой 12 мм, куда помещается трансформатор и заливает- ся эпоксидной смолой. Илья Андриевский, invertor@yandex.ru 13
электроника в быту www.dian.ru Прибор для регистрации частоты дыхания Важным критерием оценки состояния сердечно-сосудистой сис- темы (ССС) и организма в целом является взаимосвязь между электрической активностью сердца и легочным дыханием. Наи- более простой и информативный метод выявления этой взаимо- связи заключается в одновременной регистрации таких парамет- ров, как частота сердечных сокращений (ЧСС) и частота дыха- ния (ЧД). Вопросу измерения ЧСС в радиолюбительской литера- туре уже уделялось некоторое внимание (см. например [1, 2]). В ноябрьском номере журнала «Схемотехника» за прошлый год была опубликована схема компьютерного кардиографа, который при наличии соответствующего программного обеспечения или дополнительного измерительного блока может решать указан- ную задачу. Существует также большое количество готовых и относительно недорогих промышленных устройств для измере- ния ЧСС. Сложнее обстоит дело с регистрацией и измерением ЧД. В любительской литературе материала по этой тематике найти практически невозможно, промышленные же приборы для измерения ЧД обычно входят только в состав дорогостоящего медицинского оборудования. В данной статье рассматривается относительно простое устройство, которое может использовать- ся для регистрации частоты дыхания у человека и животных. Заложенный здесь принцип заклю чается в анализе разницы темпе- ратур между вдыхаемым и выды- хаемым воздухом Это накладывает не- которые ограничения на область приме нения прибора, так как для правильно- го его функционирования необходимо, чтобы температура вдыхаемого возду ха была меньше температуры выдыха- емого как минимум на 1 °C. Однако, учитывая, что температура воздуха ред ко поднимается до столь высокого зна чения, данный фактор, как правило, не приводит к каким-либо ограничениям в использовании устройства. Обычно при регистрации ЧД у человека надежное функционирование прибора сохраняет- ся при температуре окружающего воз духа до 36 °C на рис. 1 изображена схема прибо- ра, способного при указанных услови- ях регистрировать ЧД от двух раз в секунду и ниже. В качестве датчика здесь используется полупроводниковый диод VD1 типа КД522Б, имеющий достаточно малую темпера- турную инерционность. При использовании малогабарит- ной диодной сборки КД907Б верхний предел частоты мо- жет быть повышен до 10 Гц. Это позволит использовать прибор для регистрации ЧД не только у человека, но и у некоторых «быстродыша- щих» животных. Как извест- но, напряжение на переходе полупроводникового диода в прямом включении практи- чески линейно уменьшается при увеличении температу- ры. Изменение составляет около -0,3 % на 1 °C, ины- ми словами, диод имеет отрицательный температур- ный коэффициент напряже- ния (ТКН) 2 мВ на 1 °C. Воз- можно также использование в качестве термодатчика малоинерци онных термопар, однако построение входного узла при этом придется не- сколько изменить (см. например [3]). Напряжение, снимаемое с термодатчи- ка VD1, усиливается узлом на ОУ DA1.1 и элементах R1—R6, С1 и с вывода 8 ука- занного ОУ через диоды VD2 VD3 посту пает на входы ОУ DA1.2, DA1.3, работаю- щих в режиме компараторов. Узел на ОУ DA1.2 служит для выделения колебаний напряжения при вдохе, а узел на ОУ DA1.3— на выдохе. Рассмотрение прин- ципа работы устройства удобно начать с описания узла на ОУ DA1.3. В начальном состоянии, когда напряжение на выводе 8 ОУ DA1.1 не изменяется, компаратор DA1 3 находится в единичном состоянии, так как напряжение на его прямом входе (вывод 5) несколько превышает напряже- ние на инверсном (вывод 6). Как только напряжение на выходе ОУ DA1 1 начина- ет увеличиваться, напряжение на инверс- ном выводе ОУ DA1.3 становится больше Рис. 1 напряжения на его прямом выводе, и ком- паратор переключается в противополож ное состояние. Скорость нарастания на- пряжения, на которую реагирует компаратор DA1.3, определяется сопро- тивлением резистора R10 и емкостью конденсатора С2 — чем больше емкость, тем выше чувствительность. Узел на ОУ DA1.2 работает аналогично, но реагиру- ет на начало уменьшения напряжения, по- ступающего с вывода 8 ОУ DA1.1. В на- чальном состоянии компаратор DA1.2 также находится в единичном состоянии. При уменьшении напряжения, поступаю- щего на его прямой вход (вывод 3), он переключается в противоположное состо- яние, так как напряжение на его инверс ном выводе (вывод 2) благодаря конден- сатору СЗ снижается медленнее и становится при этом больше напряжения на прямом входе. Необходимость допол- нительного начального смещения напря- жения на инверсном выводе ОУ DA1.2 потребовала введения резистора R9 и, соответственно, увеличения емкости кон- денсатора СЗ. Цепочки VD4R12C5, VD5R13C6 осуществляют защиту входов триггера DD1.1 от отрицательного напря- жения с выходов ОУ DA1.2 и DA1.3 и фильтрацию помех. Светодиоды HL1 и HL2, подключенные к выходам триггера DD1.1, служат для индикации состояний начала вдоха и выхода соответственно. С вывода 5 триггера DD1.1 сигнал поступа ет на вход одновибратора, выполненного на триггере DD1.2, который формирует короткий импульс по положительному пе- репаду на своем входе С (вывод 11). Све- тодиод HL3 индицирует этот импульс, с прямого выхода DD1.2 (вывод 9) снима- ются положительные импульсы, соответ- ствующие частоте дыхания. В устройстве могут быть использованы постоянные резисторы МЛТ, подстроеч- ные СП5-2. Конденсаторы С7—С11 — ок сидные К50-35 или их малогабаритные зарубежные аналоги, остальные — кера- мические. Учитывая, что при определен- ных условиях проводники, соединяющие датчик температуры с прибором, могут приити в соприкосновение с организмом человека или животных, во избежание по- ражения их электрическим током при из-
электроника в быту готовлении трансформатора следует уде- лить серьезное внимание качеству изоля- ции. Можно рекомендовать использовать готовые трансформаторы повышенной электробезопасности серии ТП. Напряже- ние на вторичной обмотке трансформато- ра должно быть 2x12 В при токе до 0,5 А, диодный мост КЦ407А заменим на любой аналогичный. В качестве датчика темпе- ратуры можно использовать практически любой малогабаритный кремниевый диод. Как уже отмечалось выше, если предпо- лагается использование прибора для ре- гистрации частоты дыхания более 2 Гц, желательно использовать малогабаритную сборку КД907Б или малоинерционные тер- мопары. Датчик температуры необходимо расположить таким образом, чтобы он на- ходился в потоке проходящего воздуха лучше всего для этой цели использовать специальную дыхательную маску. В про- стейшем случае датчик можно закрепить при помощи лейкопластыря на расстоя- нии нескольких миллиметров от носового прохода. Налаживание прибора начинают с ус- тановки требуемого коэффициента уси- ления ОУ DA1.1 подстроечным резисто- ром R4, колебание напряжения на выходе этого ОУ при дыхании должно быть в пределах 1,2... 1,6 В. Калибровку усилителя при минимальной температу- ре производят подстроечным резисто- ром R2. В случае необходимости можно также изменить соотношение чувстви- тельности прибора между вдохом и вы- дохом подбором резистора R9, при этом изменяют чувствительность прибора ко вдоху. При частоте дыхания выше двух раз в секунду потребуется также умень- шить емкость конденсатора С7 до 1 мкФ. Вячеслав Тушнов, profesor@leg.lg.ua Литература: 1. В. Ефремов. Измеритель частоты пульса.— Радио, 1986, № 4, с. 41—44. 2. В. Ефремов. Малогабаритный био- пульсомер.— Радио, 1994, № 4, с. 30—32. 3. В. Е. Тушнов. Термостабилизатор с широким интервалом.— Радио, 2002, № 2, с. 31, 32. Эксперименты с сотовым телефоном Сотовый телефон, чрезвычайно удобная вещь, стал постоянным спутником жизни многих их владельцев. Однако для большинства пользователей он остается маленьким «черным ящиком». Кое-что о работе сотового телефона можно узнать, воспользовавшись со- ветами, приведенными в этой статье. ление линии связи с телефоном. Как показал опыт работы с LX677, активное сопротивление этой линии не должно превышать 1 Ом, а внесенная индуктив- ность — 30 мкГн. Лучше использовать в этом качестве коаксиальный кабель. Го- дится, например, РК50-2-16 длиной 3...3,5 м. Другой вариант — скрученная пара проводов МГШВ-0,5 примерно та- кой же длины. Знергопотребление этого почти недо- ступного нам “черного ящика”, его особенности в различных режимах работы — то немногое, о чем радиолюбитель может судить сам. Нужно лишь включить свой телефон так, как показано на рис. 1. В качестве приме- ра приведены осциллог- раммы для мобильного те- лефона LX677 (Ericsson) в двух режимах: в ожидании звонка (рис. 2, а) и в про- цессе передачи в эфир из- влекаемого из памяти те- лефонного номера або- нента (рис. 2, б). Какие- либо эксперименты со Рис. 1 Рис. 2 своим телефоном следует начинать с та- кого исследования режимов его работы. Питание сотового телефона от стационарного аккумулятора Одна из постоянных забот владельца мобильного телефона — аккумулятор. Его энергозапаса без подзарядки хвата- ет обычно лишь на два-три дня работы. Но миниатюрность аккумулятора — пер- вопричина малой его энергоемкости — совсем не обязательна, если сотовый телефон используется в качестве стаци- онарного, например, для связи дачи с городом. Однако, как это видно из приведенных осциллограмм, телефон предъявляет до- вольно жесткие требования к внутренне- му сопротивлению источника питания. Даже в режиме дежурного приема отдель- ные броски потребляемого тока, превыша- ющие среднее его значение в 30...40 раз, могут повести к совершенно недопустимо- му снижению напряжения питания. В “дачном” варианте питания мобиль- ного телефона с напряжением аккумуля- торной батареи 4,8 В (того же LX677) его штатную батарею можно заменить стаци- онарной, составленной, например, из че- тырех банок НКН-45 (никель-кадмиевый аккумулятор емкостью 45 А ч). Разовой зарядки аккумулятора столь большой емкости хватит, скорее всего, на весь дачный сезон. Существенно и то, что такой аккумулятор будет не только много дешевле штатно- го, но из-за меньшего числа пе- резарядок дольше прослужит. Хотя внутреннее сопротивле- ние большого аккумулятора само по себе очень невелико, к нему добавляется сопротив- Питание “дачного” сотового телефона от сетевого блока Принципиальная схема одного из воз- можных вариантов цепи питания сотово- го телефона от сети приведена на рис. 3. На выходе диодного моста (на конденса- торе С1), подключенного к обмотке пони- жающего трансформатора с напряжением 6,3 В, формируется постоянное напряже- ние, которое с учетом всех потерь (отсеч- ки, активные потери, пульсации) обычно бывает не ниже +6,5 В. Этого достаточно для питания стабилизатора, напряжение на выходе которого не превышает +5 В (обычно в сотовых телефонах напряжение питания составляет 3; 3,6; 4,2; 4,8; 5 В при пиковом токе не более 1...1,5 А). Для обеспечения высокой надежнос- ти стабилизатора транзистор VT1 уста- новлен с большими запасами как по току коллектора, так и по напряжению коллектор-эмиттер. Достаточно низкое выходное сопротивление стабилизатора (RBux - 0’25 Ом) обеспечивается высо- ким коэффициентом передачи тока базы транзистора VT2 и “низкоомным” режи- мом работы стабилитрона VD2. Хотя напряжение на выходе выпрями- теля, питающего стабилизатор, невелико и даже прямое его попадание на сотовый телефон (например, в случае пробоя Рис. 3 15
электроника в быту www.dian.ru транзистора VT1) для многих из них не представляет большой опасности, в блок можно ввести защитный транзистор VT3 (показан штриховыми линиями), работа- ющий в режиме мощного стабилитрона. Выставленный в режим подпороговой отсечки (UCT-UVD3< 0,5...0,6 В, где UVD3— напряжение на стабилитроне VD3, а 0,5...0,6 В — напряжение отсечки транзи- стора VT3) он открывается при UCT > UVD3 + 0,6 В и удерживает UCT на этом уровне. Если в этом режиме окажется превышен ток плавкого предохранителя FU1, он бу- дет сожжен без каких-либо последствий для сотового телефона. В выборе стаби- литрона VD3 может быть полезна табл. 1. Сетевой блок связывают с мобильным аппаратом двухпроводной линией мало- го импеданса. Если мобильный аппарат не слишком мал (его миниатюрность на даче и не нужна), то стабилизатор мож- но установить на место снятого акку- мулятора. Но трансформатор, диодный мост и конденсатор фильтра С1 оста- ются, конечно, в стороне. Трансформатор — накальный TH. Суммарный ток его обмоток на 6,3 В (в трансформаторах этого типа обмот- ки можно включать параллельно) дол- жен быть не меньше 1,5 А [1]. Вполне годятся, например, ТН36 или ТН46. Падение напряжения на диодах мо- ста 1,0...1,2 В может быть уменьшено пе- реходом на мост, составленный из гер- маниевых диодов, например Д302 или ДЗОЗ, до 0,5 0,6 В. Указанная здесь емкость конденсато- ра С1 минимальна. С таким конденсато- ром амплитуда пульсаций частотой 100 Гц на выходе выпрямителя при токе 1Н = 1 А будет менее, чем 0,01- 1Н(А)/С1(Ф) = 0,7 В что в балансе общих потерь напряжения не так мало. Таблица 1 Тип стабилитрона Uст, В (UcTmin—UcTmax) 1ст, мА (lcTmin-«-lcTmax) ткн ю2, %/сс КС107А 0,7(0,63..0,77) 10(1...100) -34 КС113А 1,3(1,17..1,43) 1О(1..1ОО) -42 КС119А 1,9(1,72-2,1) 10(1-100) -42 КС133А 3,3(2,97..3,63) 10(3...81) -11 КС407А 3,3(3,1...3,5) 10(1-100) -8 КС139А 3,9(3,51...4,29) 10(3-70) -10 КС139Г 3,9(3,5...4,3) 5(1 ...32) — КС407Б 3,9(3,7...4,1) 20(1..83) -7 КС147Г 4,7(4,2...5,2) 5(1-26,5) -7 КС147А 4,7(4,23-5,17) 10(3-58) -9...1 «-хемотехника гч= 4 апрель 2UUJ Блок питания со стабилизатором такой конфигурации сначала включают в сеть, а затем к нему подключают нагрузку (под нагрузкой он может не включиться). Но поскольку при случайном отключении сети (на дачах это, к сожалению, не ред- кость), повторного включения потребует и сам телефон, это не так важно. Контроль тока потребления сотового аппарата — средство, способное прояснить действительные его функции Приобретая сотовый телефон, мы ос- тается в полном неведении относитель- но того, что он делает на самом деле. Все ли ограничивается тем, о чем рас- сказывает нам реклама? Нет ли в сото- вой телефонии каких-то особенностей, о которых она умалчивает?.. Мобильный телефон, вступая по требо- ванию своего владельца в связь с нуж- ным ему абонентом общается с ближай- шим пунктом сотовой связи и выполняет по его требованию ряд обеспечивающих зту связь протокольных операций. Но только ли это? Не живет ли купленный нами аппарат своей собственной жиз- нью? Для того, чтобы это выяснить, изго- товим устройство, принципиальная схема которого приведена на рис. 4. Все его элементы можно разместить на печатной плате 50x25 мм. Резисторы: R1 — С5-17В, R4 и R5 — СПЗ-386, ос- тальные — МЛТ-0,125. Конденсаторы С1 и С2 — КМ-6 или К10-176. На этой же плате устанавливают и миниатюрный пьезоизлучатель со встроенным генера- тором типа НСМ1206Х (12x10 мм). Правильно изготовленное устройство наладки не требует, но его настройка может быть выполнена в двух вариантах. Для обнаружения включения сото- вого телефона без ведома владельца устройство должно отреагировать на увеличение 1ВЫКЛ — тока в цепи пита- ния выключенного мобильника (который, заметим, не равен нулю; в LX677, напри- мер, 1ВЫКЛ = 0,2 мА) — до тока дежурно- го режима. Выбором конденсатора С1 ус- тановим постоянную времени входной цепи операционного уси- лителя (ОУ) близкой к * = ядиаг C1 s 20 мс- где RflMArs 80 103— сопротивле- ние моста, образованного рези- сторами R1—R7, со стороны его диагонали, подключенной ко вхо- дам 2 и 3 DA1 “Смазав" таким образом в интервале 1,3 с им- пульс длительностью 1 мс и ам плитудой 0,35 А (см. рис. 2, а), оценим падение напряжения на резисто- ре R1 от тока 101 — импульса силой 0,1 А и длительностью 100 мс (> 3t): UR1 01 = R1l01 = 0,1 0,1 =10 мВ- Выставим подстроечными резисторами R4 (грубо) и R5 (точно) режим: ^2 DAI > (U3 DA1 + ^ев/^Ус)’ где U2 DA1 и U3 DA1 — напряжения на ин- версном и прямом входах DA1; UGB1 = +3 5 В — напряжение питания телефо на Кус = 5104— коэффициент усиления операционного усилителя DA1. Посколь- ку диапазон напряжений, соответствую- щих линейному режиму работы ОУ, очень мал (UGB1/Kyc < 0,1 мВ), установим U2 DA1 a U3 DA1 +2,5 мВ. В этом режиме на выходе ОУ (выв. 6 DA1) формирует- ся выходное напряжение, близкое к нулю. Это значит, что транзистор VT1 бу- дет закрыт (и без резистора R10), а на- грузка ВА1 отключена. Очевидно, что при выключенном теле- фоне падение напряжения на резисторе R1 будет много меньше выставленного смещения и акустический сигнал не про- звучит. Но появление в режиме дежурного приема в цепи питания импульсов 101 амплитудой 0,1 А приведет к периоди- ческому переключению ОУ с формиро- ванием “пачки” тональных сигналов в каждом интервале 1,3 с. Для обнаружения выхода сотового телефона в режим передачи выставим U3 DA1 так, чтобы устройство “молчало” и в режиме дежурного приема Устано- вим, например, U2 DA1 = U3 DA1 + 7 мВ. Легко видеть (см. рис 2, б), что в режиме передачи усредненный ток 1ПЕР ср = 0,25 А, и падение напряже- ния на резисторе R1 составит UR1 пер= 'пер ср = 0’25 0,1 = 25 мВ. Соответственно, U2 DA1 увеличится на 12,5 мВ (0,5 UR1 ПЕР), а этого дос- таточно для переключения ОУ в дру- гое его крайнее состояние ^3 DA1 = В2 DA1 + 5.5 мВ, которому на выходе К1407УД2 соответ- ствует напряжение U6 = UGB1 - 0,7 В. Таким образом, даже при UGB1 = +3 В возникающий в базе транзистора VT1 ток, равный (Ь6 МАХ -0,6 В) / R9 = (3 - 0,6) / 104 = = 0,24 мА, будет достаточен для введения его в режим насыщения (И21ЭУТ1 = 400 .1000; ток, потребляемый НСМ1206Х, не пре- вышает 19 мА при UGB1 = +5 В и 9 мА при UGB1 = +3 В), которому соответству- ет падение напряжения на переходе эмиттер-коллектор VT1, не превышаю- щее 0,2...0 3 В В схему прибора могут быть внесены изменения. Другим может быть операци- онный усилитель (еще лучше — компа- ратор), к которому предъявляется, по- существу, лишь одно требование: он должен нормально функционировать при питании от однополярного источника на- пряжением UGB1. Если окажется, что в выбранном ОУ минимальное напряжение на выходе больше, чем 0,5 В, то в базо- вую цепь транзистора VT1 потребуется ввести резистор R10. Несколько видоизменив схему вклю- чения резистора R1, устройство мож- но питать от постороннего источника с более высоким напряжением. Это по- зволит использовать практически лю- бой ОУ. Другой может быть и схема формиро- вания звукового сигнала. Акустическим излучателем может быть обычная дина- мическая головка. Можно изменить постоянную времени входной цепи ОУ. Так, при t = 1 с (ем- кость керамического конденсатора С1 увеличивают до 4,7 мкФ) максимальный ток дежурного режима уменьшится до 10...12 мА и отличить его от тока переда- 16
чи (0,25 А) будет уж совсем просто Прав да, такое медленно реагирующее устрой- ство может не заметить выхода телефо- на в эфир на короткое (1...1.5 с) время Но почему все это должно нас волно- вать? Самостоятельное включение мобиль- ника означает, что он вступил в активное общение с ближайшими пунктами сото- вой связи и, как минимум, обозначил свое местоположение. А если аппарат тайно, сам по себе переходит в режим переда- чи, то это может означать и многое дру- гое. Например, трансляцию нетелефон- ных разговоров своего владельца... Технически и организационно все это вполне возможно. Конечно, можно принять заверения фирм (если они их делают), что от многих подобных возможностей они отказываются по морально-этическим со- ображениям и действующими протокола- ми такого рода функции мобильника не поддержаны. Можно поверить, но можно и проверить... Велика ли мощность передатчика мобильного телефона? Она, конечно, напрямую связана с его энергопотреблением в режиме передачи. Мощность передатчика в современных мобильных телефонах не остается посто- янной. Представленная в 7, 11 или 14 по- зициях (их число зависит от модели теле- фона) она обычно колеблется от 0,02 до 2 Вт [2]. Но есть и 8-ваттные аппараты, на- пример, СОМ 607/608 (ВОСН), НР-2711 и HP-2731 (DAN-CALL), SGH-100 (Samsung). Однако из всего спектра возможных мощ- ностей мобильного телефона протокол обязан ограничиться наименьшей, лишь достаточной для надежной связи. Так в этой технике минимизируют вред, наноси- мый человеку СВЧ излучением Велик ли этот вред — покажет время. Но пока оно будет “показывать”, поставщики сотовой аппаратуры с нашей медициной уже о чем-то договорились... Какие именно уров- ни СВЧ излучений (в цифрах, разумеет- ся) сегодня считаются у нас (и не у нас) допустимыми? Соответствуют ли им про- даваемые у нас сотовые телефоны? Не торгуют ли у нас телефонами, которые уже нельзя продать в странах, принявших более жесткие нормативы по СВЧ облу- чению? Какие из продающихся у нас те- лефонов безопаснее? Что опаснее: сото- вый телефон или СВЧ печь? Всего этого реклама сотовых телефо- нов не касается, акцентируя внимание покупателя на “крутизне” продаваемой модели и изящности ее форм. В просве- щении будущего пользователя не особен- но заинтересован и Минздрав (вспомним недавние его тайные “нормы” на ионизи- рующую радиацию, в 30... 100 раз превы- шавшие сегодняшние), вернее рассчиты- вать на собственные исследования в этой области и обмен опытом. Это, как мини- мум, контроль. ____________электроника в быту J Но минимизируется пи мощность СВЧ излучения мобильника хотя бы по призна- ку достаточности? Не выводит ли ее про- токол связи на более удобный для себя уровень? (В зависимости от качества ком- муникации число ошибочных битов меня- ется от 0,2 до 25,6 %; см. RQ в сервисном меню.) Ведь интересы фирмы и пользо- вателя совпадают здесь лишь частично. К тому же, повреждениям мозга и слиш- ком быстро разряжающемуся аккумулято- ру можно найти и другие объяснения... Ток, потребляемый мобильником в режиме передачи, можно вычислить, приняв КПД передатчика в пределах 0,3...0,5. Контролируя этот ток и экрани- руя аппарат (можно, например, помес- тить его в металлическую кастрюлю, частично прикрытую крышкой), нетруд- но установить, на самом ли деле прото- кол связи держит излучаемую мобиль- ником мощность на минимуме. Юрий Виноградов, editor@dian.ru Литература: 1 Н Н. Акимов и др Резисторы, конден- саторы, трансформаторы, дроссели, комму- тационные устройства РЭА. Справочник.— Мн.. “Беларусь", 1994, с. 336—343. 2 . М. В. Адаменко. Секреты сотовых телефонов.— М.: ДМК Пресс, 2002; СО- ЛОН-Пресс, 2002. обмен опытом Изготовление печатных плат с помощью лазерного принтера Использование лазерного принтера для изготовления печатных плат давно распространено. Конечно, фотоспособ дает несравненно луч- шие результаты, однако он не всем доступен. Получить хорошие ре- зультаты с помощью лазерного принтера не так-то просто. Дело в том, что адгезия тонера к меди недостаточно велика. Здесь описана технология, в значительной мере устраняющая этот недостаток. Рисунок печатной платы, получен- ный с помощью любого редактора с хорошим разрешением, отпеча- тывают на кальке. Чтобы калька не сми- налась при печати, ее подклеивают по верхнему краю к листу бумаги. Можно использовать клеющий карандаш. Важ- но проследить, чтобы слой клея оставал- ся между листами и не выступал нару- жу, иначе при нагреве, происходящем во время печати, клей может прилипнуть к валикам принтера, что может привести к нежелательным последствиям При пе- чати необходимо задать способ печати с наибольшей плотностью тонера. Полученный отпечаток обрезают до размера несколько большего, чем ри- сунок, и подклеивают по свободному краю с помощью скотча к листу плот- ной бумаги или картона тонером нару жу. Затем этим картоном накрывают плоский сосуд, на дно которого налито немного ацетона, с целью обработать тонер его парами. Желательно этот сосуд слегка подогреть для увеличения концентрации паров. Под воздействи- ем паров ацетона тонер уплотняется. Если до воздействия он был пористым и на просвет серым, то после воздей- ствия в течение нескольких минут он становится черным и непроницаемым не только для света, но и для травя- щего раствора. Далее отпечаток отделяют от бумаги или картона и его поверхность протира- ют один или два раза тампоном, смочен- ным разведенным в спирте клеем БФ 2, с целью заполнить оставшиеся поры в тонере. После просушки поверхность отпечат- ка и платы протирают тампоном, смо- ченным раствором канифоли в спирте. После подсушки в течение 30...60 с, плату накладывают на отпечаток и гла- дящими с давлением движениями паль- цев от цента к краю отпечаток склеива- ют с платой. Далее в течение нескольких часов производят сушку. Процесс суш- ки можно значительно ускорить, если поместить плату в теплое, но не горя- чее место. После просушивания под струей хо- лодной воды слой кальки стирают пальцами. Полученный на плате отпе- чаток требует дальнейшей обработки. Удаляют воду. Ватным тампоном, смо- ченным в спирте, очень легкими дви- жениями смывают канифоль и клей БФ-2 с поверхности, не покрытой то- нером. Поверхность промокают туалет- ной бумагой. Процесс этот должен идти максимально быстро, чтобы спирт не успел растворить канифоль и клей под слоем тонера На полученном на поверхности плате рисунке обычно обнаруживаются де- фекты, их общая площадь обычно не превышает 1 %. Источником дефектов являются соринки и пузырьки воздуха, не удаленные в процессе склейки. Де- фекты легко устраняются, для чего мож- но использовать дорисовку битумным лаком с помощью пера. Однако, чтобы лак не расплывался, плату перед дори- совкой следует помыть с мылом. Далее плату можно травить. Чтобы травление происходило равномерно и быстро, нерабочую поверхность платы и ее торцы протирают любым водоотталки- вающим средством, например, бесцвет- ным сапожным кремом или парафином Плату опускают на поверхность травяще- го раствора тонером вниз, и она должна плавать. Время от времени плату следу- ет поднимать и проверять, не остались ли пузыри воздуха. Процесс травления мож- но значительно ускорить, если травление производить с подогревом. Гвнри Петин, sashapet @ mail, ru. 17
измерительная техника www.dian.ru Приставка к цифровому мультиметру для измерения эффективного напряжения В предыдущем номере журнала описана приставка для мульти- метра М-832, позволяющая измерять емкость и индуктивность. Ав- тор предлагает рассмотреть еще одну приставку. Приставка основана на микросхеме преобразователя переменного на- пряжения в его эффективное зна- чение AD736JN, описываемой в справоч- ном листке этого номера. Также, как и приставка для измерения емкости и ин- дуктивности, она питается от батареи мультиметра и требует его доработки. Приставка имеет следующие диапа- зоны измерений: 200 мВ, 2, 20, 200 и 2000 В. Погрешность измерений поряд- ка ±(1 % + 3 единицы младшего разря- да), частотный диапазон не уже 50 Гц... 10 кГц при измерении напряже- ния, большего 0,1 предела измерений. Входное сопротивление приставки — 11 МОм, емкость— 120 пФ. Приставка потребляет ток менее 0,5 мА и сохра няет свою точность при снижении на пряжения батареи питания до 7 В. Схема приставки приведена на рис. 1. Приставку подключают штырями ХЗ—Х6 к четырем гнездам мультиметра. Общий провод соединяется с гнездом «СОМ», при этом на гнезде «Е PNP» мультимет- ра будет напряжение +3 В относительно гнезда «СОМ», а на «С NPN» — напря- жение -6 В относительно того же гнезда и общего провода. Микросхема AD736JN приставки питается от батареи мульти- метра непосредственно, т. е. от двуполяр- C1 SA1 4'0,2" "2" "20" "200" "2000" 3' РИС. 1 VD1_. КД522БА вающие точность деления входного сиг- нала. Значение емкостей этих конден- саторов рассчитать затруднительно, так как неизвестна точная емкость монта- жа. Поэтому конденсаторы нижних плеч делителя С7 и С8 рассчитаны на неко- торую усредненную емкость монтажа, поскольку ее разброс мало влияет на точность деления при относительно большой емкости конденсатора С8. Вер- хние плечи делителя снабжены подстро- ечными конденсаторами для точной его настройки. Построение делителя в две ступени (С2, С4 — первая ступень, С5, С7, С8 — вторая) позволяет в 10 раз уменьшить емкости нижних плеч. Отно- сительно большая емкость С2 верхнего плеча делителя позволяет точно под- строить это плечо конденсатором СЗ и уменьшить погрешность делителя из-за изменения емкости монтажа соедини- тельных проводников. Нижнее низкоом- ное плечо делителя выполнено без кон- денсаторов. Микросхема AD736JN используется в режиме подачи сигнала по постоянному току, поэтому вместо конденсатора Сс установлена перемычка. Емкости кон- денсаторов CF и CAV выбраны исходя из обеспечения необходимой точности из- мерений на частоте 50 Гц Резистор R8 2®. +зв "Е PNP" А747к ' J ^28к 6 VD2.. КД522Б ‘ ‘ Вых. Общ. С9 100 мк 10В _____Вых. "VQfTiA" I Общ. 1 "СОМ" —QBx 8 -Г22мк 16 В T*CJ? “*“100мк 10 В Х6 -6 в ------* "С NPN" для этих штырей выполнены контактные площадки, изолированные от общего про- вода вытравленным кольцом. Места пай- ки выводов элементов к фольге общего провода помечены на рис. 2 крестиками. Переключатель SA1 (ПР2 5П2Н) уста- новлен на кронштейне, изготовленном из латуни толщиной 1 мм. Переключатель снабжен ручкой-барабаном, на гранях ко- торой выгравированы пределы измерений. Для подключения приставки к мульти- метру на плате гайками закреплены два разрезных штыря диаметром 4 мм от се- тевой вилки, один из штырей использо- ван еще и для крепления кронштейна переключателя. В качестве ХЗ и Х6 впа- яны латунные штырьки диаметром 0,8 мм, а для подачи входного сигнала — гнезда Х1 и Х2 от разъемов 2РМ под шты- ри диаметром 1 мм. Более целесообраз- но было бы установить любой коаксиаль- ный разъем, например, разъем для подключения сетевых адаптеров DJK-02B на плату и DJK 11В на экранированный провод. Плата прикрыта коробчатым ла- тунным кожухом, подпаянным к общему проводу платы по углам. Фотография при- ставки без кожуха приведена на первой странице обложки Резисторы R1—R6 следует подобрать с погрешностью не хуже 0,2 %. В опи- сываемой конструкции в основном ис- пользованы резисторы типа С2-29В мощностью 0,125 Вт. Резистор R1 со- ставлен из пяти последовательно соеди- ненных резисторов С2-29В 2 МОм 0,25 Вт. Конденсатор С1 — К73-17 на напряже- ние 400 В, полярные конденсаторы, ис- пользованные в приставке, — импортные аналоги К50 35. С7 подбирают из конден- саторов с номинальной емкостью 1100 пФ Его емкость должна составлять 0,109 от емкости С8 с погрешностью 0,5 %. Конденсаторы С4 и С7 должны иметь группу по ТКЕ не хуже М750. Подстро- ечные конденсаторы СЗ и С6 — КТ4-21 б на напряжение 250 В. Настройка приставки заключается в подстройке делителя конденсаторами СЗ и С6. Возможно, что при этом при- дется подобрать конденсаторы С2 и С5. Рекомендуемый порядок здесь такой. Вначале следует подать на вход напря- Схемотехника № 4 апрель 2003 ного источника +3/-6 В. Мультиметр ис- пользуется в режиме измерения постоян- ного напряжения со шкалой 200 мВ. При измерении переменного напряже- ния оно через делитель R1—R6 и защит ную цепь R7VD1VD2 поступает на высо- коомный вход 2 микросхемы DA1. Сопротивления большинства резисторов делителя выбраны кратными 10, что об- легчает их подбор. Сопротивление ниж- него плеча делителя в этом случае со- ставляет 1,111 кОм, оно получается последовательным соединением резис- торов R5 и R6 стандартного ряда Е192. Возможно параллельное соединение ре- зисторов 1,2 кОм и 15 кОм, что обеспе- чивает тот же результат. При использо- вании резисторов делителя с допуском 0,1 % никакого дополнительного их под- бора не требуется. Во входном делителе важную роль иг- рают конденсаторы С2—С8, обеспечи- служит начальной нагруз- кой стабилизатора напря- жения 3 В микросхемы мультиметра. Все детали приставки смонтированы на печатной плате размерами 55x65 мм из двусторонне фольгиро- ванного стеклотекстолита толщиной 1,5 мм. На рис. 2 приведен рисунок провод- ников платы и расстановка элементов приставки. Рези- сторы за исключением R5 и R6 установлены перпен- дикулярно плате. На проти- воположной стороне фоль- га платы сохранена за исключением мест установ- ки штырей Х1, ХЗ, Х4, Х6 и выполняет роль общего провода. Вокруг отверстий 10,5 Рис. 2 18
измерительная техника жение около 190 мВ с частотой 5 кГц и на пределе 200 мВ запомнить показа- ния. Переключив приставку на следую- щий предел, увеличить входное напря- жение в 10 раз и подстроечным конденсатором СЗ установить такие же показания. Далее необходимо устано вить предел 20 В, увеличить входное напряжение еще в 10 раз и конденса- тором С6 откалибровать приставку на этом пределе. Указанные операции по подстройке делителя необходимо повто- рить несколько раз, так как они оказы вают влияние друг на друга. Постоянное и переменное напряжения, подаваемые на вход приставки, не дол- жны превышать 400 В. Сергей Бирюков, editor@dian.ru источники питания Источник напряжения отрицательной полярности При разработке электронной аппаратуры с питанием от бортовой сети автомобиля, катера и т. п. нередко возникает необходимость в источнике отрицательного напряжения для питания всевозмож- ных устройств, содержащих, например, операционные усилители. Описываемые в литературе аналогичные схемы отличает либо использование внешних транзисторных усилителей и дросселей, либо очень низкий выходной ток порядка 3...15 мА. Описываемое устройство свободно от всех вышеперечисленных недостатков. Основой предлагаемого источника является микросхема КР1114ЕУ4, используемая в двухтактных им- пульсных источниках питания. Выход мик- росхемы представляет собой два транзи- стора с открытыми выводами коллекторов и эмиттеров, которые допускают нагрузку по току до 200 мА. Особенностью работы выходных транзисторов микросхемы явля- ется пауза между поочередным включе- нием транзисторов, исключающая проте- кание сквозного тока, который не только приводит к снижению КПД и снижает на- дежность устройства, но также является источником дополнительных помех. Кро- ме того, большим преимуществом данной микросхемы является широкий диапазон питающих напряжений, составляющий 7...40 В, что облегчает ее включение в бортовую сеть напряжением 24 В, исполь- зующуюся на многих судах. Схема устройства представлена на рис. 1. Работает оно следующим обра- зом. В момент, когда открыт внутренний транзистор 2 микросхемы, конденсатор С2 заряжается через этот транзистор и диод VD1, далее следует пауза. Следу- ющим открывается внутренний транзис- тор 1 микросхемы, и конденсатор С2, разряжаясь через него и диод VD2, пе- редает энергию в конденсатор СЗ. Далее следует пауза и цикл повторяет- ся. Рабочая частота генератора при ука- занных на схеме номиналах С1 и R1 со- ставляет около 30 кГц. Рис. 1 При напряжении питания 12 В и токе нагрузки 150 мА выходное напряжение составляет -7 В (без нагрузки -10 В), чего вполне достаточно для питания большинства операционных усилителей и компараторов. При необходимости на выходе можно установить интегральный стабилизатор напряжения серий КР1162, КР1179 (LM79xx). Собственное потреб- ление описываемого преобразователя составляет 12 мА. Конденсатор С1 можно применить любого типа, конденсаторы С2 и СЗ подбираются по напряжению питания устройства, диоды VD1 и VD2 приме- нимы любого типа на необходимые на- пряжение и ток, хотя желательно ис- пользовать диоды с барьером Шоттки (в авторском экземпляре использова- лись КД212А). Собранное из исправных деталей уст- ройство в налаживании не нуждается. Данный преобразователь эксплуатиру- ется в течение двух лет при напряжении судовой бортовой сети 24 В и нагрузке около 75 мА (питание двух быстродей- ствующих компараторов КР597СА2, каж- дый из которых потребляет ток порядка 35 мА) и показал высокую надежность в любых климатических условиях. Также он использовался при напряжении питания 12 В и нагрузке 150 мА для питания ав- томобильной электроники. Илья Андриевский, invertor@yandex.ru Семинары «Макро Тим» в 2003 г. Апрель — Power Integration с участием представителя от производителя. Тема: «Представление “Макро Тим” как официального дистрибьютора. Обзор новых компонентов, направление ECOSmart» Май — Hyperstone+SND с участием представителей от производителей. Тема. «RISC+DSP процессоры фирмы HYPERSTONE. Сетевые решения фирмы SND для телекоммуникационных приложений. Особенности архитектуры микропроцессоров HYPERSTONE, программные и аппаратные средства разработки для RISC+DSP процессоров HYPERSTONE. Обзор современных сетевых решений с поддержкой Ethernet, Bluetooth фирмы SND». В рамках выстав- ки «Связь ЭкспоКом». Июнь — Molex с участием представителя от производителя. Тема: «Разъемы серии DIN. Сравнение с аналогичными изделиями от других производителей». Предварительная информация: Сентябрь — Hitachi с участием представителя. Тема: «Новые разработки Hitachi в области микроконтроллеров, LCD, транзисторов» Регистрация посетителей: training@macroteam.ru тел. +7(095)306-0026/4721/4789 факс +7(095)306-0283 www.macroteam.ruchipnews.gaw.ru 19
KB/YKB_____________________ Синхронный гетеродинный приемник УКВ УМ сигналов Вниманию читателей предлагается описание синхронного гетеро- динного радиоприемника, предназначенного для приема радиове- щательных станций в УКВ диапазоне 65,8...73 МГц. По сравнению с известными прием- никами аналогичного назначения он имеет ряд преимуществ. Так по сравнению с супергетеродинами раз работанный приемник обладает более высокой чувствительностью и избира- тельностью, а также более высоким ка- чеством демодулированного сигнала. Кроме того, он проще в изготовлении и настройке. Более высокая чувствитель- ность объясняется тем, что в разработан- ном приемнике полоса пропускания уменьшена по сравнению с супергетеро- дином от 150 до 22 кГц, что привело к уменьшению напряжения шумов на вы- ходе приемника, и следовательно, к уменьшению так называемого порога — минимального уровня входного сигнала при котором происходит резкое ухудше- ние радиоприема. Более высокая избирательность раз- работанного приемника объясняется тем, что приемники прямого преобразования имеют намного меньше паразитных ка- налов приема, чем супергетеродины, а сигналы соседних каналов в гетеродин- ных приемниках переносятся в область ультразвуковых частот, что исключает их влияние на качество демодулированно- го сигнала. Более высокое качество демодулиро- ванного сигнала объясняется использо- ванием принципа гетеродинного приема сигналов и отсутствием в приемнике частотного детектора и усилителя про- межуточной частоты, имеющего ампли- тудно-частотную характеристику (АЧХ) с крутыми скатами. Как известно, наличие этих элементов в супергетеродинах при- водит к возникновению нелинейных ис- кажений сигнала От описанных ранее синхронных гете- родинных приемников этот приемник отличается более высокой чувствитель- ностью и отсутствием таких недостатков, как нестабильность настройки и само- произвольная перестройка на соседний канал с более сильным сигналом. Чувствительность синхронных гетеро- динных приемников ограничивается тем, что дрейф нуля усилителя постоянного напряжения воздействует на узел управ- ления частотой и изменяет частоту ге- теродина. Приемник перестает работать, когда дрейф нуля становится примерно равным полосе удержания системы ФАПЧ. В разработанном приемнике дрейф уменьшен за счет того, что вмес то усилителей постоянного напряжения применены усилители переменного на- пряжения. Нестабильность настройки приемника также уменьшена за счет ослабления связи между входной цепью приемника и гетеродином, полученного за счет уменьшения паразитного проникновения колебаний гетеродина в антенну и во входную цепь. Для уменьшения излуче- ния паразитных колебаний гетеродин приемника экранирован и работает на частоте вдвое меньшей частоты прини- маемого сигнала. Амплитуда колебаний гетеродина стабилизирована амплитуд- ным ограничителем. В нем в качестве активного элемента используется поле- вой транзистор, который обладает зна- чительно большей линейностью, чем биполярный. Поэтому в разработанном приемнике при перестройке контура уси- лителя высокой частоты (УВЧ) 1 напря- жение на входе узла управления часто- той практически не изменяется. Кроме того, в приемнике полностью исключена возможность самопроизволь- ной перестройки на более сильный сиг- нал соседнего канала. Это достигнуто за счет жесткого ограничения амплитуды напряжения на входе узла управления частотой гетеродина. В результате под действием управляющего напряжения частота гетеродина не может изменить- ся более чем на ±150 кГц, следователь- но, самопроизвольная перестройка на соседнюю по частоте радиостанцию не- возможна. От описанных ранее синхронных ге- теродинных радиоприемников с двухка- нальной системой ФАПЧ и амплитуд- ным модулятором сигнала данный приемник отличается тем, что позволя- ет получить предельную (т. е. ограни- ченную шумами) чувствительность при достаточно сильной паразитной связи между гетеродином и входной цепью приемника. Связано это с принципом работы приемника (т. е. с отсутствием амплитудного модулятора). В приемни- ке гетеродин экранирован и приняты еще некоторые меры по ослаблению связи между входной цепью и гетеро- дином. Но связь эта остается достаточ- но сильной (по сравнению с приемни- ками с амплитудным модулятором сигнала), так как в высокочастотной части отсутствуют буферные усилители, построенные на транзисторах, включен- ных с общей базой. Структурная схема приемника приве- дена на рис. 1, работает он следующим образом. На один из входов сумматора 5 www.dian.ru постоянно подается переменное напря- жение с частотой f - 40 Гц от вспомога- тельного генератора низкой частоты (ГНЧ) 17 Если на входе приемника сиг- нал отсутствует, то система ФАПЧ не работает, т. е. она находится в «разомк- нутом» состоянии. В этом случае на вы- ходе усилителя переменного напряжения (УПН) 4 нет переменного напряжения с частотой ГНЧ 17, а на выходе суммато- ра 5 появляется переменное напряжение с частотой 40 Гц и амплитудой « 0,8 В. Оно поступает на узел управления час- тотой 6 и модулирует колебания гетеро- дина 7 по частоте, при этом девиация частоты составляет примерно 40 кГц. Если на антенный вход приемника по- дать немодулированное переменное на- пряжение, по частоте близкое к частоте колебаний гетеродина 7, то система ФАПЧ вступает в работу, она становит- ся «замкнутой». Сначала замкнутая си- стема ФАПЧ уменьшает мгновенную раз- ность частот сигнала и гетеродина 7 до величины Af = 0,34 Гц. Величина Af оп- ределяется значением нижней границы полосы пропускания УПН 4. При этом на выходе УПН 4 появляется переменное напряжение с частотой ГНЧ 17, а амп- литуда переменного напряжения на вы- ходе сумматора 5 уменьшается до очень малой величины (< 0,4 мВ). Это малое по амплитуде переменное напряжение поступает на вход узла управления час- тотой бив небольших пределах изме- няет частоту гетеродина 7 При этом девиация частоты колебаний гетеродина 7 не превышает Af < 20 Гц, а отклонение фазы колебаний гетеродина 7 не превышает Д<р < 30°. И девиация частоты, и отклонение фазы колебаний гетеродина зависят от амплитуды сигна- ла на входе приемника. При увеличении амплитуды сигнала девиация частоты и отклонение фазы колебаний гетеродина уменьшается. Баланс амплитуд переменного напря- жения с частотой f = 40 Гц на выходе УПН 4 и на выходе сумматора 5 уста- навливается в зависимости от амплиту- ды сигнала на входе приемника. В то же время на сигнальный вход сме- сителя 8 с выхода УВЧ 1 поступает на- пряжение сигнала, а на его управляющий вход через фазовращатель 16 подается модулированное по фазе напряжение ге- теродина 7. На выходе смесителя 8 об- разуется переменное напряжение с час- тотой ГНЧ 17, которое через ФНЧ 9, УПН 10, ФНЧ 11 и УПН 12 подается на один из входов демодулятора 13, на другой 20
KB/VKB вход которого подается переменное на- пряжение от ГНЧ 17. На выходе демоду- лятора 13 образуется пульсирующее на- пряжение, которое сглаживается ФНЧ 14 и через эмиттерный повторитель 15 и сумматор 5 подается на узел управления частотой 6, изменяющий частоту гетеро- дина 7 таким образом, что система ФАПЧ переходит в режим удержания (синхрони- зации). Напряжение на выходе ФНЧ 14 одно- значно зависит от мгновенной разности фаз напряжений сигнала и гетеродина (без учета фазовой модуляции колеба- ний гетеродина), и при точной настрой- ке на сигнал амплитуда переменного напряжения с частотой f = 40 Гц на вы- ходе УПН 12 стремится к нулю. Фазовращатель 16 сдвигает фазу ко- лебаний гетеродина на 90°. При работе системы ФАПЧ в режиме удержания (синхронизации) напряжения сигнала и гетеродина на входах смесителя 8 при- мерно синфазны, а на входах смесите- ля 2 они сдвинуты примерно на 90°. Если на вход приемника подается ча- стотно-модулированный сигнал, то на выходе сумматора 5 образуется демоду- лированный сигнал звуковой частоты, который через эмиттерный повторитель 18 поступает на выход приемника (на головные телефоны). Следовательно, при работе системы ФАПЧ в режиме удержания быстрые ухо- ды частоты (0,34 Гц... 10,6 кГц) компен- сируются частью приемника, состоящей из УВЧ 1, смесителя 2, УПН 4, суммато- ра 5, узла управления частотой 6 и ге- теродина 7. Медленные уходы частоты (< 0,34 Гц) компенсируются частью, со- стоящей из смесителя 8, ФНЧ 9, УПН 10, ФНЧ 11, УПН 12, демодулятора 13, ФНЧ 14, эмиттерного повторителя 15, фазов- ращателя 16 и вспомогательного ГНЧ 17. Динамические характеристики систе- мы ФАПЧ определяются амплитудой входного сигнала и формой АЧХ ФНЧ 3, который представляет собой однозвен- ную RC-цепь. Форма АЧХ разомкнутой системы ФАПЧ приближена к форме АЧХ звена первого порядка, поэтому си- стема ФАПЧ работает в режиме синхро- низации при достаточно большом диапа- зоне амплитуд входного сигнала. Приемник не имеет системы АРУ, поэто- му при некоторой амплитуде входного сигнала система ФАПЧ самовозбуждает- ся (режим квазисинхронизма). Но и в этом случае приемник сохраняет рабо- тоспособность, так как самовозбуждение системы ФАПЧ не отражается на каче- стве выходного сигнала (частота автоко- лебаний в системе ФАПЧ получается большей 50 кГц). Избирательность приемника по сосед- нему каналу определяется, в основном, параметрами ФНЧ 3, а избирательность по паразитным каналам приема (на гар- мониках гетеродина) — параметрами резонансного УВЧ 1 (рис. 1). Принципиальная схема приемника приведена на рис. 2. УВЧ 1 выполнен на транзисторе VT1 (рис. 2). Смесители 2 и 8 выполнены на транзисторах VT5 и VT2. ФНЧ 9 и ФНЧ 3 образуют элементы R8C8 и R13C15. УПН 10 и 12 выполне- ны на микросхеме DA1, а УПН 4 — на микросхеме DA2. ФНЧ 11 образуют эле- менты R9C10. Конденсатор С9 — разде- лительный. Функцию сумматора 5 (а также амп- литудного ограничителя напряжения) выполняет микросхема DA3. Демодуля- тор 13 выполнен на транзисторе VT3, ФНЧ 14 образуют элементы R11C11. Эмиттерный повторитель 15 выполнен на транзисторе VT4. Он уменьшает влияние входного сопротивления сумматора на параметры ФНЧ 14. ГНЧ 17 выполнен на микросхеме DD1. Переменное напряжение с выхода микросхемы DD1.3 подается на один из входов сумматора через ФНЧ R19C29R28, который подавляет высшие гармоники, содержащиеся в импульсном напряжении. Резистор R14 служит для балансировки операционного усилителя DA3. Цепь R26C27 служит для питания микросхе- мы DD1. Эмиттерный повторитель 18 выполнен на транзисторе VT6, он слу- жит для согласования стереотелефо- нов ВА1 сопротивлением 60 Ом с вы- ходным сопротивлением сумматора 5. Элементы R20C19 служат для коррек- ции высокочастотных предыскажений сигнала. Узел управления частотой выполнен на варикапе VD1. Гетеродин приемника построен на полевом транзисторе VT7. Диоды VD2—VD5 служат для стабилиза- ции амплитуды колебаний гетеродина. Элементы C23C24R25 образуют развя- зывающий фильтр. Конденсатор С26 уменьшает паразитное излучение коле- баний гетеродина. Перестройка колебательного контура УВЧ L1C4 практически не влияет на час- тоту колебаний гетеродина, что объясня- ется экранирующим действием затворов транзисторов VT2 и VT5. Фазовращатель Рис. 2 16 (рис. 1) образуют резистор R7 и вход- ная емкость транзистора VT2, которая равна примерно 2 пФ. Эта цепь сдвигает фазу колебаний гетеродина на 45°, что необходимо для нормальной работы при- емника. Транзисторы смесителей VT2 и VT5 включены нетрадиционным способом (рис. 2). Такое включение позволяет получить меньшее напряжение отсеч- ки (-0,8 В вместо -2 В), а также боль- шую крутизну характеристики вблизи точки запирания транзистора. Приемник собран в алюминиевом кор- пусе. Гетеродин приемника заключен в экран, кроме того, гетеродин соединен с конденсатором С26, с затворами смеси- телей VT2 и VT5 и с выходом сумматора отрезками телевизионного коаксиально- го кабеля. В приемнике использованы постоянные резисторы МЛТ, оксидные и керамические конденсаторы. Конденсато- ры С20, С21, С22, С25 должны иметь малый ТКЕ. В качестве VT1 можно ис- пользовать другие СВЧ транзисторы с граничной частотой более 300 МГц, ем- костями переходов не более 3 пФ и ма- лым коэффициентом шума, например, серий КТ368, КТ325, ГТ346 и т. д. В ка- честве VT3 и VT4 можно использовать любые высокочастотные транзисторы соответствующей структуры. В качестве DA1 и DA2 допустимо ус- тановить К157УЛ1Б, а в качестве DA3 — любой операционный усилитель общего применения с низким напряжением пи- тания. В качестве VT2 и VT5 можно ис- пользовать транзисторы КП327 с други- ми индексами (Б, В). В гетеродине применимы КП305 с другими индексами, но при этом нужно установить исходное положение рабо- чей точки в середине линейного участ- 21
KB/VKB ка характеристики управления транзи- стора. Катушки L1 и L2 намотаны на каркасах диаметром 6 мм проводом ПЭЛ 0,45 мм и содержат по пять витков. Катушка L1 име- ет латунный сердечник М5, а катушка L2 — сердечник из высокочастотного фер- рита или из карбонильного железа с резь- бой М5. При правильном монтаже и исправных деталях настройка приемника достаточ- но проста. Сначала нужно переменным резистором R14 при отключенной антен- не установить на выходе сумматора на- пряжение +3,5 В, затем подключить ан- тенну и, вращая сердечник катушки L2, настроить приемник на радиостанцию так, чтобы система ФАПЧ работала в режиме биений. Затем, вращая сердеч- ник катушки L1, нужно добиться макси- мальной амплитуды напряжения биений на выходе приемника. Плавно вращая сердечник L2, перевести систему ФАПЧ в режим синхронизации, ориентируясь на наилучшее качество сигнала. Для того, чтобы упростить настройку, мож- но зашунтировать контур L1С4 резисто- ром, уменьшив таким образом его доб- ротность и настроив его на среднюю ча- стоту УКВ диапазона, а перестраивать приемник тогда можно будет только за счет перестройки гетеродина. Приемник позволяет получить доста- точно высокое качество демодулирован- ного сигнала. Напряжение звуковой ча- стоты на выходе сумматора = 0,6 В при средней громкости и примерно 1,4 В при максимальной. С подключенными теле- фонами приемник потребляет ток 30 мА. Напряжение питания может быть в пре- делах 10...12 В. Чувствительность приемника не изме- рялась из-за отсутствия ГВЧ, но по кос- венным признакам можно сделать вывод, что она близка к предельной. Измерения показали, что напряжение шумов на вы- ходе сумматора при отсутствии модуляции и при работе системы ФАПЧ в режиме синхронизации равно =0,15 В (в полосе частот 0...15 кГц). Следовательно, отно- шение сигнал/шум на выходе приемника К = ис/иш = 0,6/0,15 = 4 (по ГОСТу должно быть К > 10+20). Малое отношение сигнал/шум на вы- ходе приемника объясняется тем, что www.dian.ru приемник имеет «избыточное» усиле- ние. Сделано это специально для того, чтобы можно было принимать слабые сигналы. Для того, чтобы привести при- емник в соответствие с ГОСТ, нужно либо применить в УВЧ транзистор с меньшим коэффициентом шума (но этот способ не очень эффективен), либо уменьшить усиление приемника, например за счет уменьшения усиле- ния УВЧ. Шумы на выходе приемника зависят, в основном, от шумов эфира, качества антенны и ее согласованности со входом приемника и от коэффициента шума уси- лителя высокой частоты. Входное сопро- тивление приемника близко к 75 Ом, а в качестве VT1 использован малошумя- щий транзистор КТ3109А, у которого коэффициент шума 6 дБ. Поэтому чув- ствительность приемника можно улуч- шить, в основном, за счет применения в качестве VT1 транзистора с коэффици- ентом шума менее 6 дБ. Александр Сергеев, editor@dian.ru апрель Сверхширокополосный транзисторный усилитель СВЧ Статья посвящена рассмотрению оригинального принципа постро ения сверхширокополосного усилителя сверхвысокочастотных сигналов диапазона 2... 18 ГГц. Расширение частотного диапазона и увеличение коэффициента усиления при сохранении устойчивости усили- теля является трудной задачей. Проведем анализ характеристик усилителя по ре- зультатам работы [1]. Рассмотрим усили- тель без ЦВЧОС с согласующими цепями по входу и выходу при комплексно-сопря- женном согласовании для получения мак- симально-достижимого коэффициента усиления по мощности [2]. Условиями со- гласования являются выражения: Г+^т*, (D и Г2 = S22T > (2) где Г1 — комплексный коэффициент от- ражения от входной согласующей цепи 1; S11T* — комплексно-сопряженный с Г1 коэффициент отражения по входу тран- зистора VT1; Г2 — комплексный коэффициент отра- жения от выходной согласующей цепи 2; S22T*— комплексно-сопряженный с Г2 коэффициент отражения по выходу тран- зистора VT1; Коэффициент устойчивости [2] имеет вид: KVCT = (1+lAl2-IS11TI2-IS22TI2)/ 2IS21TIIS22TI, (3) где IS11TI — модуль входного коэффици- ента отражения усилителя без ЦВЧОС при сопротивлении генератора и нагруз- ки, равном 50 Ом; IS12TI — модуль обратного коэффици- ента передачи усилителя без ЦВЧОС при сопротивлении генератора и нагруз- ки, равном 50 Ом; IS22TI — модуль выходного коэффици- ента отражения усилителя без ЦВЧОС при сопротивлении генератора и нагруз- ки, равном 50 Ом; IS21TI — модуль прямого коэффициен- та передачи усилителя без ЦВЧОС при сопротивлении генератора и нагрузки, равном 50 Ом; |д| — модуль определителя, равный |Д| = IS11TS22T- S21TS12TI. Максимально-достижимый коэффици- ент усиления усилителя без ЦВЧОС при комплексно-сопряженном согласовании по входу и выходу определяется из вы- ражения (2.5.6) работы [1] и имеет вид: G = S21T/S12T[KV - (Kv2 - 1 )1/2], (4) где Kv — коэффициент устойчивости; S21T — комплексный коэффициент прямой передачи усилителя без ЦВЧОС при сопротивлении генератора и нагруз- ки, равном 50 Ом; S12T — комплексный коэффициент об- ратной передачи усилителя без ЦВЧОС при сопротивлении генератора и нагруз- ки, равном 50 Ом. Из анализа приведенных выражений (1)—(4) видно, что: • комплексно-сопряженное согласова- ние усилителя без ЦВЧОС одновре- менно по входу и выходу возможно при Kv>1, то есть максимально дости- жимый коэффициент усиления в час- тотном диапазоне ограничен значени- ем коэффициента устойчивости, при этом IS11TI<1; IS22TI<1; • использование транзистора в усилите- ле без ЦВЧОС ограничено частотой единичного усиления транзистора по мощности (Оу), на которой коэффици- ент усиления равен 1; • выравнивание амплитудно-частотной характеристики усилителя без ЦВЧОС ограничено максимально достижимым коэффициентом усиления транзистора на верхней частоте полосы пропуска- ния. Рассмотрим усилитель с цепью внеш- ней частотнозависимой обратной связи по напряжению. Коэффициент усиления усилителя с ЦВЧОС определяется из выражения (2.5.1) работы [1]: Gyy = G/(1+Iy) = G/Fy, (5) где Fy — комплексная глубина обратной связи по напряжению; G — комплексный максимально-дости- жимый коэффициент усиления усилите- ля без ЦВЧОС при комплексно-сопря- женном согласовании по входу и выходу; (Зуу — комплексный максимально-до- стижимый коэффициент усиления тран- зистора при комплексно-сопряженном согласовании по входу и выходу с цепью 22
KB/YKB внешней частотнозависимой обратной связью по напряжению. Вычислим глубину обратной связи [3]: Fy= И+ТеМ = (1 + 2Tcos<p + Т2)1'2. Для определения глубины обратной связи необходимо воспользоваться вы- ражениями, приведенными в работе [1]: Yoe = (Sl1T — Sl1YyV(Sl1YyCY _ Ау),(6) Yoc = (£l2T~ £l2Yy)^(£l2Yy£v— BY),(7) Yqc = (S21T — S21 YV)/(S21 yyCY — By), (8) Yq(-:= (S22y~ S22yy)/(S22yyCy — Ay), (9) где Ay = — (1/2)(1 — S12T)(1 — S21T) — S11TS22T, BY = (1/2)(1+S11T)(1+S22I)-S 12т£г1Т' Cy = (1/2)(2 + £11T + S22T— S12T— S21T). Из анализа выражений (6)—(9) видно, что если S21Yyl>IS21Tl, IS12Yyl>IS12Tl, 1>IS22Yyl>IS12Tl, 1>IS11Yyl>IS11Tl, то в цепи обратной связи должны быть введены отрицательные сопротивления, откуда Yqc = RoYqq “Г lmY0C. (10) Таким образом, максимально достижи- мый коэффициент усиления усилителя при комплексно-сопряженном согласова- нии по входу и выходу с цепью внешней частотнозависимой обратной связи боль- ше максимально-достижимого коэффи- циента усиления усилителя при комплек- сно-сопряженном согласовании по входу и выходу без ЦВЧОС. Обратная связь является положительной. В результате измерений зависимостей G = fjro), Gyy = f2(co) были получены ана- литические выражения для годографа возвратного отношения [1]; ITyl=[1 - 2(IGI/IGyyl)cos(<p - <руу) + + IGI2/IGyyl2]1/2, (11) <Руу = arctg{(IGI/IGYyl)sin(<p - <руу)/ [(IGl/IGyyl)cos(<p - фуу) - 1 ]}, (12) где <р — фаза максимально-достижимо- го коэффициента усиления усилителя при комплексно-сопряженном согласова- нии по входу и выходу без ЦВЧОС; <Руу — фаза максимально достижимого коэффициента усиления усилителя при комплексно-сопряженном согласовании по входу и выходу с цепью внешней положи- тельной обратной связи по напряжению. По полученным формулам были рас- считаны годографы возвратного отноше- ния TY=f3(o>) и глубины обратной связи IFYl=f4(o>). Из анализа полученных зависимостей видно, что годограф не пересекает точку (-1,0), и следовательно, усилитель устой- чив по Найквисту или условно устойчив. Согласно теории [3] анализ устойчивос- ти усилителя по критерию Найквиста осу- ществляется при разомкнутой петле об- ратной связи, что является не совсем корректным, в особенности в СВЧ диа- пазоне, по отношению к практической работе усилителя. Применительно к СВЧ диапазону необходимым и достаточным условием абсолютной устойчивости явля- ются следующие соотношения [2]: 1£12уу£21уу1<1 - IS11Yyl2, l£l2Yy£21 Yy'<1 _ IS22Yyl2’ (13) 2l£12Yy£21Yyl<1 - IS11Yyl2-IS22Yyl2 + lAyl2, где IS11Yyl — модуль входного коэффи- циента отражения усилителя по напря- жению; IS22Yyl — модуль выходного коэффи- циента отражения усилителя с цепью положительной обратной связи по напря- жению; IS12Yyl — комплексный коэффициент обратной передачи усилителя при поло- жительной обратной связи по напряже- нию; IS21Yyl — комплексный коэффициент прямой передачи усилителя при положи- тельной обратной связи по напряжению; lAyl — модуль определителя, равный: lAyl = l£11Yy£22Yy- £ 21Yy£l2Yy'- Из анализа устойчивости в терминах S-параметров при замкнутой петле об- ратной связи согласно выражению (13), в которое входят Syy-параметры усили- теля, видно, что если IS11Yyl<1, IS22Yyl<1, то усилитель абсолютно устойчив, что подтверждает анализ выражений (6)—(9) при положительной обратной связи. При- чем глубина обратной положительной связи увеличивается с ростом частоты, что приводит к возрастанию максималь- но достижимого коэффициента усиления Gyy при приближении к частоте тран- зистора, где усиление усилителя без обратной связи по мощности равно 1. Практическая реализация транзистор- ного СВЧ усилителя со сверхширокой по- лосой частот с цепью внешней положи- тельной обратной связью подтверждает вышеизложенный анализ. Принципиаль- ная схема усилителя приведена в рабо- те [4]. Сущность запатентованного в Рос- сии изобретения состоит в том, что транзисторный СВЧ усилитель содержит усилительный каскад, на входе которого включена входная согласующая цепь, а между выходом и нагрузкой — выходная согласующая цепь. Усилительный каскад охвачен цепью параллельной обратной связи по напряжению, выполненной в виде последовательно соединенных пер- вого, второго и третьего дифференциаль- ных каскадов с несимметричным входом и выходом и каждый со своим источни- ком питания, изолированным от корпуса. По первому входу каждый дифференци- альный каскад охвачен последовательной отрицательной обратной связью по напря- жению в виде первого и второго резис- торов, а по второму входу охвачен поло- жительной параллельной обратной связью по напряжению, выполненной в первом дифференциальном каскаде на конденсаторе, во втором — на катушке индуктивности, а в третьем — на резис- торе. Между входами третьего диффе- ренциального каскада включен дополни- тельный конденсатор, причем второй вход третьего дифференциального каскада яв- ляется входом цепи параллельной обрат- ной связи по напряжению, а первый вход первого дифференциального каскада — выходом цепи параллельной обратной связи по напряжению. Каждый диффе- ренциальный каскад представляет собой конвертор отрицательного сопротивле- ния: сопротивление, емкость и индуктив- ность. Отрицательные сопротивления были определены по выражениям рабо- ты [1], по которым было выполнено усло- вие (10) и синтезирована цепь параллель- ной частотнозависимой обратной связи по годографу иммитанса в диапазоне частот от 2 до 18 ГГц. Исследование ко- эффициента усиления усилителя прово- дилось с помощью прибора Р4-36. На основании изложенного можно сделать следующий вывод: используя в цепи обратной связи отрицательные сопротивления и выполняя условия (13) можно в четыре раза увеличить способ- ность усиливать сигналы по отношению к граничной частоте единичного усиле- ния используемых транзисторов. Анатолий Юбков, amplifier@km.ru Литература: 1. А. В. Юбков, И. А. Трискало, Н. И. Глухов. Расчет транзисторного усилите- ля СВЧ с обратной связью по напряже- нию на основе S-параметров. Сборник научных трудов учебных институтов свя- зи. Системы и средства передачи ин- формации по каналам связи. — Л., ЛЭИС, 1990, вып.150, с. 83—88. 2. Н. 3. Шварц. Линейные транзистор- ные усилители СВЧ. — М.: Сов. ра- дио, 1980. 3. Г. В. Войшвилло. Усилительные уст- ройства. Учебник для вузов. 2-е изд., пе- рераб. и доп. —М.: Радио и связь,1983. 4. А. В. Юбков, И. А. Трискало, Н. И. Глухов. Патент № 1835194 на изобрете- ние «Транзисторный сверхвысокочастот- ный усилитель» от 5.05.91. Вниманию читателей! На сайте журнала «Схемотех- ника» по адресу http:// www.dian.ru/programs/index.html выложены программы и таблицы прошивки ПЗУ к статье «Часто- томер на микроконтроллере АТ89С51» (№ 2, 2002), чертежи печатных плат к статьям «Авто- мобильный тахометр на К1003ПП1» (2001, № 10), «Сото- вый телефон в канале охранной сигнализации» (2002, № 11) и другим статьям из последних но- меров, а также содержание (пе- речень статей в формате Excel) всех вышедших номеров журна- лов «Схемотехника». 23
основы схемотехники Схемотехника гч= 4 апрель zuuj Простой супергетеродин для аппаратуры радиоуправления моделями В зависимости от способов обработки сигналов приемники под- разделяются на детекторные, прямого усиления без регенерации, регенеративные, сверхрегенеративные, гетеродинные (прямого преобразования) и супергетеродинные. Наиболее универсальны- ми являются последние. Они обладают наилучшими характерис- тиками, но имеют наиболее сложную схемотехнику из всех пере- численных. Применение интегральных микросхем, однако, делает возможным построение компактных и простых в налаживании су- пергетеродинных приемников. Подтверждением этого является приводимое ниже описание. Приемник предназначен для рабо- ты с амплитудно-манипулирован- ными сигналами. Рабочая часто- та — 28 МГц, чувствительность (при от- ношении с/ш = 4) — 1...1.5 мкВ, потреб- ляемый ток не превышает 10 мА. Рабо- тоспособность сохраняется (при отсут- ствии стабилизатора) при снижении на- пряжения питания до 2,7 В с соответству- ющим уменьшением потребляемого тока до 4,7 мА. Выходной компаратор обес- печивает получение прямоугольных им- пульсов, совместимых по уровням с мик- росхемами КМОП. Устройство можно использовать совместно с передатчика- ми, схемы которых опубликованы в пре- дыдущих статьях цикла. Принципиальная схема приемника изображена на рис. 1. Усилитель радио- частоты реализован на двухзатворном транзисторе VT1. Колебательный контур входной цепи подключен непосредствен- но к первому затвору полевого транзис- тора, отрицательное напряжение смеще- ния на этом затворе определяющее положение рабочей точки, обеспечива- ется цепочкой R3C5. На этом же транзисторе, кварцевом резонаторе и контуре C4L4 собран гете- родин. Напряжение гетеродина, будучи приложено ко второму затвору, изменя- ет во времени крутизну транзистора по первому затвору, в результате чего — происходит перемножение напряже- ний сигнала и гетеродина. В стоко- вой цепи транзистора появляется составляющая на промежуточной gi частоте, выделяемая с помощью контура C3L3, настроенного на 465 кГц. Дополнительно отфильтро- ванное керамическим фильтром Z2, обеспечивающим избирательность по соседнему каналу не хуже 40 дБ, напряжение промежуточной часто- ты подается на вход микросхемы Рис. 1 DA1. На микросхеме реализованы УПЧ с эффективной АРУ и амплитудный де- тектор. Продетектированный сигнал ам- плитудой 0,5...1,5 В через фильтр ниж- них частот R6C13 поступает на компа- ратор, собранный на транзисторе VT2. Порог срабатывания компаратора уста- навливается подбором сопротивления резистора R8. Импульсы, снимаемые с выхода, имеют амплитуду, практически равную напряжению питания. Приемник можно использовать и для приема амплитудно-модулированных сигналов, например в составе связной радиостанции. При этом выходной ком- паратор необходимо отключить и полез- ный сигнал снимать с выхода ФНЧ. Печатная плата приемника никаких особенностей не имеет. Ее чертеж при- веден на рис. 2. Контурные катушки входной цепи и гетеродина намотаны проводом диаметром 0,25 мм на карка- сах диаметром 5...6 мм с карбонильны- ми подстроечными сердечниками. Чис- ло витков катушки L1 равно 3, L2 — 8, L4 — 10. Катушка ФПЧ (L3) содержит 160 вит- ков провода диаметром 0,1 мм и разме- щена в ферритовых чашках диаметром 6 мм с подстроечным сердечником. Мож- но использовать любую другую стандар- тную для ФПЧ арматуру с соответству- Рис. 2 www.dian.ru ющей коррекцией рисунка печатной пла- ты. В продаже можно найти уже гото- вые катушки, их тоже можно использо- вать. Необходимо только иметь ввиду, что они, как правило, содержат мень- шее число витков и рассчитаны на ис- пользование совместно с конденсато- ром СЗ на 1000 пФ Двухзатворный полевой транзистор может быть заменен на 3SK60 или се- рий КП306, КП350, КП327. В последнем случае необходимо помнить, что отече- ственные транзисторы боятся статичес- кого электричества, что требует особой аккуратности при их монтаже. Конденсаторы С2—С4 должны быть керамическими с группой ТКЕ не хуже М47, СЗ может быть и пленочным. Квар- цевый резонатор применен на частоту 27,535 МГц. Приемник может работать на любой частоте в диапазоне 26,945...28,2 МГц с соответствующей заменой кварцевого резонатора в гете- родине. Пьезоэлектрический фильтр — ФП1П1-61-01 или аналогичный на час- тоту 465 кГц. Оксидные конденсато- ры— любого типа. Транзистор VT2 — любой маломощный кремниевый струк- туры п-р-п. Стабилизатор напряжения DA2 на 5 В — КР1157ЕН5 или импорт- ный аналог. Девятивольтовую батарею типа «Крона» можно заменить тремя-че- тырьмя элементами на напряжение 1,5 В и отказаться от стабилизатора. Настройку приемника следует начинать с преобразователя частоты. Проверка режима транзистора по постоянному току сводится к контролю напряжения на его истоке и втором затворе. На истоке дол- жно быть около 0,4 В (при напряжении питания 5 В), а на затворе половина на- пряжения питания (2,5 В). Отличия конт- ролируемых напряжений от указанных свидетельствует об ошибке в монтаже или неисправности транзистора. Ввиду малой мощности гетеродина не следует пытаться проверять наличие ге- нерации непосредственно на элементах устройства. Это может привести к срыву работы гетеродина. Лучше всего устано- вить на осциллографе максимальную чув- ствительность канала вертикального от- клонения, и его щупы замкнуть петлей провода длиной 7...10 см. Петлю распо- ложить вблизи катушки гетеродина, и вращением сердечника L4 добиться по- явления колебаний на экране осциллог- рафа. Поскольку настройка контура вли- яет в небольших пределах на частоту колебаний, вырабатываемых гетероди- ном, то окончательное положение сердеч- ника уточняется в дальнейшем. На следующем этапе производится уточнение настроек всех контуров при- емника. Осциллограф подключают к точ- ке соединения R6 и С13, не устанавли- вая транзистор VT2. Ко входу приемника через конденсатор 1000 пФ подключают ГСС. На его выходе необходимо устано- вить напряжение 300 мкВ и частоту 28 МГц. Глубина внутренней модуляции — 90... 100 %. Еще лучше воспользоваться передатчиком, совместно с которым предполагается работа приемника, рас- положив его на расстоянии 1,5...2 м. В этом случае ко входу приемника следу- ет подключить антенну, с которой он бу- 24
основы схемотехники дет использоваться. Вращением сердеч- ников катушек L1 и L3 добиться появле- ния на экране осциллографа либо сину- соиды при использовании ГСС, либо положительных прямоугольных импуль- сов при настройке по сигналам передат- чика. Методом постепенного приближе- ния с помощью сердечников всех трех катушек получить максимальную ампли- туду неискаженного выходного сигнала, удаляя в процессе настройки передатчик или уменьшая напряжение на выходе ГСС. Настройку можно считать удовлет- ворительной, если на выходе наблюда- ются синусоидальные колебания часто- той 1 кГц и амплитудой около 0,7...0,9 В, размытые шумами, при напряжении на выходе генератора 1...2 мкВ. Компаратор настраивают по сигналам передатчика подбором сопротивления ре- зистора R8. Удалив передатчик с отклю- ченной антенной на такое расстояние, при котором импульсы на выходе приемни- ка сопровождаются шумовой дорожкой, составляющей 15...20 % от амплитуды импульсов, установить на место тран- зистор VT2. Переключить осциллограф на выход компаратора и подбором R8 добиться отсутствия срабатывания ком- паратора от шумовых выбросов. В заключение необходимо отме- тить, что при входных сигналах при- емника, превышающих 10 мВ, гете- родин переходит в режим захвата частоты входного сигнала и промежу- точная частота обращается в нуль. Ра- ботоспособность приемника нарушает- ся. Если предполагается работа в условиях большого динамического ди- апазона уровня входных сигналов, то гетеродин лучше выполнить на отдель- ном транзисторе, переделав входную часть приемника в соответствии со схе- мой, приведенной на рис. 3. В качестве Рис. 3 VT3 подойдет КТ315Б. Данные катушек такие же, как у одноименных в основ ном варианте. Продолжение следует Владимир Днищенко, m408@rbcmail.ru Управление нагрузкой на переменном токе В настоящей статье рассматривается несколько простых вариан- тов управления нагрузкой, включенной в цепь переменного тока, и описываются их достоинства и недостатки. При создании современных уст- ройств автоматики часто возника- ет задача коммутации нагрузки, включенной в цепь переменного тока. Такой нагрузкой могут быть различные нагревательные и осветительные элемен- ты, силовые пускатели, трансформаторы, двигатели и т. п. Существует много относительно про- стых схем узлов, позволяющих выпол- нить эту задачу. Все варианты можно разделить на ряд групп: • использование электромагнитных реле; • коммутация диагонали диодного моста; • использование твердотельных реле; • использование оптотиристоров и опто- симисторов; • использование оптронов; • использование драйверов тиристоров и симисторов. Узлы с использованием электромаг- нитных реле являются наиболее просты- ми, однако они имеют множество недо- статков. Наиболее серьезными из них являются значительное время срабаты- вания, что не позволяет использовать их в импульсных устройствах управления, дребезг контактов, их искрение и обго- рание, относительно малый ресурс, ма- лая распространенность малогабаритных реле, способных коммутировать большие токи, большое содержание драгоценных металлов и высокая стоимость мощных реле. В настоящее время узлы с элект- ромагнитными реле считаются мораль- но устаревшими и используются редко, в основном в устройствах, в которых переключение происходит достаточно редко. Узлы с коммутацией диагонали диод- ного моста находят достаточно широкое применение в радиолюбительской прак- тике. Для коммутации используются мощные высоковольтные транзисторы или тиристоры. На рис. 1 показана ти- повая схема с коммутацией диодного моста с помощью транзистора, описан- ная, например, в [1, 2], а на рис. 2— с помощью тиристора [3, 4]. К недостат- Рис. 1 Рис. 2 первую очередь, отнести гальваничес- кую связь цепей управления с сетью. При этом необходим изолированный ис- точник питания и повышенные меры бе- зопасности при наладке и эксплуатации. Узел имеет большое число элементов, в том числе — пять мощных (четыре дио- да и транзистор или тиристор), которые при больших токах нагрузки имеют по- вышенную температуру и нуждаются в теплоотводе. В последнее время за рубежом получи- ли широкое распространение так называ- емые «твердотельные реле», представля- ющие собой специализированный оптрон, светодиод которого открывает двунаправ- ленный ключ, выполненный на полевых транзисторах. Примером может служить серия приборов HSR312/412 фирмы Fairchild Semiconductors [5] (рис. 3). Твер- дотельные реле, рассчитанные на малые токи (до 1 А), иногда применяются для коммутации диагонали диодного моста, вследствие чего несколько уменьшаются габариты и стоимость изделия (рис. 4). Сильноточные твердотельные реле могут использоваться для коммутации нагрузки непосредственно (рис. 5). но имеют очень высокую стоимость (несколько десятков долларов) и достаточно дефицитны, поэто- му в отечественной практике применяют- ся редко. Довольно часто и в промышленной, и в радиолюбительской отечественной ап- паратуре встречаются узлы с использо- -220 В 1 -220 В Рис. 3 Рис. 4 ванием оптотиристоров (например, ТО125-10) и оптосимисторов (например, ТСО142-40). Это довольно удобные в эксплуатации сильноточные приборы. На рис. 6 показана типовая схема включе- ния оптосимистора, а на рис. 7 — двух включенных встречно-параллельно опто- тиристоров. Описанные варианты имеют три серьезных недостатка. Во-первых, эти полупроводниковые приборы имеют мощные светодиоды с большим током открывания (220...300 мА). Это застав- ляет разработчиков использовать мощ- ные транзисторные ключи, между кол- лектором и плюсом источника питания (+5 В) которых включаются последова- тельно соединенные гасящий одноваттный резистор 15...22 Ом и светодиод оптоси- мистора или два соединенных последова- 25
основы схемотехники www.dion.ru тельно светодиода оптотиристоров. Во- вторых, стоимость оптосимисторов до- вольно велика и составляет примерно $2,7...3, стоимость всего узла на оптоси- мисторе может достигать $4. 5, а на двух оптотиристорах и того более. В третьих описываемые приборы недостаточно ус- тойчиво открываются постоянным током при малых коммутируемых напряжениях или токах, что заставляет разработчиков для их включения использовать серию импульсов, усложняет схему и еще боль- ше повышает стоимость. Некоторые разработчики используют обычные симисторы, управляемые че- рез динисторные или другие оптроны. Один из таких вариантов включения описан в [6] и показан на рис. 8. Недо- статками таких узлов являются необхо- димость тщательного подбора оптронов для обеспечения близких значений уг- лов открывания симистора при разных полуволнах, а также значительное чис- 220 В 220 В .хемотехника in= д апрель Рис. 7 Рис. 8 ло элементов и относительно высокая стоимость. Учитывая актуальность проблемы уп- равления нагрузкой, включенной в сеть переменного тока, а также недостатки опи- санных выше методов, некоторые фирмы освоили выпуск малогабаритных и низко- стоимостных микросхем драйверов сими- сторов и тиристоров. Наиболее известным производителем таких микросхем являет- ся фирма Fairchild Semiconductors [5]. Она производит микросхемы драйверов двух типов: с произвольным моментом включе- ния и с привязанным к прохождению на- пряжения через нуль. Первый тип микро- схем содержит светодиод и оптосимистор, второй — те же элементы и специальную цепь определения перехода переменного напряжения через нуль (ZCC — Zero-Cross Circuit). Именно эта цепь и включает си- мистор в соответствующий момент. Схе- ма микросхемы драйвера с включением в произвольный момент показана на рис. 9, а микросхемы драйвера с вклю- чением в момент прохождения через нуль — на рис. 10. Первый вариант микросхем предназ- начен для управления нагрузкой в уст- ройствах с широтно-импульсной модуля- цией. При его использовании в момент включения мощных симисторов (тирис- торов) возникают большие импульсные помехи и требуется применение эффек тивных сетевых фильтров. Второй вари- ант предназначен для использования в медленнодействующих малошумящих коммутаторах, в которых мощные сими- Рис. 9 Рис. 10 сторы (тиристоры) включаются при ма- лых напряжениях, близких к нулю, и не создают больших помех. Стоимость та- ких микросхем достаточно низкая, при- мерно $0 5. Основные параметры мик- росхем драйверов фирмы Fairchild Semiconductors приведены в табл. 1. Микросхемы драйверов, имеющие инди- видуальные номера, оканчивающиеся на 1, 2 и 3, обеспечивают включение нагруз- ки при подаче на светодиод тока, соответ- ственно равного 15, 10 и 5 мА. Типовая схема включения драйвера для случая управления мощным симистором приве- дена на рис 11, а для случая управле- ния двумя встречно-параллельно вклю- ченными тиристорами — на рис. 12 [7]. Показанные на рисунках RC-цепочки, включенные параллельно симистору (ти- ристорам), рекомендуется использовать для улучшения их динамических харак- теристик. Меньшее из диапазона сопро- тивление резистора соответствует рези- стивной нагрузке, а большее — -220В индуктивной. Стоимость такого узла обычно не превышает $2. Хорошие динамические характеристики, низ- кие токи управления и малые габа- ритные размеры позволяют считать 220в их наиболее целесообразными как для профессионального, так и для лю- бительского применения Таблица 1 Тип Максимальный ток включения светодиода, мА Максимальное напряжение на светодиоде, В Наличие детектора нуля (ZCC) Максимальное напряжение на симисторе в закрытом состоянии, В Максимальный импульсный ток, А при длительности импульсов, мс Максимальный ток утечки симистора, нА Минимальная скорость нарастания сигнала, В/мкс Напряжение изоляции, кВ (1 минута) мосзоюм 15 3 — 250 1,0/1,0 100 — 4,2 МОС3011М 10 3 — 250 1,0/1,0 100 — 4,2 МОС3012М 5 3 — 250 1,0/1,0 100 — 4,2 МОС3020М 30 3 — 400 1,0/1,0 100 — 4,2 МОС3021М 15 3 — 400 1,0/1,0 100 — 4,2 МОС3022М 10 3 — 400 1,0/1 0 100 — 4,2 МОС3023М 5 3 — 400 1,0/1,0 100 — 4,2 МОС3031М 15 3 + 250 1 0/0 1 100 1000 4,2 МОС3032М 10 3 + 250 1,0/0,1 100 1000 4,2 МОСЗОЗЗМ 5 3 + 250 1,0/0,1 100 1000 4,2 МОС3041М 15 3 + 400 1,0/0,1 100 1000 4,2 МОС3042М 10 3 + 400 1,0/0,1 100 1000 4,2 МОС3043М 5 3 + 400 1,0/0,1 100 1000 4,2 МОС3051М 15 2,5 — 600 1,0/0,1 100 — 4,2 МОС3052М 10 2,5 — 600 1,0/0,1 100 — 4,1 МОС3061М 15 3 + 600 1,0/0 1 500 600 4,2 МОС3062М 10 3 + 600 1,0/0 1 500 600 4,2 МОС3063М 5 3 + 600 1,0/0,1 500 600 4,2 МОС3081М 15 3 + 800 1,0/0,1 500 600 4,2 МОС3082М 10 3 + 800 1,0/0,1 500 600 4,2 МОС3083М 5 3 + 800 1,0/0,1 500 600 4,2 МОС3162М 10 3 + 600 1,0/0,1 100 1000 4,2 МОС3163М 5 3 + 600 1,0/0,1 100 1000 4,1 Приведенные в данной статье схемы управления нагрузкой, включенной в цепь переменного тока, а также отмечен- ные достоинства и недостатки позволят читателю легче ориентироваться в всех возможных вариантах решения этой за- дачи и выбрать вариант, наиболее удов- летворяющий конкретным техническим требованиям с учетом доступности и сто- имости элементов. Олег Николайчук, onic@ch.moldpac.md Рис. 12 Литература: 1. В. Янцев. Регулятор сетевого напря- жения.— Моделист-конструктор, 1990, №4, с. 21. 2. С Алексеев. Триггеры Шмитта без источника питания. — Схемотехника, 2002, No 12, с. 24. 3. Л. Бжевский. Светорегулятор с вы- держкой времени.— Радио, 1989, № 10, с. 76. 4. С. Христофоров. Управление трини- сторами и симисторами.— Схемотехника, 2001, № 12, с. 21—25. 5. http://www.fairchildsemi.com/products/ info7Optocoupler_ssr.pdf. 6. С. Карелин. Модификация тринис- торного регулятора мощности.— Радио, 1990, № 11, с. 47. 7. http://www.fairchildsemi.com/products/ info/MOC3042-M.pdf. 26
основы схемотехники Генераторы ВЧ на микросхемах КМОП Генераторы на инверторах КМОП находят широкое применение в технике связи. Недостатком таких генераторов является ограниче- ние по рабочей частоте, которая, например, для микросхем серии К561 не превосходит 1...2 МГц. В статье рассматривается способ включения микросхем КМОП, позволяющий повысить рабочую ча- стоту в 4...5 раз. Высокие эксплуатационно-техничес- кие характеристики микросхем КМОП, их доступность, а также низкая стоимость, делают эти микросхе- мы привлекательными для построения генераторов ВЧ [1]. Задержка сигнала в инверторе ограничивает рабочую часто- ту генератора и не позволяет использо- вать частотные свойства полевых тран- зисторов микросхем в полной мере. Включение полевого транзистора по схе- ме с общим стоком позволяет повысить рабочую частоту генератора в 4...5 раз. Примеры построения подобных генера- торов на элементах LC показаны на рис. 1—3. Схема, показанная на рис.1, рекомен- дуется для построения генераторов, ра- ботающих при пониженном напряжении питания. С помощью резистора R2 ус- танавливается необходимый ток потреб- ления генератора, при котором обеспечи- вается устойчивое возбуждение схемы. Величина этого тока повышается с рос- том рабочей частоты и не превосходит, как правило, значения 2,5...5 мА. Сопро- тивление резисторов R1 и R2 выбирает- ся из условия допустимого шунтирования колебательного контура LC1. Для практи- ческого применения рекомендуемые зна- чения сопротивлений R1 и R2 должны находиться в диапазоне 1...5МОм, при тора с таким включением показан на рис. 4. В генераторе по этой схеме можно добиться еще большего снижения напря- жения питания по сравнению с вариан- том по схеме на рис. 1. Так, для микро- схем серии К561 это напряжение может составлять 1,8...2,5 В, однако при таком включении возрастает входная емкость активного элемента, что необходимо учи- тывать при расчете элементов колеба- тельного контура LC1. Работа описанных выше генераторов была проверена на макетах. Схемы на рис. 1 и 2 были реализованы на одном активном элементе микросхемы К561ЛН2 с пассивными элементами со следующими параметрами: R1 = R2 = = 2,7 МОм, С2 = 1200 пФ, Свых = 33 нФ, R3 = 1 кОм, С4 = 4700 пФ. Катушка ин- дуктивности содержала 10+10 витков медного провода диаметром 0,5 мм, на- мотанных на каркасе диаметром 8 мм без сердечника. Длина намотки состави- ла 12 мм. Для устранения влияния ос- тальных активных элементов их входы подключались к выводу +Un. Максималь- ная частота генерации, равная 9,8 МГц, достигалась при емкости С1, равной 50 пФ. Оба варианта генератора испы- тывались при Un = 5 В. При этом потреб- ляемый ток для генератора, схема кото- метром 5 мм и длиной намотки 6 мм со- держала 7 витков медного провода диа- метром 0,35 мм (остальные элементы такие же как и у предыдущих вариан- тов). Генератор устойчиво генерировал ВЧ сигнал с частотой 100 МГц при С1 = 10 пФ. Для построения высокостабильных ге- нераторов можно рекомендовать вариан- ты с кварцевыми резонаторами по схе- ме на рис. 5. При применении микросхем К561ЛН2 генератор устойчиво работал на частотах вплоть до 10 МГц. При этом С5 = 56 пФ, а С6 = 62 пФ. Для обеспечения генерации на более высоких частотах применялась микро- схема 74АС04. Описанные в статье схемы позволяют повысить предельную частоту генерации по сравнению с типовыми генераторами на инверторах в 4...5 раз. Кроме того, генераторы на инверторах имеют повы- шенный уровень фазового шума. К недостаткам генераторов следует отнести невозможность использования остальных активных элементов, разме- щенных в одном корпусе микросхемы, а также необходимость применения бу- ферного каскада для развязки. Дмитрий Онышко, Александр Журченко, oda78 @ pisem.net Литература: 1. Д. Онышко. Модуль передатчи- ка на основе быстродействующих цифровых микросхем КМОП-струк- туры. — Схемотехника, 2001, №11, с. 36, 37. этом указанное выше условие выполня- ется автоматически. Схема, показанная на рис. 2, рекомен- дуется для улучшения равномерности параметров выходного сигнала широко- диапазонных генераторов. Параметры колебательного контура LC1 определя- ются из требуемой рабочей частоты по обычной методике. Отвод в катушке ин- дуктивности L определяется из требуе- мой рабочей частоты и выходного на- пряжения и находится в пределах 0,25...0,5 от общего числа витков, счи- тая от нижнего по схеме вывода катуш- ки индуктивности. Схема, показанная на рис. 3, позволяет реализовывать опти- мальные параметры генератора и мо- жет быть рекомендована для работы в узком диапазоне частот. В ряде случаев можно рекомендо- вать параллельное включение актив- ных элементов. Пример схемы генера- рого приведена на рис. 1, составил 5 мА, а для варианта на рис. 2 — 2,5 мА. Схема на рис. 3 была реализована на одном активном элементе микросхемы 74АС04 (С5 = 33 пФ, С6 = 51 пФ). Ка- тушка индуктивности с внутренним диа- Редакция журнала «Схемотехника» приглашает авторов к сотрудничеству По всем вопросам обращаться: e-mail: editor@dian.ru тел./факс (095)285-17-75 Требования к оформлению статей см. в № 12, 2002, с. 44. Гонорары выплачиваются авторам, проживающим на территории СНГ. 27
www.dian.ru офт (Окончание. Начало — №3/2003) Поведенческое моделирование в PSpice PSpice модель источника шума Проектирование схем без учета шу- мов и помех — тривиальная задача. Достаточно создать большое усиление и можно было бы уловить любой сла- бый сигнал. Такая наука, как радиотех- ника, была бы никому не нужна. Учет шумов особенно важен при проектиро- вании приемных устройств, задача ко- торых сводится к наилучшему восста- новлению полезной информации по искаженному сигналу, поступающему на вход совместно с помехами и шу- мами. В PSpice есть директива анализа шу- мов. Но при этом производится линеари- зация схемы вблизи рабочей точки и рас- считываются спектральные плотности шумов. Это конечно хорошо, но совсем не наглядно, и далеко не для всех схем дает ценный для практики результат. Ведь подавляющее большинство реаль- ных устройств нелинейно. Кроме того, этот режим анализа не распространяет- ся на цифровые устройства. Хочется, ко- нечно, непосредственно наблюдать со- вместное прохождение сигнала и шума через любые цепи. Это позволит действи- тельно оценить работоспособность уст- ройства, а не просто просмотреть элект- рические режимы. Но для этого надо использовать режим анализа переходных процессов TRANSIENT. Однако для режи- ма TRANSIENT в библиотеке OrCAD-9.2 автор не нашел источника шумового на- пряжения. Используя методы поведенчес- кого моделирования источник шума мож- но реализовать на базе библиотечного кусочно-линейного источника напряже- ния, который считывает свои значения из входного файла. Выходной файл форми- руется внешней программой, написанной на языке BASIC. Принципиальная схема модели генера- тора случайного шума представлена на рис. 15. При практическом использова- нии ее удобно представить в виде блока (рис. 16). При вводе этой схемы обратите вни- мание на следующее: caa? gi/adun tz -Ki nxHHxaiowax-^ Eout — управляемый напряжением источник напряжения. Введите его, ис- пользуя имя с буквой Е в начале. Он выполняет роль буфера и масштабиру- ющего усилителя. Вместо него можно использовать аналоговый блок GAIN, имеющийся в поставочной библиотеке с названием ABM.lib и выполняющий ана- логичные функции. Vnoise — кусочно-линейный источник напряжения, который считывает свои зна- чения из входного файла, записанного в рабочем каталоге. Использован компо- нент с именем VPWL_FILE. Он имеет ат- рибут <File>. Это необходимо, так как именно он будет считывать отсчеты шу- мового напряжения, которые мы будем хранить в этом входном файле. Опреде- ляется атрибут <File> в нашем случае так: [pathj\pwlnoise.txt. Надо указать тот путь, в котором со- хранены все файлы проекта, в том чис- ле и схемные *.dsn файлы. Сохраните схему с таким именем: pwlnoise.dsn. Функции источника шума Источник Vnoise генерирует случайные значения напряжения, масштабируемого к 1VRMS (среднеквадратичное значение напряжение шума 1 В). Этот сигнал на- зовем RAW первичный источник шума (заготовка). Компоненты Rfil и Cfil служат для того, чтобы фильтровать сигнал RAW, a Eout масштабирует его к величине RMS (среднеквадратичное значение напряже- ние шума) в VRMS, указанной пользова- телем. Следовательно, сигнал FIL — от- масштабированный и отфильтрованный шум. Источники шума RAW и FIL могут использоваться в пределах вашей схемы так, как вам это требуется. Фильтрование первичного шума с помощью RC-цепи устраняет проблемы сходимости при мо- делировании, вызываемые прерывистым характером необработанного шумового сигнала. Нефильтрованный шумовой сигнал V(NOISE_RAW) (рис. 17, верхний гра- фик) равномерно распределен по диапа- зону значений. Коэффициент формы шума этого типа — приблизительно 1,8:1. Шумовые выбросы имеют треу- гольную форму, каждый угол — разрыв, который может вызывать проблемы схо- димости. Такая форма сигнала ведет к спектру вида sin(x)/x, который содержит гармоники, простирающиеся до очень высоких частот. При этом огибающая спектра начинается с плоского максиму- ма и проходит через серию пиков и до- лин. Амплитуда размаха огибающей уменьшается с ростом частоты. Таким образом, с сигналом RAW при моделировании могут возникнуть две проблемы. Из-за дискретной природы данных, по- лученных при моделировании переход- ных процессов, возникают разнообраз- ные ошибки, к тому же спектр реально- го шума не похож на sin(x)/x, а скорее на спектр с ограниченной полосой. Проблемы сходимости являются резуль- татом наличия изломов и возможного большого уклона изменений в точках пе- региба PWL шумовой таблицы. Наша про- грамма решает эти проблемы, вычисляя 3 dB ширину полосы частот первого лепе- стка спектра шума. Этот спектр пропус- кается через однополюсный RC-фильтр, который стоит на выходе генератора шума. Фильтрация решает вышеупомяну- тые проблемы, скругляя углы шума (таким образом удаляются разрывы) и ограничи- вая частотный диапазон спектра источни- ка шума. Фильтрованный шумовой сигнал V(NOISE_FIL) (рис. 17, нижний график) во временной области показывает реа- листичные «плавно округленные» углы. Спектр фильтрованного шумового сигна- ла имеет лучшие характеристики, чем спектр нефильтрованного. Моделирова- ние в этом случае происходит более ус- тойчиво, поскольку изменения и уклоны не такие резкие. BASIC-программа генерации случайных напряжений Программа генерации случайных на- пряжений Pwlnoise.bas (табл. 3) выпол- няется обычным BASIC интерпретато- ром — Microsoft GW-BASIC, однако необходимо оставить среду Windows, чтобы модифицировать или выполнить программу. Можно использовать любой текстовый редактор или текстовый про- цессор для того, чтобы скопировать или отредактировать программу. Со- хранять ее надо в кодах ASCII под на- званием, например, pwlnoise.bas. (Не сохраняйте его как файл «отформати- рованный» для вашего текстового про- цессора. Например, если вы использу- ете Microsoft Word, сохраняйте файл Pwlnoise.bas как txt, а не как doc). В табл. 4 даны пояснения к строкам про- граммы. Использование программы Прежде всего, обратите особое внима- ние на строку 20 программы. В ней вы должны определить путь к вашему ра- бочему каталогу с файлами проекта. Для завершения этой строки поместите в указанное место путь и не забудьте зак- лючительную наклонную влево черту. Когда вы будете готовы выполнить про- грамму, откройте меню ПУСК на рабо- чем столе Windows и выберите пункт ВЫПОЛНИТЬ. Далее введите следую- щую строку: [path]\gwbasic [path]\pwlnoise. После запуска программа в диалого- вом режиме будет запрашивать от вас ввод трех значений: TIME STEP — шаг по времени в се- кундах: метки времени между шагами в PWL источнике. Этот параметр частич- но управляет шириной полосы спектра и скоростью просмотра файла отображе- ния источника. Например, если шаг уменьшен, значения случайных помех изменяются более быстро во времени, 28
Таблица 3 Убедитесь, что вы закончили строку 20. 10 CLS:RANDOMIZE TIMER:PI=4*ATN(1):R FIL=10ОО 20 PATH$= 30 PRINT:PRINT»INPUT VALUES» 40 PRINT:PRINT»*** Use numerical notation without units. < 50 PRINT»*** For example, enter 1.2E-3 or 0.0012, but not 1.2msec or 1.2mV.» 60 PRINT:PRINT»Enter TIME STEP in seconds: «;:LINE INPUT TS$:TS=VAL(TS$) 70 PRINT:PRINT»*** The next value must be larger than TIME STEP.» 80 PRINT:PRINT»Enter FINAL TIME in seconds: «;:LINE INPUT FT$:FT=VAL(FT$) 90 PRINT:PRINT»Enter RMS NOISE in volts(RMS): «;:LINE INPUT RMS$:RMS=VAL(RMS$) 100 NP=INT(FT/TS)+1:DIM A(NP) 110 SLEW=2*SQR(2)*RMS/TS:BW=3.2/ (2*PI*TS):CFIL=1/(2*PI*RFIL*BW) 120 130 PRINT:PRINT:PRINT»OUTPUT VALUES» 140 PRINT:PRINT» Points»;TAB(16);«Bandwidth»;TAB(36); 150 PRINT»Maximum slew rate»;TAB(61);»CFIL» 160 PRINT STRING$(75,45) 170 PRINT NP;TAB(15);BW;»Hz»;TAB(35);SLEW;»V/ sec»;TAB(60);CFIL; »F» увеличивается ширина спектра шума и изменяется скорость просмотра файла изображения. FINAL TIME — конечное время в се- кундах: момент, в который генератор шума должен быть остановлен. Увели- 180 PRINT:PRINT:PRINT»*** Working.. »; 190 ' 200 FOR 1=2 TO NP 210 A(I)=RND:SUM=SUM+A(I) 220 NEXT I 230 MEAN=SUM/NP 240 FOR 1=1 TO NP 250 V=V+(A(I)-MEAN)"2 260 NEXT I 270 SD=SQR(V/NP) 280 FOR 1=2 TO NP 290 A(I)=(A(I)-MEAN)/SD 300 NEXT I 310 ' 320 OPEN «PWLNOISE.{}»FOR OUTPUT AS 2 330 PRINT#2,»0,0 «; 340 FOR 1=2 TO NP 350 IF (1-1)/3=INT((1-1)/3) THEN PRINT#2,»»:PRINT#2, »+ « ELSE PRINT#2,» « 360 X$=STR$(I*TS):X$=RIGHT$(X$,LEN(X$)- D 370 Y$=STR$(A(I)):Y$=RIGHT$(Y$. LEN(Y$)- 1) 380 PRINT#2,X$;»,»;Y$; 390 NEXT I 400 ' 410 CLOSE:LOCATE 23,1:BEEP 420 PRINT»»» After making a note of FINAL TIME, RMS and CFIL, «; 430 PRINT»press any key to exit «««; 440 IF INKEY$=»»THEN 440 ELSE SYSTEM чение этого числа увеличивает число шагов,- включенных в PWL-источник шума. RMS NOISE — среднеквадратичное значение напряжения шума в вольтах — эффективная величина напряжения шума, который нужно моделировать. Этот параметр также воздействует на скорость просмотра файла с отсчетами и ширину спектра генератора шума. Если эффективная величина увеличена для данного шага, увеличивается крутиз- на отсчета, следовательно, увеличивает- ся и ширина спектра сигнала. Как только вы ввели эти значения, программа отобразит четыре вычислен- ных результата: Points — число точек, которые будут включены в PWL-источник шума; Bandwidth — ширина полосы по уров- ню 3 dB от ширины первого лепестка спектра шума; Maximum Slew Rate — приблизитель- ная максимальная скорость обработки файла с отсчетами, которой сигнал мо- жет достигать от одного такта до дру- гого; CFIL — вычисленную программой ем- кость конденсатора фильтра. Когда все необходимые вычисления будут сделаны, программа напомнит вам, что бы вы сделали запись парамет- ров TIME STEP, RMS NOISE и CFIL, ко- торые вам в дальнейшем понадобятся для составления задания на моделиро- вание конкретного проекта. Взаимодействие программы со схемой и PSpice Для того, чтобы воспользоваться ре- зультатами работы программы pwlnoise.bas, необходимо провести неко- торую подготовительную работу. Войдите в редактор схем OrCAD Capture и открой- те файл Pwlnoise.dsn, в котором должна быть нарисована схема модели источни- ка шума (см. рис. 15). Установите значение конденсатора CFIL в модели источника шума таким, которое вычислено программой pwlnoise.bas. Установите атрибут GAIN Eout равным RMS, которую вы ввели при выполнении программы pwlnoise.bas. Убедитесь, что ввели только цифру без размерности (усиление безразмерно). Например, вве- сти надо «0.125», а не «0.125V». Установите продолжительность вре- мени анализа переходных процессов (TRANSIENT) равной FINAL TIME, ко- торую вы ввели при выполнении про- граммы pwlnoise.bas. Теперь вы може- те выполнять PSpice моделирование и пользоваться программой PROBE обычным способом. Глобальные порты RAW и FIL позволяют удобнее пользо- ваться этой схемой в других частях схемного проекта, где требуется источ- ник шума. Но не забывайте повторно запускать pwlnoise.bas всякий раз, ког- да вам нужно изменить параметры ис- точника. Мы рассмотрели некоторые нетра- диционные методы решения нескольких интересных прикладных задач. Получе- ние этих результатов другим способом потребовало бы гораздо больших уси- лий. Вспомним хотя бы о сложности решения нелинейных дифференциаль- ных уравнений. Использование гото- вых блоков поведенческого моделиро- вания (табл. 2) делает эти задачи интересной и познавательной игрой,
Таблица 4 Строка Действие 10 Определяет PI (p); определяет RFIL (значение резистора фильтра) как 1000 Ом 20 Определяет путь, используемый программным обеспечением; измените его в случае необходимости 60 Определяет (TIME STEP) шаг времени (в секундах). Вводится пользователем 80 Определяет (FINAL TIME ) конечное время (в секундах). Вводится пользователем 90 Определяет (RMS) средне квадратичное значение напряжение шума (в VRMs). Вводится пользователем 100 Вычисляет число точек и строит соответствующий массив А(1) 110 Вычисляет максимальную скорость просмотра файла изображения, исходную ширину полосы частот и емкость конденсатора фильтра 210 Заполняет массив с неотрицательными случайными числами и вычисляет сумму всех значений массива. Обратите внимание, что А(1) остается равным 0 230 Вычисляет среднее арифметическое ("среднее число") значений массива 250 Вычисляет дисперсию (квадрат среднеквадратичного отклонения) значений массива 270 Вычисляет среднеквадратичное отклонение значений массива 290 Переопределяет значения массива так, чтобы они имели среднее значение 0 и стандартное среднеквадратичное отклонение 1 www.dian.ru позволяющей прикладному специали- сту сконцентрироваться над сущнос- тью решаемой задачи, а на не мате- матических методах. Олег Петраков, petrakov @ mtu-net. ru (Окончание. Начало — №7/2002) Использование шаблонов HDL-редактора при создании описаний цифровых устройств с помощью языка VHDL Global Clock Buffer представляет собой папку, в которой сосредоточены описания типовых вариантов применения гло- бальных буферных элементов. Глобальные буферы предназ- начены для передачи входных сигналов синхронизации на спе- циальные выделенные цепи, обеспечивающие распределение тактового сигнала внутри кристалла с высоким коэффициен- том разветвления и минимальными разбегами фронтов. BUFG содержит образец применения компонента, представ- ляющего глобальный буфер, необходимый для коммутации сиг- нала синхронизации, который поступает на тактовые входы ПЛИС для последующего распределения его внутри кристалла с минимальным временным перекосом задержек. Этот шаблон состоит из двух частей. Первая секция, в которой представле- на декларация соответствующего компонента BUFGP, должна располагаться в начальной части архитектурного тела (между ключевыми словами «architecture» and «begin»). Второй фраг- мент, в котором описывается создание экземпляра этого эле- мента, следует поместить после ключевого слова «begin». -Instantiating BUFGP on Input Port INPUT PORT: in std_logic; component BUFGP port (I: in std_logic; 0: out std_logic); end component; глл? giradun -Ki nnuHYaiowaY^ “Insert the following after the "begin" keyword** signal CLKSIG: std_logic; U1: BUFGP port map (I => INPUT_PORT, 0 => CLK_SIG); BUFGCE представляет собой образец описания глобально- го буфера со стробируемым выходом, предназначенного для применения в проектах, реализуемых на базе ПЛИС семейств Virtex-ll и Virtex-ll PRO. Этот шаблон выполнен в виде закон- ченного описания субмодуля, построенного на основе библио- течного компонента BUFGMUX, который будет рассмотрен ниже. Для обозначения интерфейсных цепей субмодуля ис- пользуются следующие идентификаторы: I соответствует вхо- ду сигнала синхронизации; СЕ — входу разрешения переда- чи входного сигнала на выход буфера, О — выходу. При наличии сигнала высокого логического уровня на входе раз- решения СЕ тактовый сигнал, поступающий на вход I, пере- дается на выход О. Низкий логический уровень сигнала на входе СЕ блокирует выход глобального буфера, переключая его в состояние логического нуля. - Module: BUFGCE_SUBM library IEEE; use IEEE.std_logic_1164.all; - synopsys translate_off library UNISIM; use UNISIM.VCOMPONENTS.ALL; - synopsys translate_on entity BUFGCE_SUBM is port ( I: in std_logic; CE: in std_logic; 0: out stdjogic ); end BUFGCE_SUBM; architecture BUFGCE_SUBM_arch of BUFGCE_SUBM is - Component Declarations: component BUFGMUX port ( 10 in stdlogic: 11 : in std_logic; 8 in std logic; 0 . out std_logic ); end component; - signal declarations signal GND std_logic: signal CE_B std_logic; begin GND <= "0"; CE_B <= not CE; - Global Clock Buffer Instantiation U-BUFGMUX: BUFGMUX port map ( 10 => I, 11 => GND, S => CE_B, 0 => 0 30
); end BUFGCE_SUBM arch; BUFGCE 1 описывает субмодуль, представляющий глобаль- ный буфер со стробируемым выходом, который предназна- чен для использования в проектах, реализуемых на базе кри- сталлов семейств Virtex-ll и Virtex-ll PRO Структура этого шаблона и система обозначений интерфейсных цепей те же, что и в предыдущем описании. В отличие от BUFGCE выход глобального буфера, представленного в шаблоне BUFGCE_1, в режиме блокировки переключается в состояние высокого логического уровня. Основу описываемой конструкции состав- ляет компонент BUFGMUX_1, рассматриваемый далее. BUFGMUX содержит образец применения библиотечного ком- понента, представляющего глобальный буфер с двумя мульти- плексируемыми входами. Этот компонент может применяться только в проектах, выполняемых на базе ПЛИС семейств Virtex- ll и Virtex-ll PRO. Для обозначения его интерфейсных цепей (пор- тов) используются следующие идентификаторы: I0 и И соот- ветствуют входам тактовых сигналов, S — входу выбора сигнала синхронизации, О — выходу буфера. Если на вход выбора S подается сигнал низкого логического уровня, то на выходе глобального буферного элемента присутствует такто- вый сигнал, поступающий на вход I0. При высоком логическом уровне сигнала на входе S на выход буфера передается сиг- нал синхронизации со входа И. В момент переключения сигна- ла на входе выбора выход глобального буфера находится в состоянии логического нуля. Структура шаблона включает в себя две секции. В первой части приведен образец деклара- ции компонента BUFGMUX. Во второй секции описывается со- здание экземпляра указанного элемента. - Module: BUFGMUX_INST - Component Declarations component BUFGMUX port ( 10 in std_logic; 11 in std_logic; S : in std_logic: 0 out std_logic ); end component; - Architecture section: - Global Clock Buffer Instantiation U.BUFGMUX: BUFGMUX port map ( 10 => , - insert clock input used when select (S) is Low 11 => , - insert clock input used when select (S) is High S => . - insert Mux-Select input 0 => - insert clock output ): BUFGMUX_1 представляет собой образец использования глобального буфера с двумя мультиплексируемыми входами, который предназначен для коммутации сигнала синхрониза- ции в устройствах, реализуемых на базе кристаллов серий Virtex-ll и Virtex-ll PRO. Содержание этого шаблона аналогич- но предыдущему, отличаясь только названием используемо- го компонента. В отличие от элемента, описываемого в пре- дыдущем шаблоне, выход глобального буфера BUFGMUX_1 в момент переключения сигнала выбора переводится в состо- яние высокого логического уровня. LUT — папка, в которой расположены типовые варианты декларации и инициализации компонентов, описывающих таб лицы преобразования (Look-Up-Table, LUT) кристаллов се- мейств FPGA. В шаблонах этой группы используется следую- щая система обозначений портов: I0—13 соответствуют входам, О — выходу таблицы преобразования. LUT1, LUT2, LUT3, LUT4 представляют собой образцы опи- сания соответственно одновходового, двухвходового, трехвхо- дового и четырехвходового вариантов использования таблиц преобразования ПЛИС FPGA. Эти шаблоны имеют одинако- вую структуру, отличаясь только числом входов и названием соответствующих компонентов. В качестве примера ниже при- софт водится текст шаблона LUT4. Первая часть включает в себя выражения декларации элемента таблицы преобразования. Во второй секции представлены образцы инициализации компо- нента и его экземпляра. Инициализация выполняется с помо- щью атрибута INIT, значение которого задается в виде шест- надцатеричного символа. -4-Bit Look-Up-Table with General Output component LUT4 port ( 10 in std_logic; 11 in std_logic; 12 : in std_logic; 13 in std_logic; 0 ' out std logic ): end component; - Attribute applied to instantiation attribute INIT . string; attribute INIT of u1 : label is «В»; - Attribute applied to component attribute INIT : string; attribute INIT of LUT4 : component is «В»; MUX — папка, в которой расположены образцы деклара- ции компонентов, представляющих мультиплексоры, входящие в состав логики ускоренного переноса и дополнительной ло- гики кристаллов основных семейств FPGA. MUXCY включает в себя шаблон декларации мультиплек- сора 2 в 1, предназначенного для реализации функции уско- ренного переноса в ПЛИС семейств FPGA. - 2-to-1 Multiplexer for Carry Logic with General Output component MUXCY port ( DI . in std_logic; CI : in std_logic: S ' in std_logic; 0 out std.logic ); end component; MUXF5, MUXF6 содержат образцы декларации компонентов, представляющих мультиплексоры F5 и F6, входящие в состав дополнительной логики кристаллов FPGA. Содержимое этих шаблонов отличается только названием декларируемого ком- понента. Ниже в качестве примера приводится текст шаблона MUXF5. I0 и И соответствуют входам мультиплексора F5, под ключаемым к выходам функциональных генераторов. В муль- типлексоре F6 порты I0 и И соответствуют входам, подключае- мым к выходам мультиплексоров F5. Порты S и О соответствуют входу выбора и выходу мультиплексоров F5 и F6. -2-to-1 Lookup Table Multiplexer with General Output component MUXF5 port ( 10 in std.logic; 11 in std_logic; S in std_logic; 0 . out std.logic ); end component SelectIO представляет собой папку, в которой сосредоточены описания типовых вариантов применения специальных буфер- ных элементов, позволяющие разработчику указать стандарт ин- терфейса ввода/вывода для соответствующего контакта ПЛИС. В названиях компонентов, которые описываются в шаблонах этой группы, следует заменить символы XXX идентификаторами, соответствующими выбранному стандарту интерфейса ввода/ вывода. Перечень возможных обозначений, указываемых вме- сто XXX, для каждого элемента определяется списком поддер- живаемых стандартов ввода/вывода для используемого кристал- ла. В структуре шаблонов, которые находятся в рассматриваемой папке, можно выделить две секции. Выражения декларации ис- пользуемых сигналов и компонента, расположенные в первой части шаблонов, следует поместить в начале архитектурного тела перед ключевым словом «begin». Строки из второй секции, описывающие создание экземпляров компонентов, должны рас- полагаться после ключевого слова «begin». 31
софт SelectIO bidirectional buffers содержит образец описания специального двунаправленного буфера, который предостав- ляет возможность выбора стандарта интерфейса для соответ- ствующих выводов ПЛИС. Для обозначения его интерфейс- ных цепей (портов) используются следующие идентификаторы: 10 и О соответствуют входу и выходу, которые подключаются к внутренним цепям кристалла, Т — входу управления, 10 — двунаправленному выходу буфера, соединяемому с соответ- ствующим выводом ПЛИС. - INOUT_PORT incut STD,LOGIC; signal IN_SIG, OUT_SIG. TENABLE: std_logic: component IOBUF_XXX port (I, T: in std_logic; 0: out std_logic: 10: inout std_logic); end component; -“Insert the following after the ‘‘begin” keyword** U1: IOBUF_XXX port map (I => OUT. SIG, T => T_ENABLE, 0 => IN.SIG. 10 => INOUT-PORT): SelectIO input buffers — шаблон, описывающий примене- ние компонента (специального входного буфера), который позволяет выбрать требуемый стандарт интерфейса. Порты I и О соответствуют входу и выходу буфера. - INPUTPORT : in STD_LOGIC; signal INT-SIG: std_logic; component IBUF_XXX port (I: in std_logic; 0: out std_logic)' end component; -“Insert the following after the “begin” keyword** U1: IBUF_XXX port map (I => INPUT-PORT, 0 => INT_SIG): SelectIO clock buffers включает в себя образец использо- вания компонента, представляющего специальный буфер так- тового сигнала, который позволяет задать тип интерфейса ввода/вывода. Текст этого шаблона отличается от предыду- щего только названиями компонента и входного сигнала. SelectIO output buffers представляет типовой вариант опи- сания специального выходного буфера, который имеет опцию выбора интерфейса ввода/вывода. - OUTPUT_PORT : out STD_LOGIC; signal INT_SIG: std_logic; component OBUF_XXX port (I: in std_logic; 0: out std_logic); end component; -“Insert the following after the “begin” keyword** U1: OBUF_XXX port map (I => INT_SIG. 0 => OUTPUT_PORT); SelectIO output buffers with tri-state содержит шаблон при- менения специального выходного буфера с тристабильным выходом, который позволяет выбрать необходимый тип интер- фейса ввода/вывода. Порты I и О описывают соответственно информационный вход и выход буфера, Т — вход управления третьим состоянием. При низком логическом уровне сигнала на входе управления Т информационный сигнал, поступающий на вход I, передается на выход буфера. При подаче сигнала высокого логического уровня на вход управления выход буфе- ра переключается в «третье» (высокоимпедансное) состояние. - OUTPUT_PORT : out STD_LOGIC; signal INT_SIG, T_ENABLE: std_logic; component OBUFT_XXX port (I, T: in std_logic; 0: out std_logic); end component; к-лемитслпиг^и гт -“Insert the following after the “begin'' keyword** U1: OBUFT-XXX port map (I => INT-SIG, T => T_ENABLE, 0 => OUTPUT_PORT); SRLUT — папка, в которой расположены образцы деклара- ции и инициализации компонентов, описывающих регистры сдвига, реализуемые на базе таблиц преобразования (LUT) кристаллов семейств FPGA. Сдвиговые регистры, построен- ные на основе LUT-элементов, являются 16-разрядными с точ- ки зрения внутренней организации. Выходным разрядом ре- www.dian.ru гистра может быть выбран любой из 16-ти. Число используе- мых разрядов регистра сдвига (т. е. номер разряда, с кото- рого данные поступают на выход) определяется состоянием сигналов на входах АО—АЗ. При низком логическом уровне сигнала на всех этих входах таблица преобразования выпол- няет функции одноразрядного регистра сдвига, а при высо- ком — шестнадцатиразрядного. В шаблонах этой группы ис- пользуется следующая система обозначений портов: АО—АЗ соответствуют входам выбора разрядности сдвигового регис- тра, D — входу данных, Q — выходу, CLK — входу синхрони- зации, СЕ — входу разрешения записи, Q15 — выходу 16-го разряда, предназначенному для каскадного соединения не- скольких регистров сдвига, которое выполняется с целью по- лучения большей разрядности. Начальное содержимое этих регистров сдвига определяется с помощью параметров и ат- рибутов INIT, значение которых задается в виде строки, со- стоящей из четырех шестнадцатеричных символов. SRL16 содержит шаблон использования компонента, пред- ставляющего регистр сдвига (выполненный на основе LUT- элемента ПЛИС), управляемый фронтом тактового сигнала. — Component SRL16 — component SRL16 synopsys translate_off generic ( INIT : bit_vector := X»0000“): synopsys translate_on port (D : in STD_logic; CLK in STD_logic: AO in STD_logic; A1 in STD_logic; A2 in STD_logic; A3 in STD_logic; 0 out STD_logic); end component; attribute INIT: string: attribute INIT of SRL-EXAMPLE . label is «0000»; SRL16_1 включает в себя образец декларации и инициали- зации сдвигового регистра, реализуемого на основе таблицы преобразования, который тактируется спадом сигнала синх- ронизации. Этот шаблон отличается от предыдущего только названием соответствующего компонента. SRL16E, SRL16E_1 представляют шаблоны описания реги- стров сдвига, построенных на базе LUT-ресурсов ПЛИС с раз- решением синхронизации, которые управляются соответствен- но фронтом и спадом тактового сигнала. Запись и сдвиг информационных данных в регистре производится при высо- ком логическом уровне сигнала на входе разрешения СЕ. Так как эти описания различаются только названием используе- мых компонентов, то ниже в качестве примера приводится текст шаблона SRL16E. — Component SRL16E — component SRL16E - synopsys translate_off generic ( INIT . bit_vector = X»0000“); - synopsys translate-On port (D ; in STD_logic. CE in STD_logic; CLK in STDlogic; A0 in STD_logic: A1 in STD-logic; A2 in STD_logic; A3 in STD_logic; 0 out STD_logic); end component: attribute INIT: string; attribute INIT of SRL_EXAMPLE label is «0000»: SRLC16, SRLC16_1 — образцы использования компонен- тов, представляющих каскадируемые сдвиговые регистры, выполненные на основе таблицы преобразования ПЛИС, ко- торые тактируются соответственно фронтом и спадом сигна- ла синхронизации. Отличие компонентов SRLC16 и SRLC16_1 от SRL16 и SRL16_1 заключается в наличии дополнительного 32
выхода последнего (16 го) разряда, который предназначен для каскадного соединения сдвиговых регистров. Эти шаблоны имеют аналогичную структуру, поэтому далее приводится текст одного из них, SRLC16. — Component SRLC16 — component SRLC16 - synopsys translate_off generic ( INIT : bit_vector = X»0000"); - synopsys translate_on port (D in STD_loglc; CLK in STD logic АО in STDlogic; A1 in STD_logic; A2 in STD_logic; A3 in STD_logic; 0 out STD_logic; 015 end component; out STD_logic): attribute INIT: string; attribute INIT of SRLC-EXAMPLE label is «0000» SRLC16E, SRLC16E_1 содержат шаблоны декларации и инициализации каскадируемых сдвиговых регистров, реали- зуемых на основе LUT-ресурсов ПЛИС, с разрешением синх- ронизации, которые тактируются соответственно фронтом и спадом сигнала синхронизации. — Component SRLC16E — component SRLC16E - synopsys translate_off generic ( INIT bit_vector := X»0000'); - synopsys translate_on port (D in STDlogic; CE in STD_logic; CLK in STD_logic: AO in STD_logic; A1 in STD_logic: A2 in STD-logic: A3 in STD-logic; 0 out STD_logic; 015 out STDlogic). end component; attribute INIT: string; attribute INIT of SRL_EXAMPLE label is «0000»; STARTUP представляет собой папку, в которой сосредото- чены шаблоны использования библиотечных примитивов, пред- назначенных для организации управления глобальными цепя- ми сброса и установки в кристаллах семейств FPGA. Структура этих шаблонов включает в себя две секции. В первой части приведен образец декларации соответствующего компонента STARTUP, который необходимо поместить в начале архитек- турного тела перед ключевым словом «begin». Строки из вто- рой секции, описывающие создание экземпляров компонентов, должны располагаться после ключевого слова «begin». STARTUP SPARTAN2. STARTUP VIRTEX, STARTUP содер жат образцы применения библиотечных компонентов, предо- ставляющих возможность внешнего управления глобальными цепями сброса и установки в ПЛИС семейств SPARTAN2, VIRTEX и ХС4000 соответственно. Перечисленные шаблоны имеют одинаковую структуру, отличаясь только названиями используемых компонентов. Поэтому далее приводится текст описания только шаблона STARTUP_SPARTAN2. - Instantiating STARTUP in SPARTAN2 MYGSR: in std_logic; component STARTUP_SPARTAN2 port (GSR: in std_logic); end component; - ••Insert the following after the “begin" keyword** U1: STARTUP.SPARTAN2 port map (GSR=>MY_GSR); V2 Multiplier — папка, содержащая пример описания встро- енного аппаратного умножителя с помощью соответствующе- го библиотечного компонента MULTI 8X18 MULT18X18 включает в себя образец декларации библио- течного элемента, представляющего умножитель двух 18-раз рядных чисел, который реализуется на базе соответствующих ресурсов ПЛИС семейств Virtex-ll и Virtex-ll PRO. В этом шаб- лоне порты А и В соответствуют входам первого и второго операндов, Р — выходу умножителя. — Component MULT18X18 — component MULT18X18 port (A: in STD-LOGIC-VECTOR (17 downto 0); B: in STD.LOGIC VECTOR (17 downto 0); P . out STD_LOGIC-VECTOR (35 downto 0) ); end component: Шаблоны, создаваемые разработчиком Папка User Templates в отличие от рассмотренных выше Language Templates, Synthesis Templates и Component Instantiation изначально не содержит никаких элементов и предназначена для хранения шаблонов, определяемых раз работником. В процессе работы над проектом многократно ис- пользуемые блоки HDL-описаний целесообразно оформить в виде соответствующих шаблонов. Для того, чтобы приступить к созданию нового шаблона следует выделить название папки User Templates в окне шаб- лонов HDL-редактора пакета WebPACK ISE, поместив курсор на соответствующей строке списка в левой области и щелк- нув левой кнопкой мыши. После этого нужно воспользовать- ся кнопкой , расположенной на оперативной пане- ли окна шаблонов, или командой New Template из всплывающего контекстно-зависимого меню, которое выводит- ся на экран щелчком правой кнопки мыши. В результате вы полненных действий в папке User Templates появится новый элемент с именем New Template, которое доступно для ре- дактирования. Используя клавиатуру следует ввести назва- ние нового шаблона. Далее нужно активизировать в правой области окна шаблонов панель редактирования, расположив на ней курсор и щелкнув левой кнопкой мыши, и с помощью клавиатуры ввести текст HDL-описания. В процессе форми- рования нового шаблона можно использовать команды копи- рования (сору) и вставки (paste) из всплывающего контекст- но-зависимого меню. После окончания редактирования текста шаблона следует сохранить его на диске, используя кнопку □| I Til , которая находится на оперативной панели окна шаблонов HDL-редактора. При большом количестве шаблонов, создаваемых разработ- чиком, для удобства использования целесообразно внутри папки User Templates распределить их по группам. Чтобы со- здать новую группу (раздел в папке User Templates), следует выделить название папки User Templates в окне шаблонов и воспользоваться кнопкой , расположенной на опе- ративной панели окна шаблонов, или командой New Folder из всплывающего контекстно-зависимого меню. Далее, перед со- зданием нового шаблона, следует выделить в списке папок тот раздел, в котором он должен быть расположен. Следует обратить внимание на то, что в каждом проекте пап ка User Templates формируется заново Поэтому, чтобы в новом проекте бь ли доступны шаблоны, созданные в рамках ранее вы- полнявшегося проекта, необходимо скопировать файл userlang.tpl из его рабочего каталога в рабочую папку нового проекта. На этом завершается рассмотрение шаблонов языка опи- сания аппаратуры VHDL, предоставляемых HDL-редактором пакета WebPACK ISE. В заключение следует напомнить, что аналогичные группы шаблонов имеются также для языков Verilog и ABEL. Валерий Зотов, walerry@euro ru 33
цифровая техника www.dian.ru Счетчик витков Данное устройство разработано для применения в качестве счет- чика витков при намотке катушек индуктивности и трансформато- ров. Устройство может быть использовано как восьмиразрядный низкочастотный счетчик с частотой счета до 15 кГц. Например, это может быть шагомер, велоодометр или счетчик посетителей. При совпадении установленного значения с заранее заданным включается звуковая сигнализация. Счетчик реализован на двух мик- росхемах — микроконтроллере PIC16F84A и дешифраторе К555ИД7, блоке светодиодов АЛС318А и трех мембранных кнопках установки. Алгоритм работы программы счетчика витков приведен на рис. 1. После включения счетчика и обнуле- ния рабочих регистров начинается инди- кация первого разряда. Индикация всех разрядов идентична и состоит из загруз- Рис 1 ки в рабочий регистр значения регистра индикации, установки в выходные реги- стры портов значений сегментов и раз- рядов и временной задержки длительно- стью около 3 мс. В нулевом и первом разрядах происходит также сравнение и проверка нажатых кнопок установки. После индикации нулевого разряда проверяется флаг установки. Если уста- новка не производится, процессор начи- нает сравнение всех разрядов индикации с нулем, Если все разряды индикации нулевые (счет еще не начинался или после обнуления), звуковая сигнализа- ция не производится и процессор выпол- няет выдержку времени, равную 3 мс. Если хотя бы один разряд индикации не равен нулю, производится сравнение регистров установки и регистров инди- кации. Если нет равенства регистров, процессор возвращается на выполнение выдержки времени 3 мс. Если есть со- впадение, устанавливается флаг совпа- дения, а при индикации следующего раз- ряда нулевым сигналом на выходе RA4 включится зуммер. Индикация каждого разряда заканчивается выдержкой вре- мени. После индикации первого разряда производится проверка нажатых кнопок установки. Если установка уже произво- дится, флаг установки включен, запре- щаются все прерывания (запрет счета). Проверяется кнопка выбора разряда Если кнопка нажата, регистр выбора разряда инкрементируется. Аналогично проверяется кнопка установки, и если она нажата прибавляется единица в выбранный разряд Далее проверяется состояние кнопки выбора разряда. Если кнопка нажата, то не имеет значения за индикатором разряд или нет, все равно установка продолжится в следующих циклах. Поэтому, проверив кнопку обну- ления, программа перейдет к отработке выдержки времени. Если кнопка выбо- ра разряда не нажата, проверяется зна- чение выбранного разряда. Если значе- ние равно 8 ни один разряд не мигает устанавливается флаг и разрешаются прерывания. В режиме разрешения сче та устройство может отреагировать толь- ко на нажатие одной кнопки — кнопки обнуления. При обнулении, если разре- шен счет, сбрасываются значения раз- рядов индикации и флагов счета и ра- венства. Поэтому после обнуления возможно перемещение мигающего раз- ряда при нажатии кнопки выбора разря- да. Если идет установка, то обнуляются и разряды установки. Если флаг установки нулевой (уста новки нет), то разрешаются прерывания проверяется нажатие кнопки обнуления и отрабатывается выдержка времени для первого разряда. После окончания выдержки времени седьмого разряда производится индикация нулевого разря- да, и весь цикл повторяется. В режиме счета разрешены прерыва- ния по спаду сигнала на входе RB0. Пре- рывание возможно из любой точки про- граммы, но на рис. 1 оно условно показано от индикации нулевого разря- да. Как обычно, при прерывании проис- ходит сохранение значений регистров Инкрементируется счетчик, и восстанав- ливаются значения регистров. На рис 2 показана схема счетчика витков. Выходы микроконтроллера DD2 RB1—RB7 используются для вывода уп- равляющих сигналов на сегменты инди- катора. Выходы RA0—RA2 выдают зна- чения разрядов в двоично-десятичном коде на дешифратор DD1. Выход RA4 включает зуммер НСМ1206х. На вывод RB0 подаются счетные импульсы. Сра- батывание счетчика происходит по 34
цифровая техника DC DD1 18 2 ЕЗ >Е2 >Е1 А2 А1 АО 3 14 16 BF1 h НСМ1206Х MCLR RA0 RA1 RA2 ВАЗ RA4 г. :Ucc ^:GND - OSC1 CPU R1 П В2Г1 R3 510 И 510 И 510 DD2 ZQ1 4 МГц С1 -L- 15-- Рис. 2 высо- перепаду из кого в низкий уро- вень ТТЛ. Это удоб- но для подключения герконового или другого контактного датчика оборотов. Вход зашунтирован резисторами R11, R12 и конденсато- рами С6, С7 для уменьшения влия- ния импульсов ком- мутации светодио- дов. Такое же назначение имеют и конденсаторы СЗ, С4. Если счетчик бу- дет использоваться с малым уровнем помех по питанию или как цифровая шкала, резисторы R11, R12 можно не устанавливать. Работать с устройством очень просто. После включения напряжения на индика- торе высвечиваются нули, а нулевой раз- ряд будет мигать. Кнопкой «Разряд» вы- бирается необходимый разряд для установки значения числа витков. Кноп- Таблица 1 020000040000FA 02000000052801 080008004А2983160030810033 1000100090308В00083085000130860083129701F4 1000200081018C018D018E018F019001910192015E 10003000930199019A019B019C019D019E019F01Е1 10004000А001960198019401Е0288С0180018Е0198 100050008F01900191019201930197119710171050 100060001719080099019A019B019C0190019E01AD 100070009F01А00108009710080017119710140891 10008000083003194528940А080094018В131711А2 100090009711882897100800971014088207582881 1000А0005Е2864286А28702876287С288228080020 1000В000990А0А3019020318990188289А0А0А3005 100000001А0203189А0188289В0А0А301В02031897 1000D0009B018828900AOA301C0203189C0188286E 1000E0009D0A0A301D0203189D0188289E0A0A30C5 1000F0001E0203189E0188289F0A0A301F02031857 100100009F018828A00A0A3020020318A00188282D 1001100019088C001A088D001B088E001C088F001F 100120001D0890001E0891001F08920020089300EF 1001300008008008031DB2288D08031DB2288E0804 10014000031DB2288F08031DB2289008031DB22892 100150009108031DB2289208031DB2289308031DBD 10016000В2280800971500081902031D08000D0895 100170001A02031D08000E081B02031D08000F0809 100180001C02031D080010081D02031D08001108В1 100190001Е02031D080012081F02031D0800130899 1001А0002002031D08001714080082077F340D3455 1001B000B7349F34CD34DB34FB340F34FF340F34B9 100100009501000814211719992037210008142105 1001D00083160630860083129719FA2886103B2066 1001E000061D4A208610FA281408083C0319171516 1001F00003198B17851D2520831601308600831275 1002000037210E08142137210F0814213721100837 100210001421372111081421372112081421372104 10022000130814213721Е028052096001508140260 10023000031D2729A50A0A302502031D2829A50127 10024000171А1712171Е1716171А9716282997121А 1002500017181512171С151615088500971Е160875 10026000971A8601971E86001512950A0800FA3023 10027000А400А40300000000000000000000000033 100280000000031D392997190800980A98080319D6 1002900097140800А1000308А2000408А30057292Е 1002A0008B10220883002308840021080900171DF1 1002B00050290930000203185F298C0A502980013F 1002000009300D02031866298D0A50298D01093065 1OO2DOOOOEO2O3186D298E0A5O298EO1O93OOFO273 1002Е000031874298 F0A50298 F010930100203184Е 1002F0007B29900A502990010930110203188229А4 10030000910А502991010930120203188929920А91 10031000502992010930130203189029930А502999 0403200093015029СС 02400E00F23F7F 00000001FF HG1 АЛС318А(В) 7 6 5 4 3 2 1 0 15 13 11 9 7 5 3 1 0 0SC2 —1 R8 100 2 3 4 5 6 RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 15 14 13 12 10 9 7 6_____IN 7______А _8_____В 9_____С 10____D 11_____Е 12_____F 13___Д 15 SA1 SA2 "Разряд” "Установка” —< "Вход" С6 0,01 мк —< +5В Обнуление к выв. 16 DD1 <— R12-LC7 4,7 кТ 0’0^ мк ----- < Общ. R7Ci 510 и |с IN —I—С2 Т 15 С5 _ 0,1 мк ~ к выв. 8 DD1 <----< •— G F Е D SA2 SA1 Рис. 3 Рис. 4 кой «Установка» набирается необходимое число в данном разряде. Скорость пере- мещения мигающего разряда и установ- ка осуществляются с частотой около од- ного герца. Если числа набраны неверно, можно сбросить все показания, нажав кнопку «Обнуление». После обнуления мигающий разряд не изменит своего по- ложения. При выборе разряда переход от седьмого разряда к нулевому необходи- мо выполнять, не отрывая пальца от кноп- ки «Разряд». После выполнения установ- ки кнопкой «Разряд» мигающий разряд убирается за пределы индикатора. Пос- ле отпускания кнопки устройство перехо- дит в режим счета. На индикаторе будут высвечиваться установленные значения, и значит, счетчик выдаст звуковой сиг- нал совпадения набранного и индициру- емого значения. Это свидетельствует об исправности счетчика и звуковой сигна- лизации. После нажатия кнопки «Обну- ление» звуковой сигнал прекратится, а все разряды установятся в нуль. Можно включать намоточный станок. В качестве датчика оборотов можно использовать геркон или оптопару. Проверить работоспособность устрой- ства можно, если подключить вход IN к выводу 1 (RA2) микроконтроллера. Перейти в режим установки можно после обнуления показаний индикатора 1.С5Т мигающего разряда в зону При сбросе индикатора ус- значения не обнуляются, и смещения индикатора, тановочные т. е., если вам необходимо намотать оди- наковое число витков несколько раз, то заново делать установку не надо. Необходимо отметить, что совпадение и звуковая сигнализация происходят только на частоте до 150 Гц. На боль- шей частоте подпрограмма сравнения не успевает отслеживать изменения в раз- рядах индикации. Увеличения частоты сравнения и счета в 2,5 раза мож- но добиться применением микро- контроллера с тактовой частотой 10 МГц (PIC16F84A-10I/P). При этом, если необходима предвари- тельная установка, потребуется увеличение разрядности счетчи- ка установки (ведь частота уста- новки тоже увеличится в 2,5 раза). Потребляемый ток при напряже- нии питания 5 В составляет 30 мА. Если вы будете использовать это устройство как цифровую шкалу с питанием от гальванических элементов, то потребляемый ток при напряжении питания 3 В со- ставит 10 мА. Топология печатной платы и расположение элементов приве- дены на рис. 3 и 4. В табл. 1 пред- ставлен hex-файл программы счетчика. Николай Заец, saes@mail.ru. 35
www.dian.ru цифровая техника (Окончание. Начало — № 3/2003) Плата диагностики POST В схеме на рис. 2 в предыдущей части статьи представле- ны лишь связи между элементами и не видна логика работы. Дело в том, что вся схемотехника скрывается в микросхеме ПЛИС D1. Поскольку ПЛИС определяет работу платы, для нее разработана отдельная принципиальная схема в специальном пакете САПР Xilinx Foundation Project Manager, который дос- тупен в Интернете на www.xilinx.com. Схема для программи- рования ПЛИС приведена на рис. 3 Из схемы видно, что дешифратор адреса выполнен на про- стых логических элементах, регистр диагностики представля- ет собой сборку FD8CE, наподобие интегральной микросхе- мы. Поскольку схемотехника данного устройства получилась очень простой, автор добавил дополнительный восьмиразряд- ный счетчик СВ8СЕ, который считает импульсы синхрониза- ции CLK с шины ISA и доступен при чтении по тому же адре- су, что и регистр диагностики. Это позволяет использовать плату не только для диагностики компьютера, но и в качестве аппаратного счетчика задержки там, где это потребуется. Ана- логично можно вносить и другие дополнения в схемотехнику платы (изменение адреса, дополнение регистрами и т. д.), не изменяя при этом топологии печатной платы, а лишь пере- программируй ПЛИС. В этом и заключается одно из главных преимуществ ПЛИС перед стандартными логическими мик- росхемами. Для устройства была разработана печатная плата, изготав- ливаемая из двухсторонне фольгированного текстолита. Рас- становка элементов и топология платы со стороны установки и с обратной стороны приведены на рис. 4 и 5. После распайки всех элементов на плате необходимо запрог- раммировать ПЛИС. Это делается с помощью того же пакета САПР Xilinx и небольшого программатора JTAG, подключаемого к LPT порту компьютера. Программатор можно приобрести, на- писав электронное письмо по адресу sandh@yandex.ru или из- готовить самостоятельно на небольшой макетной плате. В даль- нейшем пакет САПР и программатор JTAG очень пригодятся для работы по применению ПЛИС в других проектах. Схема програм- матора JTAG свободно доступна на сайте www.xilinx.com. Не- сколько модифицированная мною схема данного программато- ра приведена на рис. 6. Все резисторы использованы мощностью 0,125 Вт. Конден- саторы— любые керамические. Диоды можно заменить на отечественные КД522Б. Вместо микросхем U1, U2 можно при- менить их отечественные ТТЛ ана- I0PAD8 < Ю[7:0] SDO-вывод 13 SD1 - вывод 14 SD2 - вывод 16 SD3 - вывод 18 LOG-р2[ IPAD LDG-p3|iPAD LOG - p51 IPAD L0G-p12pPAD IPAD8 [цТоТ>^- IBUFS SA2 - вы вод 38 SA3 - вывод 39 SA4 - вывод 40 SA5 - вывод 41 , . SA1 LDG - р371 IPAD >—— LDG - p361 IPAD LOG - p341 IPAD R IBUF СВ[7:0] СЕКГ~ 0BUFT8 -юргу IBUF юи/гт" IBUF AENFT" IBUF A^ IBUF SAO "Г IBUF SA6 - вы вод 42 SA7 - вывод 43 SA8 - вывод 44 SA9- вывод 1 IBUF8 Р[7:0] D 1 Е SD4-вывод 19 SD5 - вывод 20 SD6 - вывод 21 SD7 - вывод 22 IBUF Q[7:0] CLR ТС A9 AS A7 A6 A5 A4 A3 A2 MAND3 СЕ С га СЕО Рис. 3 D[7:0] Q[7:0] NQ[7:01 0BUF81]1 0PAD8 HLI7:O1 HLO - вывод 23 HL1 - вывод 27 HL2 - вывод 28 HL3 - вывод 29 HL4- вывод 30 HL5 - вывод 31 HL6-вывод 32 HL7 - вывод 33 логи К555ЛП8. Если нет желания изучать САПР, можно воспользоваться готовым файлом для программирования post.jed, доступным на сайте журна- ла по адресу http://www.dian.ru/ programs/index.html в архиве 2003 04_Valpa_post. В этом случае для программиро- вания достаточно будет скачать с сайта фирмы Xilinx только програм- му программатора. Перед програм- мированием порт LPT должен быть установлен в режим работы ЕРР с помощью SETUP компьютера. Про- грамматор подключается с одной стороны к LPT порту компьютера, а с другой — к плате диагностики через разъем Л. Плата диагности- ки должна быть подключена к ис- точнику постоянного тока +5 В не- посредственно перед началом процедуры программирования, по- скольку программатор питается от программируемого устройства. Пи- тание можно подать на питающие цепи платы с помощью зажимов типа «крокодил» или припаять на время программирования. глот giradim tr -Ki nnuHYainwav"! Рис. 4 36
цифровая техника Рис. 5 DB-25M PBS-6 Таблица 3 Цепь Вилка DD-25M Розетка DB-25F -STB 1 1 DO 2 2 D1 3 3 D2 4 4 D3 5 5 D4 6 6 D5 7 7 D6 8 8 D7 9 9 -ACK 10 10 BUSY 11 11 PE 12 12 SEL 13 13 -AUTOLF 14 14 -ERR 15 15 -INIT 16 16 -SELIN 17 17 GND 18 18 GND 19 19 GND 20 20 GND 21 21 GND 22 22 GND 23 23 GND 24 24 GND 25 25 мы pd-test, написанной специально для этой цели. Ис- ходный текст программы с подробными комментария- ми приведен ниже. ////////////////////////////////////////////////// //////////////////// // Программа pd-test позволяет тестировать плату диагностики POST // // Автор: 0. Д. Вальпа // Дата: 25/12/2002 ////////////////////////////////////////////////// //////////////////// // Подключение библиотек «include <stdio. h> «include <conio.h> «include <bios.h> «include <stdlib.h> «include <dos.h> // Скан коды клавиш «define ESC 0x011b П ESC «define ENTER 0x1cOd // ENTER «define UP 0x4800 // Стрелка вверх «define DOWN 0x5000 // Стрелка вниз «define LEFT 0x4B00 // Стрелка влево «define RIGT 0x4D00 // Стрелка вправо «define TAB 0x0f09 // Табуляция Рис. 6 После запуска программатора на экране монитора должно «define SPACE 0x3920 // Пробел появиться рабочее поле программатора, его меню показано «define K1 0x0231 // 1 на рис. 7. «define K2 0x0332 // 2 Нажмите Ctrl+D, и программа предложит выбрать файл для «define КЗ 0x0433 // 3 программирования с расширением jed. Найдите на своем ком- «define K4 0x0534 // 4 пьютере файл post.jed (см. выше) и согласитесь с его откры- «define K5 0x0635 // 5 тием. На экране появится схема подключения ПЛИС. Щелк- «define Кб 0x0736 // 6 ните мышкой по рисунку ПЛИС и выберите в меню Operations «define K7 0x0837 И 7 операцию Program. Проставьте галочки в пунктах Erase Before «define K8 0x0938 И 8 Programming и Verify, если их нет, и выберите ОК. Далее сле- «define К9 ОхОаЗЭ И 9 дуйте инструкциям, появляющимся на экране. «define КО ОхОЬЗО И 0 Если вы будете изготавливать программатор самостоятель- «define KF1 ОхЗЬОО И F1 но, учтите, что длина кабеля до разъема программирования не «define KF2 ОхЗсОО И F2 должна превышать 15 см, а до LPT порта— 1,5 м. Плату про- «define KF3 0x3d00 II F3 грамматора можно разместить в небольшой пластмассовой ко- «define KF4 ОхЗеОО И F4 робочке подходящего размера. Для удобства пользования про- «define KF5 0x3f00 и F5 грамматором кабель подключения к LPT порту изготавливается «define KF6 0x4000 и F6 отдельно. В дальнейшем он пригодится для других работ. Со- «define KF7 0x4100 и F7 единение разъемов такого кабеля приведено в табл. 3. «define KF8 0x4200 и F8 Отладку платы диагностики в статическом режиме можно лег- «define KF9 0x4300 и F9 ко произвести с помощью эмулятора интерфейса ISA [1]. В ди- «define KF10 0x4400 и F10 намическом режиме плата отлаживается с помощью програм- «define ADR 0x80 и Адрес порта IDI x| Fite Edit Operations Output View Help JTAG Programmer d|^|h| x|4i|e|£.|<±| ss|agj ::|ggj a|ig]j *| T|>t?| Рис. 7 // Координаты вывода «define XHL 23 // Индикаторы семисегментные «define YHL 5 «define XI 31 // Индикаторы единичные 37
цифровая техника www.dian.ru «define YI 5 break; case KF5: // Цвета р=0х55; «define C_FON LIGHTGRAY // Фон break; «define C_TEXT BLACK // Текст заголовка помощи case KF6: «define C_0 LIGHTRED // Нулевые разряды p=0xAA; «define C_1 LIGHTGREEN И Единичные разряды break; «define C_HL LIGHTBLUE // Семисегментный индикатор case KF7' p=OxFF: // Описание функций break; void clrscreen (void) // Функция очистки экрана case KF8 void clrkursor (void): // Функция скрытия курсора P=0; void setkursor (void); И Функция восст. курсора break; void instr (void); // Функция вывода инструкции case SPACE p=p~0xFF; //#«###«###«###«##«###«####« Начало программы break; ««#««#««######«#«####### default: int main (void) break; } // Описание переменных } int key, i, p=0x55, rp[8]; clrscreenO setkursorO; clrkursor(): // Скрыть курсор return 0; instr (); // Вывод инструкции } // Очистить экран // Восстановить курсор // Главный цикл программы wtile(key != ESC) // Выполнять пока не нажата клавиша ESC { if(bioskey(1)) key = bioskey(O); else key=0; // Вычисление значения разрядов for(i=0; i<=7; i++) rp[i]=(p»i) & 1; // Запись в порт информации outportb(ADR. р): Ц Вывод на экран данных порта textcolor(C_HL); gotoxy(XHL, YHL); cprintf(«%2.2Х», р); //================= Функция очистки экрана void clrscreen( void ) { textbackground(BLACK): textcolor(C_FON): system («cis»); } //================= Функция скрытия курсора void clrkursor ( void ) { union REGS regs; regs.x.ax = 0x0100; regs.x.ex = OxOfOO; int86(0x10, Sregs, &regs); } //================= Функция восстановления курсора // Вывод на экран разрядов void setkursor ( void ) gotoxy(XI.YI), { for(i=7;i>=0;i-) union REGS regs; { regs.x.ax = 0x0100; if(rp[i]==O) textcolor(C_0); regs.x.ex = 0x0708; else textcolor(C_1); int86(0x10, &regs, &regs); cprintf(«%1X «,rp[i]): } } //================= Функция вывода инструкции Ц Обработка нажатой клавиши ======================== switch(key) void instr(void) { { textbackground(C_FON); textcolor(C_TEXT) cprintf(«\r»); case K1: cprintf(«----------------------------------------»); p=p"0x80; break; cprintf(«! I»); Тест платы диагностики POST case K2: p=p~0x40; cprintf(«I I К :»); 0 Д 1 break; case КЗ: cprintf(«l+—T-+ :»); - — p=p'0x20; break; cprintf(«:: Bin : Hex: 0 ! Управление: :xx: !» 0 0 0 0 0 ); 0 0 case K4: p=p"0x10; break; case K5: p=p~0x08: break; case K6: p=p"0x04; cprintf(«!+—+-+ :»); cprintf(«;:о о о о; о : ESC - Выход cprintf(«::о о o i: 1 ; SPACE - Инверсия кода I» cprintf(«::о o i o: 2 : 1...8 - Инвере.разр.7...0 !»); »); । । ah break; case K7: p=p"0x02; break; cprintf(<<::о о i 1: з ; F5 - Код=55 cprintf(«;:о 1 о о: 4 : ! I F6 - Kof-AA »); :»); : di case K8. p=p~0x01, cprintf(«;:о 1 о i: 5 ; F7 - Kofl=FF »); — 38
цифровая техника cprintf(«iio 1 1 о: 6 : F8 - Код=00 cprintf(«::о 1 1 1: 7 ; :»): cprintf(«!;i о о о: 8 ; :»); cprintf(«::1 о о 1: 9 ; :»): cprintf(«::1 о 1 о! а : :»); срrintf(«:;1 о 1 1! в : :»); cprintf(> .'11 1 оо: с I I ”); cprintf(«:и 1 о i; d ; :»); cprintf(«111 1 :»); cprintf(«111 1 I»): c p r i n t f ( Автор:0. Д. Вальпа:»); cprintf(«------------------ ! A31: :»); : ci: : C18: Исполняемый код программы pd-test.exe доступен на сайте редакции журнала по адресу на сайте журнала по адресу http:/ /www.dian.ru/programs/index.html в архиве 2003_04_Valpa_post. После запуска данной программы на экране монитора по- явится окно, приведенное на рис. 8. Из него видно как пользоваться программой, и в нем же приведено соответствие кодов единичных индикаторов шест- надцатеричным кодам POST После проверки платы диагнос- тики можно пользоваться ею как отлаженным инструментом. 1 o: e 1 1; f -------------------------„); код Bin Hex 0 0 0 0 0 0 0 1 0 0 10 0 0 11 0 10 0 0 10 1 0 110 0 111 10 0 0 10 0 1 10 10 10 11 110 0 110 1 1110 1111 0 1 2 3 4 5 6 7 8 9 А В С D Е F Тест платы диагностики POST ИЙ Управление: ESC - Выход SPACE - Инверсия кода 1...8 - Инвере.разр.7.. .0 F5 Код=55 F6 - Код=АА F7 - КодЕЕ F8 - Код=00 Автор:ОД.Вальпа Рис. 8 Перед запуском этой программы плата диагностики долж- на быть установлена в свободный слот ISA компьютера. До установки платы в компьютер необходимо убедиться, что ад- рес 0x80 не занят другими устройствами. Это легко проверя- ется в Windows через свойства компьютера. Для DOS можно воспользоваться утилитой debug.exe. После ее запуска вве- дите команду о80,55, а затем i80, в ответ вы должны полу- чить FF. Если полученное значение отличается от FF, данный адрес используется другим устройством ввода/вывода. Для выхода из debug введите q. Олег Вальпа, sandh@narod.ru Литература 1 О. Вальпа. Эмулятор интерфейса ISA.— Схемотехника, 2002, № 1 с. 54, 55. Тестирование СОМ-порта В предыдущей статье автора [1] рассказывалось о тестировании параллельного порта компьютера. Сегодня он предлагает вашему вниманию статью о том, как проверить не менее важный в “жиз- ни” компьютера последовательный СОМ-порт. Это один из самых распространен- ных каналов связи компьютера с внешним миром. К нему можно подключить мышь, принтер другой ком- пьютер, модем цифровой фотоаппарат, программатор и другие устройства. Не- смотря на появление портов нового по- коления USB, СОМ-порт до сих пор при- сутствует в самых последних моделях компьютеров и стандартная конфигура- ция ПК содержит два таких порта. Большим подспорьем данный порт оказывается в практике разработчи- ков, поскольку позволяет легко под- ключаться к разрабатываемым микропро- цессорным устройствам. Подавляющее большинство выпускаемых в настоящее время контроллеров имеют встроен- ный последовательный порт, полнос- тью совместимый по формату данных с СОМ-портом. Как и многие интерфейсы, данный порт подвержен опасности выхода из строя в результате некорректных дей- ствий оператора. Причиной неисправно- сти может послужить разряд статичес- кого электричества. Опасность пробоя особенно возрастает в зимний период, когда воздух становится сухим от моро- за. Другой причиной может быть неак- куратное соединение между собой двух незаземленных компьютеров, имеющих разное напряжение на корпусах В ре- зультате перечисленных неблагоприят- ных воздействий порт перестает нор- мально функционировать, но все же поддается “лечению”. Обычно, как пра- вило, выходит из строя буферная мик- росхема, обеспечивающая преобразова- ние уровней сигналов ТТЛ в сигналы стандарта RS-232, которые должны на- ходиться в диапазоне ±(3...25) В С по- мощью предлагаемой программы и про- стого пробника можно легко произвести диагностику порта, чтобы потом присту- пить к его лечению. Бывает очень обид- но, когда после долгого поиска причины неработоспособности внешних уст- ройств, оказывается, что неисправен был именно порт компьютера. Кроме того, программа позволяет убе- диться в исправности порта, когда драй- вер порта ведет себя некорректно. Это достигается тем, что обращения к порту из предлагаемой программы произво- дятся напрямую, минуя все драйверы. Правда, данный режим обращения не допускается в операционных системах Windows NT/2K/XP, что несколько огра- ничивает применение программы. Но в этом случае для диагностики порта мож- но загрузить в компьютер операционную систему Windows 9Х/МЕ, которая обыч- но устанавливается до Windows NT и доступна через меню загрузки. Как правило, в современных компью- терах присутствует два СОМ порта, ко- торые выведены на тыльную сторону компьютера девятиконтактными разъе- мами-вилками DB-9M. Более ранее мо- дели имели в своем составе СОМ-пор- ты с 25-контактными разъемами DB-25M. Назначение сигналов СОМ-порта компь- ютера представлено в табл. 1. Подробнее с СОМ-портом можно по- знакомиться в [2]. Для проверки работоспособности пор- та необходимо убедиться в исправности всех выходных и входных выводов сиг- налов, присутствующих на его выходном разъеме, а также в способности микро- схемы, обслуживающей порт, переда- вать и принимать данные. Предлагаемая программа и простейшая схема тестиро- вания позволяют выполнить эту проце- ДУРУ- Программа написана на языке про- граммирования C++ и работает под уп- равлением операционной системы Windows9X/ME. После запуска програм- 39
цифровая техника www.dian.ru Таблица 1 Контакт Сигнал Направление Название Назначение -(1) PG — Protect Ground Защитный общий провод 3(2) TD Выход Transmit Data Передаваемые данные 2(3) RD Вход Receive Data Принимаемые данные 7(4) RTS Выход Request to Send Запрос на передачу 8(5) CTS Вход Clear to Send Готовность к приему 6(6) DSR Вход Data Set Ready Данные готовы 5(7) SG — Signal Ground Сигнальный общий провод 1 (8) DCD Вход Data Carrier Detect Обнаружена несущая данных 4 (20) DTR Выход Data Terminal Ready Готовность терминала 9 (22) Rl Вход Ring Indicator Индикатор вызова Примечание. В скобках приведен номер контакта для 25-контактного разъема, без скобок — для девятиконтактного. Рис. 2 ма производит поиск имеющихся в ком- пьютере COM-портов и выбирает для работы первый найденный по порядку. Если порты в компьютере не обнаруже- ны, будет выдано соответствующее со- общение и программа завершит свою работу. В процессе работы программы можно выбрать другой доступный ком- пьютеру порт для тестирования. Изме- нить параметры настройки порта можно стандартными средствами по пути: мой компьютер -> свойства -> устройства -> СОМ- и LPT-порты -> последовательный порт свойства настройка порта. После запуска программы на экране монитора появится окно, представленное на рис. 1. В центре окна программы изображе- ны разъемы компьютера с назначением контактов и сигналов COM-порта. Для удобства тестирования приводятся два рисунка, для 9- и 25-контактного разъе- ма соответственно. Номера контактов разъемов, их тип и назначение сигналов соответствуют стандартным и общепри- нятым для данных портов. В дальнейшем при работе программы контакты разъе- мов будут окрашены в красный или зе- леный цвет, что соответствует положи- тельному или отрицательному сигналу на них. Ниже разъемов нарисованы две кноп- ки для сигналов RTS и DTR. Щелкая ле- вой клавишей мышки по этим кнопкам штырьки, а не гнезда, и возможно их случайное замыкание друг с другом. Поэтому предлагается использовать для проверки небольшое и очень про- стое устройство — пробник. Схема это- го устройства приведена на рис. 2. Из схемы пробника видно, что все сиг- налы объединены в три разные группы. В каждой из групп присутствует только один выходной сигнал и от одного до трех входных сигналов. Пробник состо- ит из одного разъема-розетки DB-9F и нескольких светодиодов и резисторов, распаянных на небольшой макетной пла- те, соединенных между собой шлейфом длиной около метра. Пробник после под- ключения можно положить на стол рядом с оператором и обеспечить тем самым удобство наблюдения за процессом тес- тирования порта. Состояние любого сиг- нала видно по светящемуся светодиоду. Цвет светящегося светодиода указыва- ет на полярность сигнала. Сравнивая эти сигналы с отображаемыми сигналами в программе, можно легко определить не- исправную линию. Цепи передатчика и приемника порта замыкаются пробником между собой, поэтому передаваемые данные будут приниматься этим же пор- том. Сравнивая передаваемые и приня- тые данные, можно проверить приемо- передатчик. Исполняемый код программы состоит из одного файла test-rs.exe и не требует предварительной установки. С целью уменьшения размера данного файла программа транслировалась в режиме Shared DLL, т. е. стандартные библиотеч- ные файлы DLL не включались в тело программы, а использовались после за- пуска программы из доступного систем- ного каталога C:\WINDOWS\SYSTEM. Программа test-rs использует следующие стандартные библиотечные файлы: MFC42.DLL, KERNEL32.DLL, GDI32.DLL, USER32.DLL и MSVCRT.DLL. Поэтому в случае, если программа выдаст сообще- ние об отсутствии некоторых из перечис- ленных библиотечных файлов DLL, не- обходимо поместить их в указанный выше каталог. Эти файлы являются стандартными и устанавливаются самой операционной системой Windows9X. Их можно также найти в Интернете, напри- мер, по адресу www.ya.ru. В дальнейшем эти файлы еще много раз пригодятся вам, поскольку большинство программ транслируется без включения их в тело программы с целью уменьшения своего размера. Программу test-rs.exe можно найти на сайте журнала по адресу http:// www.dian.ru/programs/index.html. Олег Вальпа, sandh@narod.ru Литература: можно менять уровень соответ- ствующих выходных сигналов порта на противоположный. Данные операции будут сопро- вождаться автоматической сменой цветов контактов на разъемах. Третья кнопка TD предназна- чена для начала передачи дан- ных через порт. Содержимое передаваемых данных пред- ставлено в поле «ПЕРЕДАЧА» и может быть изменено после щелчка левой кнопкой мыши по этому полю или с помощью кнопок, расположенных в меню программы. Принимаемые по порту данные отображаются в поле «ПРИЕМ». Для проверки работоспособ- ности порта можно воспользо- ваться обычным мультиметром и контролировать поочередно тестируемые сигналы. Но это не совсем удобно, тем более, что разъемы расположены на тыльной стороне компьютера, контакты представляют собой 1. О. Вальпа. Тестирование LPT порта.— Схемотехника. 2003, № 1, с. 44. 2. М. Гук. Аппаратные сред- ства IBM PC. Энциклопе- дия.— СПб.: ПитерКом, 1998. 40
цифровая техника (Продолжение. Начало — № 10/2002) Эксперименты с микроконтроллерами фирмы Cygnal: базовая программа для семейства С8051FOxx Модуль управления таймером реального времени RTC В описываемом контроллере применен таймер реального времени DS12887, доступный для программиста в виде мас- сива памяти с объемом 127 байт. Первые 14 байт заняты под специальные регистры, а остальные байты могут быть исполь- зованы программистом в качестве энергонезависимой памя- ти данных. Среди 14-ти специальных регистров первые де- сять доступны и для чтения, и для записи. В них автоматически обновляются предварительно установленные значения време- ни и календаря. Назначение и форма представления инфор- мации в первых десяти регистрах представлены в табл. 2. Кроме приведенных десяти регистров, имеются еще четы- ре управляющих регистра: А, В, С и D. га PF (регистр С) и «отрицательного» импульса на выходе IRQ. Установка в 0 запрещает периодические прерывания, но флаг PF продолжает периодически устанавливаться. При установ- ке в 1 периодические прерывания генерируются с интерва- лом, заданным битами RS3—RSO регистра А. Значение бита PIE не модифицируется любыми внутренними процессами в RTC, но устанавливается в 0 после сброса. AIE — Alarm Interrupt Enable — бит разрешения прерывания от будильника. При установке в 1 прерывания от будильника разрешены, а также устанавливается соответствующий флаг AF (регистр С) и вырабатывается «отрицательный» импульс на выходе IRQ/. Прерывание от будильника вырабатывается каждую секунду, если все три байта будильника (0x01, 0x03, 0x05) равны трем соответствующим байтам времени (0x00, Таблица 2 Адрес Назначение Десятичный диапазон значений Диапазон значений данных Двоичный Двоично- десятичный 0x00 Секунды 00—59 00—ЗВ 00—59 0x01 Секунды будильника 00—59 00—ЗВ 00—59 0x02 Минуты 00—59 00—ЗВ 00—59 0x03 Минуты будильника 00—59 00—ЗВ 00—59 0x04 Часы, режим 12 часов 01—12 01—ОС AM, 81—8С РМ 01—12 AM, 81—92 РМ Часы, режим 24 часа 00—23 00—17 00—23 0x05 Часы будильника, 12 часов 01—12 01—ОС AM, 81—8С РМ 01—12 AM, 81—92 РМ Часы будильника, 24 часа 00—23 00—17 00—23 0x06 День недели (1 —воскресенье) 01—07 01—07 01—07 0x07 День месяца 01—31 01—1F 01—31 0x08 Месяц 01—12 01—ОС 01—12 0x09 Год 00—99 00—63 00—99 Таблица 3 Биты регистра А Период прерываний PIE, мс Частота выхода SQW, Гц RS3 RS2 RS1 RS0 0 0 0 0 Выкл. Выкл. 0 0 0 1 3,90625 256 0 0 1 0 7,8125 128 0 0 1 1 0,122070 8192 0 1 0 0 0,244141 4096 0 1 0 1 0,488281 2048 0 1 1 0 0,9765625 1024 0 1 1 1 1,953125 512 1 0 0 0 3,90625 256 1 0 0 1 7,8125 128 1 0 1 0 15,625 64 1 0 1 1 31,25 32 1 1 0 0 62,5 16 1 1 0 1 125 8 1 1 1 0 250 4 1 1 1 1 500 2 Регистр А имеет адрес ОхОА и содержит следующие биты: Бит 7 Бит 6 Бит 5 Бит 4 Бит 3 Бит 2 Бит 1 Бит 0 UIP DV2 DV1 DV0 RS3 RS2 RS1 RS0 UIP — Update In Progress — постоянно автоматически об- новляемый бит занятости. Если бит равен 1, идет обновление данных. Если бит равен 0, то обновления данных не будет еще как минимум 244 мс, разрешен доступ к регистрам 0x00—0x09. Бит доступен только для чтения и не зависит от сигнала сбро- са. Запись 1 в бит SET регистра В запрещает любые измене- ния состояния бита UIP. DV2:DV0 — биты управления включением или отключени- ем RTC. Установка битов в состояние 010 запускает RTC. Ус- тановка состояния 11X затормаживает счет. Первое обновле- ние значений регистров 0x00—0x09 произойдет не раннее 500 мс после установки комбинации 010. RS3:RS0 — биты устанавливают временные интервалы пе- риодических прерываний и частоту выходных импульсов на выходе SQW (см. табл. 3). Регистр В имеет адрес ОхОВ и содержит следующие биты: Бит 7 Бит 6 Бит 5 Бит 4 Бит 3 Бит 2 Бит 1 Бит 0 SET PIE AIE UIE SQWE DM 24/12 DSE SET — бит установки режима обновления. Если бит уста- новлен в 0, процесс обновления всех величин времени и ка- лендаря происходит раз в секунду. Если бит установлен в 1, процесс обновления запрещен и значения можно устанавли- вать программно. Значение бита не зависит от сброса или от внутренних процессов RTC. PIE — Periodic-lnterrupt Enable (PIE) — бит разрешения пе- риодического прерывания, установки соответствующего фла- 0x02, 0x04), включая и коды «игнорирования» (ИххххххЬ). Если бит установлен в 0, взводится бит AF, но прерывание не вырабатывается. Сброс обнуляет бит AIE, но никакие внут- ренние процессы на него не влияют. UIE — Update Ended Interrupt — бит разрешения прерыва- ния завершения обновления. Сброс обнуляет бит, а установ- ка бита SET устанавливает бит UIE в 1. SQWE — Square-Wave Enable — бит разрешения формирова- ния переменного напряжения на выходе SQWE в соответствии со значениями, установленными битами RS3—RS0 регистра А. Если бит установлен в 0, состояние на соответствующем выхо- де также устанавливается в 0. Бит сбрасывается после сброса. DM — Data Mode — бит установки режима представления данных. Если DM - 0, все величины формируются в двоично- десятичном виде (BCD), если DM = 1, все величины формиру- ются в двоичном виде (BIN). Значение бита не изменяется после сброса и не зависит от внутренних процессов в RTC. 24/12 — 24/12 Control Bit — бит управления режимом счета часов. Установка бита в 1 переключает часы в 24-часовой ре- жим, а обнуление — в 12-часовой режим. Бит не изменяется от сброса или внутренних процессов RTC. DSE — Daylight Saving Enable — бит разрешения (1) режи- ма перевода часов на летнее/зимнее время. Переходы произ- водятся в первое воскресенье апреля с 01:59:59АМ к 03:00:00АМ и в последнее воскресенье октября с с 01:59:59АМ к 01:00:00АМ. Бит не изменяется от сброса или внутренних процессов RTC. Регистр С имеет адрес ОхОС и содержит следующие биты: Бит 7 Бит 6 Бит 5 Бит 4 Бит 3 Бит 2 Бит 1 Бит 0 IRQF PF AF UF 0 0 0 0 41
цифровая техника www.dian.ru IRQF — Interrupt Request Flag — суммарный флаг прерыва- ния, который устанавливается в соответствии с выражением: IRQF = PF • PIE + AF AIE + UF UIE. Все время, пока этот бит находится в состоянии 1, выход IRQ/ установлен в состояние 0. Важно помнить, что все фла- ги прерываний сбрасываются после чтения регистра С или сброса! PF — Periodic Interrupt Flag — флаг периодического преры- вания только для чтения. Устанавливается в 1 независимо от состояния бита PIE. Если биты PIE&PF = 1, устанавливается бит IRQF и вырабатывается прерывание. Бит сбрасывается в О после сброса или чтения регистра С. AF — Alarm Interrupt Flag — флаг прерывания будильника только для чтения. Устанавливается в 1 независимо от состо- яния бита AIE. Если биты AIE&AF = 1, устанавливается бит IRQF и вырабатывается прерывание. Бит сбрасывается в О после сброса или чтения регистра С. UF — Update Ended Interrupt Flag — флаг прерывания пос- ле завершения обновления только для чтения. Устанавлива- ется в 1 независимо от состояния бита UIE. Если биты UIE&UF = 1, устанавливается бит IRQF и вырабатывается пре- рывание. Бит сбрасывается в 0 после сброса или чтения ре- гистра С. Биты 3—0 не используются, читаются как 0000b и не могут быть записаны программно. Регистр D имеет адрес OxOD и содержит следующие биты: Бит 7 Бит 6 Бит 5 Бит 4 Бит 3 Бит 2 Бит 1 Бит О VRT О О О О~ О О О rnn-z giradiin tr -Ki n^uuvainwaY^ VRT — Valid RAM&Time Bit — бит состояния только для чте- ния. Он всегда должен быть равен 1. Если он равен 0, то встро- енная литиевая батарея выработала свой ресурс и данные времени и памяти не соответствуют действительности. Биты 6—0 не используются, читаются как 0000000b и не могут быть записаны программно. Кроме описания служебных регистров при написании под- программ поддержки функций RTC следует помнить следую- щие моменты. 1. Данные о текущем времени и календаре могут быть по- лучены при чтении соответствующих регистров. 2. Данные о текущем времени и календаре могут быть из- менены при записи соответствующих регистров. 3. Данные могут представляться в двоичном или двоично- десятичном виде. 4. Перед записью данных о текущем времени, будильнике и календаре, необходимо установить бит SET=1. Это помо- жет избежать искажений данных при записи за счет блокиро- вания текущего обновления. 5. Режим представления данных предполагает обязатель- ную переустановку всех данных времени, будильника и ка- лендаря. 6. Следует помнить, что если установлен 12-часовой режим, старший бит часов индицирует РМ. 7. При чтении в момент обновления значения часов, минут и секунд могут быть искажены. 8. Если установлены часы, минуты и секунды будильника, прерывание будет вырабатываться в момент совпадения за- данного времени каждый день. 9. Если в байт данных (часов, минут или секунд) будильни- ка записан код, содержащий две старшие единицы ИххххххЬ, то такой код называется «незначащим». 10. Если «незначащий» код записан в регистр часов будиль- ника, прерывание будильника будет генерироваться каждый час. 11. Если «незначащий» код записан в регистры и часов, и минут будильника, прерывание будильника будет генериро- ваться каждую минуту. 12. Если «незначащий» код записан в регистры и часов, и минут, и секунд будильника, прерывание будильника будет генерироваться каждую секунду. Приступим к рассмотрению программного модуля RTC.H. Модуль содержит объявление всех функций, которые реали- зованы в модуле RTC.C. Модули RTC содержат только самые необходимые подпрограммы управления таймером реально- го времени RTC. /‘--------------------------------------- RTC.H RTC Prototypes -----------------------------------------,/ flifndef ______RTC__ // Условие для исключения повтор- ной компиляции модуля «define _______RTC__ flifndef ______BYTE__ //define ______BYTE__ typedef unsigned char byte; // Определение типа пере- менной BYTE flendif // Определения адресов специальных регистров «define RTC_SEC «define RTC_ASEC ка «define RTC_MIN «define RTC_AMIN «define RTC_HAUSE 0x04 «define RTC_AHAUSE «define RTC_WDAY «define RTC_MDAY «define RTC_MOUNTH «define RTC_YEAR «define RTC_RGA «define RTC_RGB «define RTC_RGC «define RTC_RGD 0x00 // Регистр секунд 0x01 // Регистр секунд будильни- 0x02 // Регистр минут 0x03 // Регистр минут будильника // Регистр часов 0x05 // Регистр часов будильника 0x06 // Регистр дня недели 0x07 // Регистр дня месяца 0x08 Ц Регистр номера месяца 0x09 // Регистр номера года ОхОА // Регистр А ОхОВ // Регистр В ОхОС // Регистр С OxOD // Регистр D // Проверка годности литиевой батареи «define RTC_Valid() RTC_Fead(RTCRGD)80x80 // Чтение регистра С «define RTC_Int() И Чтение регистра В «define RTC_Mode() «define RTC_Set1() «define RTC_SetO() ваний «define RTC_PIE1() ваний (/define RTC_PIEO() ка //define RTC_AIE1() ка //define RTC_AIEO() НИЯ //define RTC.UIF1() НИЯ //define RTC_UIEO() //define RTC_S0WE1() //define RTC_SOWEO() //define RTC_DM1() жима //define RTC_DMO() //define RTC_24() //define RTC_12() //define RTC_DSEO() //define RTC_DSE1() наличия прерывания RTC_Read(RTC_RGC) RTC_Read(RTC_RGB) // Разрешение обновлений RTC_Write(RTC_RGB,RTC_Mode()|0x80) // Запрещение обновлений RTC_Write(RTC_RGB,RTC_Mode()80x7F) I/ Разрешение периодических преры- RTC_Write(RTC_RGB,RTC_Mode()(0x40) I/ Запрещение периодических преры- RTCWrite(RTC_RGB,RTC_Mode()80xBF) // Разрешение прерываний будильни- RTC_Write(RTC_RGB,RTC_Mode()|0x20) // Запрещение прерываний будильни- RTC.Write(RTC_RGB, RTC_Mode( )80xDF) // Разрешение прерываний обновле- RTC_Write(RTC_RGB,RTC_Mode()|Ox10) // Запрещение прерываний обновле- RTC_Write(RTC_RGB. RTC_Mode( )80xEF) // Разрешение генерации импульсов RTC.Write(RTC_RGB.RTC_Mode()|0x08) // Запрещение генерации импульсов RTC_Write(RTC_RGB,RTC_Mode()80xF7) // Установка бинарного режима RTC_Write(RTC_RGB,RTC_Mode()|0x04) // Установка двоично-десятичного pe- RTC_Write(RTC_RGB,RTC_Mode()80xFB) // Установка 24-часового режима RTC Wrrte(RTC_RGB, RTC_Mode()|0x02) // Установка 12-часового режима RTC_Write(RTC..RGB, RTC_Mode()80xFD) // Разрешение режима коррекции RTC_Write(RTC_RGB,RTC_Mode()|0x01) // Запрещение режима коррекции RTC_Write (RTC_RGB. RTC.Mode ()80xFE) // Чтение регистра А статуса RTC_Read(RTC_RGA) //define RTC_Stat() 42
цифровая техника // Чтение готовности RTC «define RTC.ReadyO RTC_Stat()&0x80 // Включение RTC «define RTC_ON() RTC.Write(RTC.RGA, RTC_Stat()&0x8F|0x20) // Выключение RTC «define RTC_OFF() RTC.Write (RTC.RGA, RTC_Stat()|0x70) // Установка периодичности прерыва- ний и частоты импульсов «define RTC_Rate(C) RTC_Write(RTC_RGA, RTC_Stat()&0xF0|C&0xF0) // Чтение секунд «define RTC_GetSec() RTC_Read(RTC_SEC) // Запись секунд «define RTC_SetSec(DATA) RTC_Write(RTC_SEC,DATA) // Чтение минут «define RTC_GetMin() RTC_Read(RTC_MIN) // Запись минут «define RTC_SetMin(DATA) RTC_Write(RTC_MIN,DATA) // Чтение часов «define RTC_GetHause() RTC_Read(RTC_HAUSE) // Запись часов «define RTC.SetHause(DATA) RTC_Write(RTC.HAUSE,DATA) // Чтение дня недели «define RTC_GetWDay() RTC_Read(RTC_WDAY) // Запись дня недели «define RTC.SetWDay(DATA) RTC_Wnte(RTC.WDAY,DATA) // Чтение дня месяца «define RIC GetMDayO RTC_Read(RTC_MDAY) // Запись дня месяца «define RTC_SetMDay(DATA) RTC_Write(RTC_MDAY,DATA) // Чтение месяца «define RTC_GetMountb() RTC_Read(RTC_MOUNTH) // Запись месяца «define RTC.SetMounth(DATA) RTC.Write(RTC.MOUNTH, DATA) // Чтение года «define RTC_GetYear() RTC.Read(RTC.YEAR) // Запись года «define RTC.SetYear(DATA) RTC_Write(RTC_YEAR.DATA) // Чтение секунд будильника «define RTC.GetASec() RTC.Read(RTC.ASEC) // Запись секунд будильника «define RTC.SetASec(DATA) RTC.WritefRTC.ASEC,DATA) // Чтение минут будильника «define RTC_GetAMin() RTC_Read(RTC.AMIN) // Запись минут будильника «define RTC.SetAMin(DATA) RTC_Write(RTC_AMIN,DATA) // Чтение часов будильника «define RTC_GetAHause() RTC.Read(RTC.AHAUSE) // Запись часов будильника «define RTC.SetAHause(DATA) RTC_Write(RTC_AHAUSE,DATA) // Начальная инициализация RTC void RTC.Init (void); // Чтение данных из RTC byte RTC.Read (byte ADDR); // Запись данных в RTC void RTC.Write (byte ADDR, byte DATA); // Чтение структуры календаря void Get_Date (void); // Чтение структуры времени void Get.Time (void); // Формирование сигнала ALE для RTC void RTC.Ale (void); «endif U RTC В модуле RTC.С содержатся собственно подпрограммы, объявлен- ные в модуле RTC.H. /*-------------------------------------- Ц RTC.C // RTC Functions ----------------------------------------./ «include <Main.h> // Включение главного модуля необ- ходимо, т. к. в нем // определяются используемые аппаратные ресурсы // Структура данных календаря объявляется в // дополнительной оперативной памяти микропроцессора xdata struct date { byte year; // Текущий год byte mounth; // Месяц byte day, П День месяца byte wday; и День недели } da: // Структура данных времени объявляется в // дополнительной оперативной памяти микропроцессора xdata struct time { byte hause; byte min; // Час // Минуты byte sec; // Секунды ti; // Структура данных будильника объявляется в // дополнительной оперативной памяти микропроцессора xdata struct alarm < byte ahause; // Час будильника byte amin; // Минуты будильника byte asec; // Секунды будильника } al; void RTC_Init (void) П Инициализация RTC { RTC ALE=O; // Сбросить ALE RTC_CS=1- // Установить CS/ RTC_DS=1; // Установить RD/ RTC_RW=1; // Установить WR/ RTC_RST=0; // Установить RST Time (10); // Задержка на длительность сигна- ла RST RTC_RST=1; П Сбросить RST } static void RTC_Ale (void) // Генерация импульса ALE { Time (2); // Задержка RTC_ALE=1 // Установить ALE Time (12); // Задержка на длительность сигна- ла ALE RTC_ALE=O; // Сбросить ALE Time (5); П Задержка } byte RTC_Read (byte ADDR) ! '/ Чтение данных из RTC { byte CH; EA=0; // Прерывания запретить RTC_CS=0; // Активизировать CS/ Set_Data (ADDR); // Задать адрес RTC.Ale (); // Сгенерировать ALE RTC_DS=O; // Активизировать RD/ Time (15); П Задержка CH=Get_Data (); u Получить данные Time (15); // Задержка RTC_DS=1; // Снять RD/ RTC_CS=1; П Снять CS/ EA-1 // Разрешить прерывания } return CH; П Вернуть прочитанные данные void RTC.Write (byte ADDR. byte DATA) // Запись данных в RTC { EA=O; П Запретить прерывания RTC_CS=O: П Активизировать CS/ Set_Data (ADDR); // Установить адрес RTC.Ale (); П Сгенерировать ALE Set_Data (DATA); u Установить данные не шину RTC_RW=O; // Активизировать WR/ Time (15); // Задержка RTC_RW=1; // Снять WR/ RTC_CS=1; // Снять CS/ EA=1; // Разрешить прерывания 43
www.dian.ru цифровая техника } void Get_Date (void) // Обновить структуру календаря { while (RTC_Ready ()); // Ожидать готовности da.year=RTC_GetYear(); // Читать год da.mounth=RTC_GetMounth(); // Читать месяц da.day=RTC_GetMDay(); // Читать день месяца da.wday=RTC_GetWDay(); // Читать день недели } void Get_Time (void) // Обновить структуру времени { while (RTC_Ready ()); // Ожидать готовности ti.hause=RTC_GetHause(); // Читать часы ti.min=RTC_GetMin():// Читать минуты ti.sec=RTC_GetSec(); // Читать секунды } // End of RTC. С Модуль обслуживания последовательных портов UART Описываемый ниже модуль обслуживания последователь- ных портов предназначен для работы в составе командно-ин формационной сети Модуль ориентирован на работу с интер- фейсом RS-485, однако он работает и с интерфейсом RS-232C, при этом один сигнал (управления передатчиком) не исполь- зуется. Приступим к рассмотрению программного модуля UART.H. Модуль содержит объявление всех функций, которые реали- зованы в модуле UART.C. Модули UART содержат только са мые необходимые подпрограммы управления сетевым интер- фейсом CI-LAN Схемотехника № 4 апрель 2003 /‘-------------------------------------- UART.Н UART Prototypes ---------------------------------------*/ «include <Main.h> // Включение главного модуля необ- ходимо, т. к. в нем // определяются используемые аппаратные ресурсы «ifndef __UART // Условие для исключения повтор- ной компиляции модуля «define ___UART Sifndef __BYTE__ «define „BYTE typedef unsigned char byte; // Определение типа переменной BYTE «endif «define SYSCLK 11059200 // Частота кварцевого резо- натора «define BAUDRATE 115200 // Скорость передачи данных void SendB (byte SendByte); // Переслать байт byte GetB (void): // Принять байт void Get_Frame (void); // Принять фрейм void Send_Frame (byte CODE, byte DATA1, byte DATA2); // Передать фрейм ответа byte Input_CS (byte NUM); // Посчитать конт- рольную сумму входного фрейма byte Output_CS (byte NUM); // Посчитать контрольную сум- му выходного фрейма «endif // __UART____ В модуле UART.C содержатся собственно подпрограммы, объяв- ленные в модуле UART.H. /‘-------------------------------------- Ц UART.C // CI-LAN «MISNET» ---------------------------------------./ «include <Main.h> // Включение главного модуля необ- ходимо, т. к. в нем // определяются используемые аппаратные ресурсы extern code byte ADDR; extern data byte IFrame[7]; extern data byte 0Frame[7]; extern data byte PTR; // extern data byte Byte; extern data byte FRAME_PTR; extern data byte FRAME_RDY; // Внешние переменные // Адрес контроллера // Массив входного фрейма // Массив выходного фрейма Универсальный указатель // Входной байт // Указатель фрейма // Флаг готовности фрейма // Структура входного командного фрейма содержит 6 байт // Байт 0 (IFrame[0]) - исходно должен быть равен ОхАА // Байт 1 (IFrame[1]) - адрес // Байт 2 (IFrame[2]) - команда Ц Байт 3 (IFrame[3]) - первый байт данных // Байт 4 (IFrameL4]) - второй байт данных // Байт 5 (IFrame[5]) контрольная сумма // Структура выходного ответного фрейма содержит 6 байт // Байт 0 (0Frame[0]) - исходно должен быть равен ОхАА // Байт 1 (0Frame[1]) - адрес // Байт 2 (0Frame[2]) - ошибка или статус // Байт 3 (0Frame[3]) - первый байт данных // Байт 4 (0Frame[4]) - второй байт данных // Байт 5 (0Frame[5J) - контрольная сумма / XX*XXXXXX*XXXX******X******************X*ib****************************/ void SendB (byte SendByte) // Переслать байт { «if WORK while (!TI) WDT(); // Ожидать отправки предыдуще- го байта «endif TI=0; // Очистить флаг передачи Time (1); // Задержка SBUF=SendByte: // Записать байт в буфер передачи } / ******ЖЖХ*Ж*Ж*Ж*ЖХ*ХХХХХ*Ж*ХХХ**Х**' byte GetB (void) { byte InputByte; while (!RI) {WDT();} ема InputByte = SBUF; ема RI = 0; return InputByte: } **********************************/ // Получить байт // Ожидать завершения при- // Взять байт из буфера при- // Сбросить флаг приема // Вернуть принятый байт / ****#*********************** + *************************1к**************«У void Get_Frare (void) // Получить фрейм { Byte=GetB(); // Получить байт if (!FRAME_PTR) // Если PTR==O & Byte!=0xAA, ожидать следующий байт { if (Byte==0xAA) goto Next; else return; } Next: IFrame[FRAME-PTR++]=Byte; // Записать байт во входной буфер фрейма // и увеличить указатель фрейма if (FRAME_PTR>=6) // Если конечная длина фрейма достигнута { ES=O: // Запретить прерывания пос- ледовательного порта FRAME_RDY=1; // Установить флаг завершения при- ема фрейма Т1=1; // Установить флаг передачи } } ла
цифровая техника / void UART0_INT (void) interrupt 4 // Прерывание от последо- вательного порта { Get_Frame (): // Получить фрейм > / *»»»**»*****»-*ж*»сж******»жжжжж*жжжжжх****х*ж*'Аг*жж* + :А'*ж*'#г*»:****ж***х***/ byte Input_CS (byte NUM)// Подсчитать контрольную сумму входного фрейма { byte CS=0; for (PTR=O; PTR<NUM; PTR++) CS=(CS+IFrame[PTR])&OxOFF; return CS; } I **********************************************************************/ byte Output_CS (byte NUM) // Подсчитать контрольную сумму выходного фрейма { byte CS=O; for (PTR=O; PTR<NUM; PTR++) CS=(CS+OFrame[PTR])&OxOFF; return CS; } I void Send_Frame (byte CODE, byte DATA1, byte DATA2) // Послать фрейм { 0Frame[0]=0xAA: // Записать в буфер байт пре- амбулы 0Frame[1]=ADDR; реса станции 0Frame£2]=C0DE; ошибки или статус 0Frame[3]=DATA1; байт данных 0Frame[4]=DATA2; байт данных 0Frame[5]=0utput_CS(5); трольной суммы Time (250); 0N4B5=1; для RS-485) Time (20); for (PTR=O; PTR<6; { Time (250); SendB(OFrame[PTR]); > #if WORK // Записать в буфер байт ад- // Записать в буфер байт // Записать в буфер первый // Записать в буфер второй Ц Записать в буфер байт кон- // Задержка // Открыть передатчик (только // Задержка PTR++) // Отправить 6 байт фрейма // Задержка // Отправить очередной байт while (! TI) WDT(); леднего байта #endif Time (20); 0N485=0; для RS485) TI=0; } // End of UART.C CYGNAL IQ Ю О СО // Ожидать завершение отправки пос- // Задержка // Закрыть передатчик (только // Очистить флаг передачи Продолжение следует Олег Николайчук, onic@ch.moldpac.md Микроконтроллеры CYGNAL Универсальная высокоэффективная система сбора и обработки данных! ч г о о см Ю О СО Ю О СО см ю о СО Все лучшее на одном кристалле Совместимость с системой команд 8051! Рекордная производительность, - до 100 MIPS! Программирование, отладка в системе по JTAG! ревизионные АЦП и ЦАП от 8 до 16 бит! Самыфполный набор периферийных устройств! Москва www.atos.ru info@atos.ru тел. (095) 787 48 05 Надежность во всем! ЭЛЕК Микросхемы CYGNAL, отладочные платы, софт, программаторы. CYGNAL Санкт-Петербург www.electrosnab.ru info@eiectrosnab.ru тел. (812)320 61 00 45
www.dian.ru мастеринг^ _________________________________ Новые усилители низкой частоты класса Hi-Fi Эта статья продолжает ряд публикаций, посвященных усилителям мощности. Усилители спроектированы с учетом всех необходи- мых требований и предназначены, в основном, для использова- ния в домашних условиях в составе аудио/видео комплексов. Уси- лители выполнены на современной интегральной элементной базе, что позволяет максимально расширить сферу их примене- ния и удовлетворить требования самого взыскательного пользо- вателя. Каждая модель обладает высокими эксплуатационными характеристиками, высокой надежностью, простотой в изготовле- нии/подключении и оптимальным соотношением цена/качество, что на сегодняшний день является немаловажным фактором. Со- брать эти устройства можно из наборов «Мастер КИТ» NM2035, NM2036, NM2037 и NM2038. Наличие в статье штампа предполагает наличие набора. Спрашивайте в магазинах по продаже компонентов Технические характеристики усилите- ля приведены в табл. 2. Общий вид усилителя представлен на рис. 1, принципиальная схема — на рис. 2. УНЧ выполнен на интегральной мик- росхеме TDA1514. Эта ИМС представля- схемотехникаin-а апрель zyуэ Перед специалистами «Мастер КИТ» была поставлена и успешно решена задача по подготовке тех- нической документации и выпуску ли- нейки УМЗЧ для использования в Hi-Fi звуковой технике. Все предложенные модели объединяет минимальный уро- вень собственных шумов, минимальный уровень нелинейных искажений и широ- кая полоса воспроизводимых частот. Различаются модели, в основном, по максимальной выходной мощности, на- пряжению питания и конструктивному исполнению. Радиолюбители сами могут развести печатную плату, однако нужно учиты- вать, что это очень ответственная и се- рьезная работа. Не все знают, что, на- пример, неправильная трассировка печатных проводников в мощном усили- теле может в десятки раз увеличить уро- вень его нелинейных искажений или сде- лать его вообще неработоспособным. Поэтому для разработки печатных плат привлекались профессиональные конст- рукторы, специализирующиеся в этой области. Краткая характеристика разработан- ных устройств с каталожными номера- ми приведена в табл. 1. Мощный одноканальный Hi-Fi усилитель низкой частоты 50 Вт NM2035 (TDA1514) Предлагаемый усилитель НЧ класса Hi-Fi обладает минимальным коэффи- циентом нелинейных искажений и низ- ким уровнем собственных шумов. Уст- ройство имеет небольшие габариты. Широкий диапазон питающих напряже- ний и сопротивлений нагрузки расши- ряет область применения этого усили- теля. Его можно использовать как на открытом воздухе для проведения раз- личных мероприятий, так и в домаш- них условиях в составе музыкального аудиокомплекса. Усилитель хорошо за- рекомендовал себя как УНЧ для саб- вуфера. Таблица 1 Таблица 2 Напряжение питания, В +10...±30 Пиковое значение выходного тока, А 8 Ток в режиме покоя, мА 60 Суммарное значение коэффициента нелинейных искажений при Рвых = 32 Вт, дБ -90 Долговременная выходная мощность. Вт при КНИ = -60 дБ и Un = ±27,5 В, Rh = 8 Ом Un = ±23 В, RH = 4 Ом 40 48 Коэффициент усиления по напряжению Аи, дБ 30 Входное сопротивление, кОм 20 Диапазон воспроизводимых частот, Гц 20...25000 Размеры печатной платы, мм 46x32 ет собой усилитель класса АВ и обеспе- чивает мощный высококачественный выходной музыкальный сигнал. Высокие технические характеристики усилителя позволяют использовать его совместно с цифровыми источниками звука (CD оборудование и т. д.). Расположение элементов на печатной плате и подключение усилителя показа- но на рис. 3, вид платы со стороны про- водников — на рис. 4. Перечень элементов приведен в табл. 3. Конструктивно усилитель выполнен на печатной плате из фольгированного стеклотекстолита размерами 46x32 мм. NM2035 Мощный одноканальный Hi-Fi усилитель низкой частоты 50 Вт (TDA1514) NM2036 Мощный одноканальный Hi-Fi усилитель низкой частоты 32 Вт (TDA2050) NM2037 Мощный одноканальный Hi-Fi усилитель низкой частоты 18 Вт (TDA2030A) NM2038 Мощный одноканальный Hi-Fi усилитель низкой частоты 44 Вт (TDA2030A+BD907/908) 46
Таблица 3 Позиция Номинал Кол. С1 СЗ, С4 0,47 мкФ 3 С2 220 пФ 1 С5 3,3 мкФ, 50 В 1 С6 220 мкФ, 50 В 1 С7 0 022 мкФ 1 С8 47 мкФ, 100 В 1 DA1 TDA1514 1 R1 680 Ом 1 R2, R3 20 кОм 2 R4 470 кОм 1 R5 150 Ом 82 Ом 2 R6 3 Ом 1 R7 82 Ом 47 Ом 2 — Клеммный зажим двойной 2 — Клеммный зажим тройной 1 При подключении нагрузки с разным сопротивлением необходимо устанавли- вать резисторы R5 и R8 соответствую- щего номинала: для RH = 4 Ом R5 долж- но быть 82 Ом, R7 — 47 Ом, для RH = = 8 Ом — R5 = 150 Ом и R7 = 82 Ом. Мощный одноканальный Hi-Fi усилитель низкой частоты 32 Вт NM2036 (TDA2050) УМЗЧ класса Hi-Fi выполнен на интег ральной микросхеме TDA2050. Выходная музыкальная мощность этой микросхе- мы составляет 50 Вт (согласно стандар- ту IEC-268.3). Технические характеристики усилите- ля приведены в табл. 4. Общий вид усилителя представлен на рис. 5, принципиальная схема — на рис. 6. Расположение элементов на плате и подключение усилителя показаны на рис. 7, вид печатной платы со стороны проводников — на рис. 8. Перечень элементов приведен в табл. 5. Конструктивно усилитель выполнен на печатной плате из фольгированного стеклотекстолита размерами 53x33 мм. Таблица 4 Напряжение питания, В 10...50 Пиковое значение выходного тока, А 5 Ток в режиме покоя, мА 30. .55 Долговременная еыходная мощность. Вт, при коэффициенте гармоник 0,5 % и Un = 36 В, Rh = 4 Ом Un = 36 В, Rh = 8 Ом Un = 44 В, Rh = 8 Ом 28 18 25 Долговременная выходная мощность, Вт, при коэффициенте гармоник 10 % и Un = 36 В, Rh = 4 Ом Un ~ 36 В, Rh = 8 Ом Un = 44 В, Rh = 8 Ом 35 22 32 Суммарное значение коэффициента нелинейных искажений, % при Un = 36 В, Rh = 4 Ом и F = 1 кГц, РВых = 0,1...24 Вт или F = 100 Гц...10 кГц, Рвых = 0,1 ...18 Вт 0,03 Суммарное значение коэффициента нелинейных искажений, % при Un = 44 В, RH = 8 Ом и F = 1 кГц, Рвых = 0.1.. .20 Вт или Р=100Гц. 10 кГц, Рвых = 0 1 .15 Вт 0,02 Коэффициент усиления по напряжению Аи, дБ 30 Входное сопротивление, кОм 22 Диапазон воспроизводимых частот Гц 20...25000 Размеры печатной платы мм 53x33 Рис. 5 Рис. 6 Рис. 7 Мощный одноканальный Hi-Fi усилитель низкой частоты 18 Вт NM2O37 (TDA2O3OA) Данный усилитель выполнен на интег- ральной микросхеме TDA2030A Эта ИМС представляет собой УНЧ класса АВ Рис. 8 Таблица 5 Позиция Наименование Кол. С1, С5 0,47 мкФ 2 С2 22 мкФ, 50 В 1 СЗ 100 мкФ, 50 В 1 С4 1000 мкФ, 50 В 1 С6 2200 мкФ, 50 В 1 С7 0,1 мкФ 1 DA1 TDA2050 1 R1, R2, R4, R5 22 кОм 4 R3 680 Ом 1 R6 2,2 Ом 1 — Клеммный зажим двойной 3 и используется в аудиоустройствах сред ней мощности. Технические характеристики усилите- ля приведены в табл. 6. Общий вид усилителя представлен на рис. 9, принципиальная схема — на рис. 10. Расположение элементов на плате и подключение усилителя проиллюстри- Таблица 6 Напряжение питания, В 12...44 Пиковое значение выходного тока, А 3,5 Ток в режиме покоя мА 50 Долговременная выходная мощность, Вт при коэффициенте гармоник 0,5 % и Un = 32 В, RH = 4 Ом Un = 32 В, Rh — 8 Ом Un = 38 В, Rh = 8 Ом 18 12 16 Суммарное значение коэффициента нелинейных искажений, % при Un = 32 В, RH = 4 Ом, Рвых = 0,1 ...14 Вт, F = 40 Гц... 15 кГц или Un = 32 В, Rh - 8 Ом, Рвых— 0,1...14 Вт, F = 40 Гц ..15 кГц 0,08 0,5 Коэффициент усиления по напряжению Аи, дБ 26 Входное сопротивление, кОм 100 Диапазон воспроизводимых частот, Гц 20. 25000 Размеры печатной платы, мм 53x33 Рис. 9 48
МАСТЕРИНГ^ www.dian.ru апрель Э1 z □ * X X X О) I- о 5 О) X и Таблица 7 Позиция Наименование Кол. С1 0,47 мкФ 1 С2 2,2 мкФ, 50 В 1 СЗ 22 мкФ, 50 В 1 С4 1000 мкФ, 50 В 1 С5, С7 0,1 мкФ 1 С6 2200 мкФ, 50 В 1 DA1 TDA2030A 1 R1, R2, R4, R5 100 кОм 4 R3 4,7 кОм 1 R6 1 Ом 1 VD1, VD2 1N4001 2 Клеммный зажим двойной 3 Мощный одноканальный Hi-Fi усилитель низкой частоты 44 Вт NM2038 (TDA2030A+BD907/908) УНЧ класса Hi-Fi выполнен на интег- ральной микросхеме TDA2030A (DA1). Эта ИМС представляет собой УНЧ клас- са АВ и устанавливается в аудиоустрой- ствах для получения высококачественно- го выходного музыкального сигнала средней мощности. Отличительной осо- бенностью этого усилителя является ис- пользование микросхемы DA1 в качестве драйвера мощных внешних транзисто- ров (VT1 и VT2). Подобное схемотехни- ческое решение позволяет поднять уро- вень выходной мощности до 44 Вт при минимальных нелинейных и перекрест- ных искажениях. Технические характеристики усилите- ля приведены в табл. 8. Общий вид усилителя представлен на рис. 11, схема электрическая принципи- альная — на рис. 12. Расположение элементов на плате и подключение усилителя приведены на рис. 13, вид печатной платы со стороны проводников — на рис. 14. Перечень элементов приведен в табл. 9. Конструктивно усилитель выполнен на печатной плате из фольгированного стеклотекстолита размерами 56x46 мм. Внимание! При установке микросхемы DA1 и транзисторов VT1 и VT2 на общий радиатор необходимо обеспечить изоля- цию корпусов от теплоотвода. Для этой цели можно использовать слюдяные (или аналогичные) прокладки и специальные монтажные шайбы. рованы на рис. 7, вид печатной платы со стороны проводников приведен на рис. 8. Перечень элементов приведен в табл. 7. Конструктивно усилитель выполнен на Таблица 8. Технические характеристики усилителя NM2038 Напряжение питания, В 12...44, типовое 36 Ток в режиме покоя, мА 50 Долговременная выходная мощность, Вт, при коэффициенте гармоник 0,5 %, RH = 4 Ом, F = 40 Гц... 15 кГц и Un = 39 В ип = 36 В при коэффициенте гармоник 10 %, RH = 4 Ом. F = 1 кГц Un = 39 В Un = 36 В 35 28 44 35 Суммарное значение коэффициента нелинейных искажений, % при РВых = 20 Вт и F = 1 кГц F = 40 Гц...15 кГц 0,02 0,05 Коэффициент усиления по напряжению Аи, дБ 20 Входное сопротивление, кОм 56 Входная чувствительность, мВ 890 Диапазон воспроизводимых частот, Гц 20...25000 Размеры печатной платы, мм 56x46 печатной плате из фольгированного стеклотекстолита размерами 53x33 мм. Рис. 11 Рис. 13 Особенности конструкции усилителей Конструкция всех усилителей предус- матривает установку платы в корпус, для этого предусмотрены монтажные отвер- стия по краям платы под винты диамет- ром 2,5 мм. Для удобства подключения питающего напряжения, источника сиг- нала и нагрузки на плате зарезервиро- ваны посадочные места под клеммные Рис. 14 Таблица 9 Позиция Наименование Кол. С1 0,47 мкФ 1 С2 10 мкФ, 50 В 1 СЗ 47 мкФ, 50 В 1 С4, С6, С8 0,22 мкФ 3 С5, С7 2200 мкФ, 50 В 2 DA1 TDA2030A 1 R1, R2, R5 56 кОм 3 R3 3,3 кОм 1 R4, R7 1,5 Ом, 2 Вт 2 R6 30 кОм 1 R8 1 Ом 1 VD1, VD2 1N4001 2 VT1 BD908. BD912, TIP106, BDX54C 1 VT2 BD907, BD911, TIP102, BDX53C 1 — Клеммный зажим двойной 3 Микросхемы усилителей необходимо установить на теплоотвод площадью не менее 600 см2. В качестве радиатора можно использовать металлический кор- пус или шасси устройства, в которое производится установка УНЧ. При мон- таже рекомендуется для повышения на- дежности работы ИМС использовать теп- лопроводную пасту типа КТП-8. Георгий Ганичев, ganichev@masterkit.ru винтовые зажимы. 50
справочный листок Чтобы сэкономить время и избавить Вас от рутинной работы по поиску необходимых компонентов и изготовлению печатных плат «Мастер КИТ» предлагает наборы NM2035, NM2036, NM2037 и NM2038. Каждый набор состоит из печатной платы, всех необходимых компонентов и инструкции по сборке и эксплуатации. Более подробно ознакомиться с ассортиментом нашей продукции можно с помощью каталога «МАСТЕР КИТ» и на сайте www.masterkit.ru, где представлено много полезной информации по электронным наборам и модулям МАСТЕР КИТ, приведены адреса магазинов, где их можно купить. На сайте «Мастер КИТ» работает конференция и электронная подписка на рассылку новостей, в разделе «КИТы в журналах» предложены радиотехнические статьи, а также много интересной информации для специалистов и радиолюбителей. Наш ассортимент постоянно расширяется и дополняется новинками, созданными с использованием новейших достижений современной электроники. Наборы и модули МАСТЕР КИТ и журналы “Схемотехника” можно купить в магазинах радиодеталей вашего города. Преобразователь переменного напряжения в эффективное значение AD736 В статье описывается микросхема для преобразования перемен- ного напряжения произвольной формы в его эффективное значе- ние. Микросхема может использоваться в различных измеритель- ных приборах, в стабилизаторах мощности и в других случаях. Микросхема AD736 фирмы Analog Devices является точным монолит- ным преобразователем переменно- го напряжения в эффективное значение с низким потреблением. При производстве микросхемы проводится лазерная подгон- ка, обеспечивающая базовую точность ±(0,3 % + 0,3 мВ) при синусоидальном входном сигнале. Тем не менее микросхе- ма обеспечивает высокую точность для различных форм входного сигнала, вклю- чая импульсные последовательности про- извольной скважности и выходное напря- жение тиристорных регуляторов с фазо- вым управлением. Относительно невысо- кая стоимость и малые размеры позволя ют использовать эту микросхему для мо- дернизации измерительных приборов. Эта микросхема определяет эффек- тивное значение как переменного, так и постоянного напряжения или постоянно- го с любой амплитудой наложенных пульсаций. При необходимости, однако, можно измерять эффективное значение только переменной составляющей, доба- вив всего один конденсатор. Высокая точность преобразования до- стигается при коэффициенте амплитуды кд (отношении амплитудного значения напряжения к эф- фективному) в диа- пазоне 1...3 [1], при кд = 5 дополнитель- ная ошибка может достигать 2,5 % при работе с верхним пределом 200 мВ. Микросхема име- ет выходной буфер- ный усилитель, что обеспечивает боль- шую гибкость при ее использовании. Она потребляет ток не более 200 мкА и оптимизирована для применения в портативных муль- тиметрах. Возможны два ва- рианта подачи вход- ного сигнала на микросхему. При ис- пользовании высо- коомного входа входное сопротив- ление составляет более 1012 Ом, низ- коомного — 8 кОм, однако низкоомный вход позволяет по- давать входное на- пряжение большей амплитуды. Эти два входа могут исполь- NEGATIVE SUPPLY Рис. 1 зоваться для дифференциальной пода- чи сигнала. Частотный диапазон преобразуемого сигнала при эффективном напряжении 20...200 мВ и ошибке в 1 % превышает 10 кГц. Микросхемы выпускаются в четырех вариантах — AD736J, AD736K (0. . .70 °C); AD736A, AD736B (-40...+85 °C), при этом AD736K и AD736B имеют лучшие пара- метры. Микросхемы для диапазона 0...70 °C оформляются в пластмассовых корпусах Mini-DIP N-8 (AD736JN, AD736KN) и кор- пусах для поверхностного монтажа SOIC RN 8 (AD736JR, AD736KR), для расши ренного диапазона -40...+85 °C — в ке- рамических CERDIP Q-8 (AD736AQ AD736BQ). Микросхема может также применяться как точный двухполупериодный выпрями- тель или при установке одного конденса- тора как преобразователь переменного напряжения в его среднее значение. Упрощенная принципиальная схема микросхемы AD736 с типовым вариантом включения приведена на рис. 1. Опера- ционный усилитель DA1, транзисторы VT5, VT6 и резистор R1 преобразуют Сс 10 pF (OPTIONAL) POSITIVE SUPPLY COMMON 51
справочный листок входное напряжение в пропорциональный ему коллекторный ток одного из этих транзисторов, а транзисторы VT1—VT4 представляют собой зеркало для тока коллектора транзистора VT5. В точке со- единения коллекторов транзисторов VT4, VT6 формируется ток, соответствующий двухполупериодно выпрямленному вход- ному сигналу. Транзисторы VT8, VT9, VT11, VT12 также образуют токовое зер- кало, и без внешнего усредняющего кон- денсатора CAV коллекторные токи транзи- сторов VT10—VT12 с точностью до токов их баз равны суммарному току коллекто- ров транзисторов VT5 и VT6. Такой же ток течет через транзистор VT15 и включен ные по схеме с общей базой транзисто- ры VT13, VT14. Операционный усилитель DA2 и резистор R2, включенный в цепь Таблица 1 отрицательной обратной связи ОУ, пре- образуют этот ток в выходное напряжение микросхемы, в результате получается точ- ный двухполупериодный выпрямитель с положительным выходным напряжением и коэффициентом передачи, равным 1. Вы- бор вариантов схем токовых зеркал, вклю- чение транзисторов VT7, VT10, VT13, VT14 уменьшают влияние разброса коэффици- ентов передачи тока, конечности их вели- чины и изменения напряжения источни- ков питания на параметры микросхемы. Интереснее и сложнее происходит ра- бота микросхемы при подключении па- раллельно транзистору VT12, работаю- щему как диод, внешнего усредняющего конденсатора CAV. Будем считать, что емкость этого конденсатора достаточно велика, чтобы пульсациями напряжения на нем можно было пренебречь. В этом www.dian.ru случае входной выпрямленный ток 1вх течет через транзисторы VT7—VT9 и создает на переходах база-эмиттер каж- дого из них мгновенное падение напря- жения пропорциональное логарифму мгновенного значения этого тока: и = <рт|пт^- 'о где <рт « 26 мВ при 20 °C, так называе- мый температурный потенциал, 10 — ко- эффициент, имеющий размерность тока. Напряжение UA в точке А относитель- но вывода 4 микросхемы вдвое больше: иА=2<гт1п1^ 'о При переменном напряжении постоян- ной амплитуды на входе микросхемы на- пряжение в точке В постоянно и равно Параметр Условия измерения AD736J, AD736A AD736K, AD736B Мин им. Тип. Макс. Мин им. Тип. Макс. Базовая погрешность, ± мВ /± % от измеряемой величины, % от измеряемой величины Ubx = 0...200 мВ — 0,3/0,3 0,5/0,5 — 0,2/0,2 0,3/0,3 Ubx = 0,2...1 В — -1,2 ±2 — -1.2 ±2 Дополнительная ошибка в полном диапазоне температур для AD736A, AD736B, ± мВ /± %, для AD736J, AD736K, ± %/' С Ubx ~ 0.. .200 мВ 0,7/0,7 — 0,5/0,5 Ubx — 0 ..200 мВ — 0,007 — — 0,007 — Дополнительная ошибка в полном диапазоне питающих напряжений, %/В Опит = +5...16,5 В 0 +0,06 +0,1 0 +0,06 +0 1 UriHT = ±3...5 В 0 -0,18 -03 0 -0,18 -0,3 Нелинейность % Ubx = 0.. .200 мВ 0 +0,25 +0,35 0 +0,25 +0,35 Общая погрешность при внешней подстройке, ± мВ /± % Ubx = 0.. .200 мВ — 0,1/0,5 — — 0,1/0,3 — Дополнительная ошибка при отличии входного сигнала от синусоидального, % кА=1...3 — 0,7 — — 0,7 — кд = 5 — 2,5 — — 2,5 — Максимальный входной сигнал на входе 2, мВ эфф иПИт = +2,8/—3,2 В — — 200 — — 200 UnnT = +5... 16,5 В — — 1000 — — 1000 Пиковый входной сигнал на входе 2 В UnnT = +2.8/-3.2 В ±0,9 — — ±0,9 — — Плит = ±5 В — +2,7 — —• ±2,7 — UnnT = ±16,5 В ±4 — — ±4 — — Входное сопротивление по входу 2, Ом — — 10" — — 10" — Входной ток по входу 2, пА — — 1 25 — 1 25 Максимальный входной сигнал на входе 1, мВ эфф UnnT — +2,8/—3,2 В — — 300 — — 300 Опит = ±5... 16,5 В — — 1000 — — 1000 Пиковый входной сигнал на входе 1 В UnnT = +2,8/—3,2 В — ±1,7 — — ±1,7 — Плит = ±5 В — ±3,8 — — ±3,8 — UnnT = ±16,5 В — +11 — —• ±11 — Входное сопротивление по входу 1, кОм — 6,4 8 9,6 6,4 8 9,6 Максимальное входное неразрушающее напряжение, В Для любых напряжений питания — — ±12 — — ±12 Входное напряжение сдвига, мВ — — — ±3 — — ±3 Дополнительное входное напряжение сдвига при изменении температуры, мкВ/сС — — 8 30 8 30 Дополнительное входное напряжение сдвига при изменении напряжения питания мкВ/В иПит = ±5... 16,5 В — 50 150 — 50 150 UnnT = +3...5 В — 80 — — 80 — Выходное напряжение сдвига для AD736J, AD736K для AD736A, AD736B, мВ — — ±0,1 +0,5 — ±0,1 ±0 3 — — — ±0 5 — — ±0 3 Дополнительное выходное напряжение сдвига при изменении температуры, мкВ/сС — — 1 20 — 1 20 Дополнительное выходное напряжение сдвига при изменении напряжения питания, мкВ/В UnnT = +5... 16,5 В — 50 130 — 50 130 Unnr = ±3. .5 В — 50 — — 50 — Размах выходного напряжения на нагрузке 2 кОм, В UnnT — +2,8/—3,2 В 1,6 1,7 — 1,6 1,7 — UnnT = ±5 В 3,6 3,8 — 3,6 3,8 — UnnT = +16,5 В 4 5 — 4 5 — Выходной ток мА — 2 — — 2 — — Выходное сопротивление, Ом — — 0,2 — — 0,2 — Частота синусоидального входного сигнала по входу 2, при которой возникает дополнительная ошибка в 1 %, кГц Ubx = 1 мВ — 1 — — 1 — Ubx = Ю мВ — 6 — — 6 — Ubx = 100 мВ — 37 — — 37 — Ubx = 200 мВ — 33 — — 33 — Частота синусоидального входного сигнала по входу 1, при которой возникает дополнительная ошибка в 1 %, кГц Ubx ~ 1 мВ — 1 — — 1 — Ubx = 10 мВ — 6 — — 6 — Ubx = 100 мВ — 90 — — 90 — Ubx — 200 мВ — 90 — — 90 — Напряжение питания, В — +2.8/-3.2 ±5 ±16,5 +2,8/-3,2 ±5 ±16,5 Потребляемый ток при отсутствии входного сигнала, мкА — — 160 200 — 160 200 Потребляемый ток при наличии входного сигнала, мкА Ubx = 200 мВ — 230 270 — 230 270 52
справочный листок Ub=<PtI"^, 'о li=loe <Рт II -12 ч'вых - 'вх где 1вых — ток коллектора транзистора VT15, равный току через транзистор VT12. Мгновенное значение тока И через транзисторы VT10, VT11 определяется разностью напряжений в точках А и В: Подставляя в эту формулу значения UB из предыдущих формул, полу- иА И чим: или I -I2 /I '1 -|ВХ/|ВЫХ Усредняя во времени правую и левую части этой формулы и учитывая, что 1ВыХ— постоянная во времени величи- на, получим: Г| — |2 h 'вых - 'вх Рис. 2 Рис. 6 FREQUENCY Hz Рис. 7 Рис. 10 Рис. 4 Рис. 12 Рис. 11 Рис. 5 INPUT LEVEL rms Рис. 9 TEMPERATURE "C Рис. 13 53
справочный листок www.dian.ru 1ms 10 ms 100 ms 1s 10 s 100 s SETTLING TIME Рис. 14 В то же время среднее значение тока через транзисторы VT10, VT11 равно постоянному току через транзистор VT12, т. е. выходному току преобразователя: h = 'вых > поэтому Таблица 2 Назначение Уровень эффективного входного напряжения, мВ Нижняя граница входной частоты, Гц Максимальный коэффициент амплитуды Cav, мкФ CF, мкФ Время установления показаний до 1%, мс Вольтметр эффективного значения общего применения 0...1000 20 5 150 10 360 200 5 15 1 36 0...200 20 5 33 10 360 200 5 3,3 1 36 Вольтметр среднего значения общего применения 0...1000 20 — 0 33 1200 200 — 0 3,3 120 0...200 20 — 0 33 1200 200 — 0 3,3 120 Вольтметр для измерения выходного напряжения фазовых регуляторов мощности 0...200 50 5 100 33 1200 60 5 82 27 1000 0...100 50 5 50 33 1200 60 5 47 27 1000 Аудиовольтметр для речи, для музыки 0...200 300 3 1,5 0,5 18 0...100 20 10 100 68 2400 I2 -I2 ’вых - ’вх ’ Схемотехника № 4 апрель 2003 Таким образом, при достаточно боль- шой емкости конденсатора CAV ток коллек- тора транзистора VT15 пропорционален эффективному (среднеквадратичному) значению входного напряжения, а при ра- венстве R1 = R2 выходное напряжение микросхемы достаточно точно равно эф- фективному значению входного. Интерес- но отметить, что, несмотря на совершен- но другое схемотехническое решение, принципы действия у микросхемы AD736 и преобразователя, описанного в преды- дущем номере журнала [2], полностью со- впадают. Конденсатор CF, подключаемый па- раллельно резистору R2, позволяет сгла- дить пульсации выходного напряжения, никак не влияя на его среднее значение. Конденсатор Сс, включаемый последо- вательно с резистором R1, обеспечива- ет преобразование в выходной сигнал только переменной составляющей вход- ного напряжения, исключая влияние по- стоянной составляющей. Минимально допустимое двуполярное напряжение питания микросхем AD736 составляет +2,8/-3,2 В, что хорошо согла- суется со схемотехникой мультиметров, использующих микросхемы КР572ПВ5 и их импортные аналоги, поскольку в состав КР572ПВ5 входит источник напряжения 2,8...3,2 В. Он может выполнять роль ис- точника +2,8 В, при этом остаток напря- жения батареи питания составляет около 6 В и, при соответствующем включении может служить источником отрицательно- го напряжения. Такой вариант питания микросхемы использован в описываемой в этом номере журнала приставке к муль- тиметру М-832 для измерения эффектив- ного напряжения. Основные электрические параметры микросхем приведены в табл. 1, и если это не оговорено особо, указаны для температуры +25 °C, напряжения пита- ния ±5 В и при подаче входного синусо- идального сигнала частотой 1 кГц. Графики, приведенные на рис. 2—14, иллюстрируют зависимости параметров 54 OPTIONAL Рис. 15 Рис. 16 микросхем AD736 от напряжения пита- ния, частоты, коэффициента амплитуды, уровня входного сигнала. На рис. 2 приведена зависимость до- полнительной ошибки в процентах изме- ряемой величины от напряжения питания. По рис. 3 можно определить пиковое зна- чение входного сигнала, соответствую- щее началу ограничения, для входов 1 и 2 при отсутствии конденсатора Сс (под- ключение по постоянному току) в зависи- мости от напряжения питания. Графики на рис. 4 и 5 иллюстрируют погрешности преобразования в зависимости от часто- ты входного синусоидального сигнала и его амплитуды при подаче его на входы 1 (рис. 4) и 2 (рис. 5). Штриховыми лини- ями отмечены границы, соответствующие
справочный листок Рис. 19 Рис. 18 ошибке в 1 и 10 % и -3 дБ. На рис. 6 по- казана зависимость дополнительной ошибки в процентах измеряемой величи- ны от коэффициента амплитуды при по- даче на вход пачек из трех периодов си- нусоиды частотой 1 кГц и напряжением 200 мВ эфф и при различной емкости конденсатора CAV. График на рис. 7 де- монстрирует влияние температуры на ошибку преобразования, а на рис. 8 при- ведена зависимость потребляемого тока от уровня входного сигнала. График на рис. 9 иллюстрирует зависимость допол- нительной ошибки от уровня входного сигнала, по прямым на рис. 10 можно оп- ределить необходимую емкость конден- сатора CAV для обеспечения погрешнос- ти измерений 0,5 и 1 % в зависимости от нижней частоты преобразуемого напря- жения. Графики на рис. 11 демонстриру- ют, что нижняя граница частоты входно- го сигнала увеличивается с увеличением его амплитуды. На рис. 12 приведена за- висимость входного тока смещения по входу 2 от напряжения питания, а на рис. 13 — от температуры. Зависимости времени установления показаний от уровня входного сигнала при различных значениях емкости конденсато- ра CAV приведены на рис. 14. При выборе емкости следует исходить из того, что ее увеличение уменьшает погрешность изме- рений, однако увеличивает время установ- ления показаний, причем время установ- ления при снижении входного сигнала значительно больше, чем при увеличении. Кроме того, емкость должна быть прямо пропорциональна максимальному входно- му напряжению. Рекомендуемые значения емкости конденсаторов для различных ва- риантов вольтметров приведены в табл. 2. Емкость конденсатора Сс определяет- ся исходя из той ошибки, которую он может вносить в частотную характерис- тику преобразователя, будучи включен- ным последовательно с резистором R1 в цепь отрицательной обратной связи ОУ DA1. Для того, чтобы эта ошибка не пре- вышала 0.5 % от измеряемой величины, постоянная времени CcR1 должна соот- ветствовать 10 периодам минимальной частоты входного сигнала. Некоторые варианты включения микро- схемы AD736 приведены на рис. 15—19. Схема на рис. 15 иллюстрирует возмож- ный вариант применения микросхемы в простом многопредельном вольтметре. При наличии входного разделительного конденсатора 0,01 мкФ конденсатор Сс становится излишним, хотя он и снижает сдвиг нуля входного ОУ. Резистор 47 кОм и диоды на входе микросхемы служат для ее защиты от перегрузок. На рис. 16 приведен вариант диффе- ренциальной подачи входного сигнала, обеспечивающий входное сопротивле- ние 1012 Ом и входную емкость 10 пФ. Микросхема в этом случае не реагирует на постоянную составляющую диффе- ренциального сигнала. Схема на рис. 17 демонстрирует воз- можность подстройки начального сдви- га выходного сигнала и подачу входно- го напряжения через разделительную RC-цепь 0,1 мкФ, 1 МОм. На рис. 18 приведена схема питания микросхемы от батареи напряжением 9 В с использованием высокоомного делите- ля из двух резисторов 100 кОм, на рис. 19 проиллюстрирована подача сигнала на низкоомный вход 1. Более подробные сведения о микро- схеме AD736 можно найти на сайте из- готовителя http:www.analog.com. Сергей Бирюков, editor@dian.ru Литература: 1. С. Бирюков. Амплитудное, среднее, эффективное.— Радио, 1999, № 6, с. 58, 59. 2. В. Тушнов. Регулируемый стабили- затор мощности.— Схемотехника, 2003, №3, с. 4, 5. Издательский дом «Скимен» выпустил первый и второй тома книги А. Фрунзе «Микроконтроллеры? Это же просто!- . Первый том ориентирован на тех, кто только начинает знакомство с микроконтроллерной техникой. Во втором томе приводится обзорная информация по абсолютному большинству х51-совмести- мых микроконтроллеров и о построении систем на их основе. Третий том (его выпуск планируется во втором квартале 2003 г.) целиком посвящен принципам построения программ целочисленной многобайтовой беззнаковой и знаковой арифметики, четвертый — арифметике с плавающей запятой и другим аспектам разработки программ для микроконтроллеров У вас есть возможность подписаться на книгу А. Фрунзе «Микроконтроллеры? Это же просто!». Стоимость трех томов книги, включая доставку, составляет 277 руб. 20 коп. (в т. ч. НДС). Доставка книг осуществляется через почтовое отделение: тома 1 и 2 высылаются немедленно по факту оплаты, том 3 — после его выхода из печати. Вы можете подписаться на каждый том книги А. Фрунзе «Микроконтроллеры? Это же просто!» отдельно. В этом случае стоимость одного тома, включая НДС и доставку, составит 99 руб. Для того, чтобы подписаться на эти книги через редакцию, необходимо: перевести сумму на наш расчетный счет по реквизитам, указанным на с. 56, или связаться с редакцией по телефону (095) 285-17-75 для выставления счета; копию платежного поручения с вашим почтовым адресом или квитанцию выслать по факсу (095) 285-1775, e-mail: podpiska@dian.ru, или по адресу: 121351, г. Москва, ул. Ивана Франко, 40, стр. 2, редакция. 55